WorldWideScience

Sample records for writing records reduces

  1. How writing records reduces clinical knowledge

    DEFF Research Database (Denmark)

    Buus, Niels

    2009-01-01

    drew on data from an extended fieldwork on two Danish "special observation" wards. The results indicated that the nurses' recording produced "stereotyping" representations of the patients and reduced the nurses' clinical knowledge but that this particular way of recording made good sense in relation......Through the practices of recording, psychiatric nurses produce clinical knowledge about the patients in their care. The objective of this study was to examine the conventionalized practices of recording among psychiatric nurses and the typical linguistic organization of their records. The study...

  2. Efficiently reducing transition curvature in heat-assisted magnetic recording with state-of-the-art write heads

    Science.gov (United States)

    Vogler, Christoph; Abert, Claas; Bruckner, Florian; Suess, Dieter

    2017-05-01

    Curvatures of bit transitions on granular media are a serious problem for the read-back process. We address this fundamental issue and propose a possibility to efficiently reduce transition curvatures with state-of-the-art heat-assisted magnetic recording heads. We compare footprints of conventional with those of the proposed head design on different media, consisting of exchange coupled and single phase grains. Additionally, we investigate the impact of various recording parameters, such as the full width at half maximum (FWHM) of the applied heat pulse and the coercivity gradient near the write temperature of the recording grains. The footprints are calculated with a coarse grained model, based on the Landau-Lifshitz-Bloch equation. The presented simulations show a transition curvature reduction of up to 40%, in the case of a medium with exchange coupled grains and a heat pulse with a FWHM of 40 nm. We further give the reason for the straightening of the bit transitions, by means of basic considerations with regard to the effective recording time window of the write process. Besides the transition curvature reduction, the proposed head design yields an improvement of the transition jitter in both down-track and off-track directions.

  3. Influence of writing and reading intertrack interferences in terms of bit aspect ratio in shingled magnetic recording

    Science.gov (United States)

    Nobuhara, Hirofumi; Okamoto, Yoshihiro; Yamashita, Masato; Nakamura, Yasuaki; Osawa, Hisashi; Muraoka, Hiroaki

    2014-05-01

    In this paper, we investigate the influence of the writing and reading intertrack interferences (ITIs) in terms of bit aspect ratio (BAR) in shingled magnetic recording by computer simulation using a read/write model which consists of a writing process based on Stoner-Wohlfarth switching asteroid by a one-side shielded isosceles triangular write head and a reading process by an around shielded read head for a discrete Voronoi medium model. The results show that BAR should be 3 to reduce the influence of writing and reading ITIs, media noise, and additive white Gaussian noise in an assumed areal density of 4.61Tbpsi.

  4. Developing Business Writing Skills and Reducing Writing Anxiety of EFL Learners through Wikis

    Science.gov (United States)

    Kassem, Mohamed Ali Mohamed

    2017-01-01

    The present study aimed at investigating the effect of using wikis on developing business writing skills and reducing writing anxiety of Business Administration students at Prince Sattam bin Abdul Aziz University, KSA. Sixty students, who were randomly chosen and divided into two equivalent groups: control and experimental, participated in the…

  5. Expressive Writing as a Brief Intervention for Reducing Drinking Intentions

    OpenAIRE

    Young, Chelsie M.; Rodriguez, Lindsey M.; Neighbors, Clayton

    2013-01-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who...

  6. [Micromotor recording of writing pressure during intracerevral stimulation at stereotactic operations (author's transl)].

    Science.gov (United States)

    Schneider, H

    1975-12-22

    Eight cases of spastic torticollis were examined during the course of stereotactic operations with the writing pressure apparatus of Steinwachs while the ventrolateral thalamus was stimulated. When 50 stimuli per sec are given, the significant changes of motor function in writing are the following: slowing of writing speed, an increase in writing pressure, greater changes of pressure amplitude with tendences to parallel course. With 25 stimuli per sec, simular results may appear, but smaller amplitude changes and lowering of writing pressure may also occur. When 8 stimuli per sec are given, no changes of pressure patterns in writing were found. Three typical cases are described. It is concluded that the recording of fine pressure changes in writing may indicate alterations of cerebral motor regulations although specific changes for certain thalamic stimulus locations were lacking.

  7. Writing and reading in the electronic health record: an entirely new world

    Directory of Open Access Journals (Sweden)

    Lauri Lopp

    2013-02-01

    Full Text Available Background: Electronic health records (EHRs are structured, distributed documentation systems that differ from paper charts. These systems require skills not traditionally used to navigate a paper chart and to produce a written clinic note. Despite these differences, little attention has been given to physicians’ electronic health record (EHR-writing and -reading competence. Purposes: This study aims to investigate physicians’ self-assessed competence to document and to read EHR notes; writing and reading preferences in an EHR; and demographic characteristics associated with their perceived EHR ability and preference. Methods: Fourteen 5-point Likert scale items, based on EHR system characteristics and a literature review, were developed to measure EHR-writing and -reading competence and preference. Physicians in the midwest region of the United States were invited via e-mail to complete the survey online from February to April 2011. Factor analysis and reliability testing were conducted to provide validity and reliability of the instrument. Correlation and regression analysis were conducted to pursue answers to the research questions. Results: Ninety-one physicians (12.5%, from general and specialty fields, working in inpatient and outpatient settings, participated in the survey. Despite over 3 years of EHR experience, respondents perceived themselves to be incompetent in EHR writing and reading (Mean = 2.74, SD = 0.76. They preferred to read succinct, narrative notes in EHR systems. However, physicians with higher perceived EHR-writing and -reading competence had less preference toward reading succinct (r= − 0.33, p<0.001 and narrative (r= − 0.36, p<0.001 EHR notes than physicians with lower perceived EHR competence. Physicians’ perceived EHR-writing and -reading competence was strongly related to their EHR navigation skills (r=0.55, p<0.0001. Conclusions: Writing and reading EHR documentation is different for physicians. Maximizing

  8. Expressive writing as a brief intervention for reducing drinking intentions.

    Science.gov (United States)

    Young, Chelsie M; Rodriguez, Lindsey M; Neighbors, Clayton

    2013-12-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who completed measures of their current drinking behavior. They were then randomly assigned to either write about: a time when they had a lot to drink that was a good time (Positive); a time when they had a lot to drink that was a bad time (Negative); or their first day of college (Neutral), followed by measures assessing intended drinking over the next three months. Results revealed that participants intended to drink significantly fewer drinks per week and engage in marginally fewer heavy drinking occasions after writing about a negative drinking occasion when compared to control. Interactions provided mixed findings suggesting that writing about a positive event was associated with higher drinking intentions for heavier drinkers. Writing about a negative event was associated with higher intentions among heavier drinkers, but lower intentions among those with higher AUDIT scores. This research builds on previous expressive writing interventions by applying this technique to undergraduate drinkers. Preliminary results provide some support for this innovative strategy but also suggest the need for further refinement, especially with heavier drinkers. © 2013.

  9. [Influence of the recording interval and a graphic organizer on the writing process/product and on other psychological variables].

    Science.gov (United States)

    García Sánchez, Jesús N; Rodríguez Pérez, Celestino

    2007-05-01

    An experimental study of the influence of the recording interval and a graphic organizer on the processes of writing composition and on the final product is presented. We studied 326 participants, age 10 to 16 years old, by means of a nested design. Two groups were compared: one group was aided in the writing process with a graphic organizer and the other was not. Each group was subdivided into two further groups: one with a mean recording interval of 45 seconds and the other with approximately 90 seconds recording interval in a writing log. The results showed that the group aided by a graphic organizer obtained better results both in processes and writing product, and that the groups assessed with an average interval of 45 seconds obtained worse results. Implications for educational practice are discussed, and limitations and future perspectives are commented on.

  10. Reducing resistance and emotional blocks in writing

    OpenAIRE

    Majda Cencič

    1996-01-01

    Writing is as much a communicative as it is a cognitive process an active individual is involved in. In this paper some characteristics of writing as a communicative process and some characteristics of writing as a cognitive process are stated. Further a model engaging both communicative and cognitive elements of writing is added, these elements being connected with linguistic resources. The author considers some prejudices, myths or emotional blocks to be destroyed as not to hinder the write...

  11. Direct measurement of the field from a magnetic recording head using an InAs Hall sensor on a contact write/read tester

    International Nuclear Information System (INIS)

    Gokemeijer, N.J.; Clinton, T.W.; Crawford, T.M.; Johnson, Mark

    2005-01-01

    At 1 Tbit/in 2 areal density magnetic recording dimensions, reliable magnetic field metrology does not exist. One technique to map the spatial profile of the magnetic field of a write head is to use a contact read/write tester. A magnetic recording head is brought into contact with a Hall sensor, and is subsequently scanned with nm resolution. For a 300 nm track width longitudinal recording head, the magnetic field of the head was mapped. Measurements include the down track field gradient and cross-track field profile and the current-field transfer curve. These results suggest this technique offers a viable write field metrology

  12. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  13. The role of expressive writing in math anxiety.

    Science.gov (United States)

    Park, Daeun; Ramirez, Gerardo; Beilock, Sian L

    2014-06-01

    Math anxiety is a negative affective reaction to situations involving math. Previous work demonstrates that math anxiety can negatively impact math problem solving by creating performance-related worries that disrupt the working memory needed for the task at hand. By leveraging knowledge about the mechanism underlying the math anxiety-performance relationship, we tested the effectiveness of a short expressive writing intervention that has been shown to reduce intrusive thoughts and improve working memory availability. Students (N = 80) varying in math anxiety were asked to sit quietly (control group) prior to completing difficulty-matched math and word problems or to write about their thoughts and feelings regarding the exam they were about to take (expressive writing group). For the control group, high math-anxious individuals (HMAs) performed significantly worse on the math problems than low math-anxious students (LMAs). In the expressive writing group, however, this difference in math performance across HMAs and LMAs was significantly reduced. Among HMAs, the use of words related to anxiety, cause, and insight in their writing was positively related to math performance. Expressive writing boosts the performance of anxious students in math-testing situations. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  14. Modeling of the write and read back performances of hexagonal Ba-ferrite particulate media for high density tape recording

    International Nuclear Information System (INIS)

    Lee, Jehyun; Fuger, Markus; Fidler, Josef; Suess, Dieter; Schrefl, Thomas; Shimizu, Osamu

    2010-01-01

    In this study, the signal-to-noise ratio (SNR) performances of longitudinally, randomly, and perpendicularly oriented particles, based on hexagonal barium ferrite (h-BaFe) platelets with an average volume of 2400 nm 3 have been studied as a function of the recording head to media distance by numerical micromagnetic simulations. The distances from the write head to media and from the read head to media were varied independently. For a fixed read distance and varied writing distances, the SNR was decreasing in larger write distance. An optimum write distance of 40 and 50 nm was found for the longitudinally oriented media and the perpendicularly oriented media, respectively. The optimum write distance for longitudinally oriented media, 40 nm, resulted in the local minimum SNR for the perpendicularly oriented media. In most write distances the perpendicularly oriented media show the outstanding best performance, but near the write distance of 40 nm the longitudinally oriented media work as good as the perpendicularly oriented media. In a fixed write distance with various read distances, the SNR was almost constant in each media whereas the average signal amplitude was exponentially decayed in larger read head to media distance. The best SNR was found in the perpendicularly oriented media at write head to media distance d write =20 nm and read head to media distance d read =40 nm. The best SNR value is 11.9 and 24.4 dB in time domain and frequency domain, respectively.

  15. Characteristics of reverse overwrite process in shingled recording scheme at ultra-high track density

    Energy Technology Data Exchange (ETDEWEB)

    Li Shaoping, E-mail: shaoping_li_2000@yahoo.com [Western Digital Inc. 1250 Reliance Way, Fremont, CA 94539 (United States); Mendez, Hector; Terrill, Dave; Liu Feng; Bai, Daniel; Mao Sining [Western Digital Inc. 1250 Reliance Way, Fremont, CA 94539 (United States)

    2012-02-15

    A systematic experimental study of the reverse overwrite (ReOVW) process in the shingled recording scheme has been conducted in conjunction with characterization of corresponding recording performances from recording heads with different geometries. It was found that there is no ReOVW reduction as the track density increases in a strict shingled recording fashion. Nonetheless, ReOVW is indeed slightly decreased from 300 to 700 kpi in a so-called one write shingled recording process. Overall our obtained data suggest that conventional magnetic recording technology might be able to extend all the way beyond an areal density of one Tbit/in{sup 2} by using the shingled recording scheme. - Research Highlights: > This paper discusses the most advanced recording scheme, e.g., shingled recording process, for next generation magnetic data storage devices. > The paper shows that the write-ability of magnetic recording is sufficient in the shingled recording scheme even when the areal density is beyond 1.0 Tb/in{sup 2}. > Our results also shows that the writer's edge write-ability is essential for reducing noise during the write process in shingled recording scheme. > The paper also demonstrates that a multiple and sequential write process ensures the normal erasure-ability in shingled recording scheme. > Our results also indicate that the noise nature in the write process still could be attributed to the hard-easy transition and imprint effect.

  16. Characteristics of reverse overwrite process in shingled recording scheme at ultra-high track density

    International Nuclear Information System (INIS)

    Li Shaoping; Mendez, Hector; Terrill, Dave; Liu Feng; Bai, Daniel; Mao Sining

    2012-01-01

    A systematic experimental study of the reverse overwrite (ReOVW) process in the shingled recording scheme has been conducted in conjunction with characterization of corresponding recording performances from recording heads with different geometries. It was found that there is no ReOVW reduction as the track density increases in a strict shingled recording fashion. Nonetheless, ReOVW is indeed slightly decreased from 300 to 700 kpi in a so-called one write shingled recording process. Overall our obtained data suggest that conventional magnetic recording technology might be able to extend all the way beyond an areal density of one Tbit/in 2 by using the shingled recording scheme. - Research highlights: → This paper discusses the most advanced recording scheme, e.g., shingled recording process, for next generation magnetic data storage devices. → The paper shows that the write-ability of magnetic recording is sufficient in the shingled recording scheme even when the areal density is beyond 1.0 Tb/in 2 . → Our results also shows that the writer's edge write-ability is essential for reducing noise during the write process in shingled recording scheme. → The paper also demonstrates that a multiple and sequential write process ensures the normal erasure-ability in shingled recording scheme. → Our results also indicate that the noise nature in the write process still could be attributed to the hard-easy transition and imprint effect.

  17. Effect of soft underlayer magnetic anisotropy on perpendicular recording process

    International Nuclear Information System (INIS)

    Lim, C.K.; Kim, E.S.; Yoon, S.Y.; Kong, S.H.; Lee, H.S.; Oh, H.S.; Kim, Y.S.

    2007-01-01

    The presence of the soft magnetic underlayer (SUL) in perpendicular magnetic recording (PMR) media is essential for the application. It is commonly understood that the SUL provides the return flux path and enhances the writing field by enhancing the recording field from the write pole. However, SUL increases the magnetic noise during the read back process due to magnetic domain walls in the SUL. Hence, it is common to grow SUL with large uniaxial or unidirectional magnetic anisotropy field (H k ) to reduce domain wall noise. In this paper, we explore the effect of increasing SUL H k on the recording process. We studied this effect by using the finite element micromagnetic simulation. Our simulation results show that the contribution of SUL to the writing field amplitude is reduced with increasing H k . This reduction in magnetic field from high H k SUL actually improves the recording performance due to the better field gradient at SUL. The simulation results are qualitatively consistent with the actual experimental data obtained from the Guzik measurement

  18. Effects of intermediate layers on magnetic properties and read/write performance in CoCrPt perpendicular recording media with an FeHfN soft magnetic underlayer

    International Nuclear Information System (INIS)

    Hong, D.H.; Shin, J.N.; Lee, T.D.; Hong, S.Y.; Lee, H.J.

    2003-01-01

    In this study, the effects of CoCrPtTa and CoCrPtB magnetic intermediate layers (ILs) on the magnetic properties and read/write performance of CoCrPt/soft magnetic layer perpendicular recording media were investigated. Even though the perpendicular coercivity of the media with these ILs was reduced by 500 Oe, these media still showed a low exchange slope of 1.4 and a large negative nucleation field of about -1000 Oe. Additionally, the reduced grain size of the media with these IL was observed by transmission electron microscopy. From the read/write test, these media with ILs showed improved performance of 3-5 dB higher signal-to-noise ratio and overwrite ratio (OW) compared to the media without ILs. These enhancements could be attributed to the reduction of grain size of the magnetic layer and weakening of the intergranular interaction between grains by insertion of the IL

  19. Effect of electronic report writing on the quality of nursing report recording

    Science.gov (United States)

    Heidarizadeh, Khadijeh; Rassouli, Maryam; Manoochehri, Houman; Tafreshi, Mansoureh Zagheri; Ghorbanpour, Reza Kashef

    2017-01-01

    Background and Aim Recording performed nursery actions is one of the main chores of nurses. The findings have shown that recorded reports are not qualitatively valid. Since electronic reports can be regarded as a base to write reports, this study aims at determining the effect of utilizing electronic nursing reports on the quality of the records. Methods This quasi-experimental study was conducted with the aim of applying an electronic system of nursing recording in the heart department of Shahid Rahimi Medical Center, Lorestan University of Medical Science. The samples were nursing reports on the hospitalized patients in the heart department, the basis of complete enumeration (census) during the fall of 2014. The subjects were sixteen employed nurses. To do the study, the software of nursing records was set based on the Clinical Care Classification system (CCC). The research’s tool was the checklist of the Standards of Nursing Documentation. Results The findings indicated that before and after the intervention, the amount of reports’ adaption with the written standards, respectively, was (21.8%) and (71.3%), and the most complete recording was medicine status (58%) and (100%). The worst complete recording before the intervention, acute changes was (99.1%) and nursing processes was (78%) and after, the medicine status, intake and output status and patient’s education (100%); while the nursing report structure was regarded in all cases (100%). The results showed that there is a significant difference in the quality of reporting before and after using CCC (pnurses are reminded to record the necessary parts and from the other point, the system does not allow the user to shut it down unless the necessary parameters are recorded. For this reason, the quality of recorded reports with electronic reporting improves. PMID:29238481

  20. Beyond Records and Representations: Inbetween Writing in Educational Ethnography

    Science.gov (United States)

    Coles, Rebecca; Thomson, Pat

    2016-01-01

    Ethnographers are particularly interested in writing. They have paid particular attention to the practices of making field notes and to the ways in which their public texts represent those that they have encountered and studied. To date there has been less attention paid to the kinds of writing that used to make sense of experiences in the field.…

  1. Reducing the stochasticity of crystal nucleation to enable subnanosecond memory writing

    Science.gov (United States)

    Rao, Feng; Ding, Keyuan; Zhou, Yuxing; Zheng, Yonghui; Xia, Mengjiao; Lv, Shilong; Song, Zhitang; Feng, Songlin; Ronneberger, Ider; Mazzarello, Riccardo; Zhang, Wei; Ma, Evan

    2017-12-01

    Operation speed is a key challenge in phase-change random-access memory (PCRAM) technology, especially for achieving subnanosecond high-speed cache memory. Commercialized PCRAM products are limited by the tens of nanoseconds writing speed, originating from the stochastic crystal nucleation during the crystallization of amorphous germanium antimony telluride (Ge2Sb2Te5). Here, we demonstrate an alloying strategy to speed up the crystallization kinetics. The scandium antimony telluride (Sc0.2Sb2Te3) compound that we designed allows a writing speed of only 700 picoseconds without preprogramming in a large conventional PCRAM device. This ultrafast crystallization stems from the reduced stochasticity of nucleation through geometrically matched and robust scandium telluride (ScTe) chemical bonds that stabilize crystal precursors in the amorphous state. Controlling nucleation through alloy design paves the way for the development of cache-type PCRAM technology to boost the working efficiency of computing systems.

  2. Exchange coupled CoPt/FePtC media for heat assisted magnetic recording

    Science.gov (United States)

    Dutta, Tanmay; Piramanayagam, S. N.; Ru, Tan Hui; Saifullah, M. S. M.; Bhatia, C. S.; Yang, Hyunsoo

    2018-04-01

    L10 FePtC granular media are being studied as potential future magnetic recording media and are set to be used in conjunction with heat assisted magnetic recording (HAMR) to enable recording at write fields within the range of current day recording heads. Media structures based on a FePtC storage layer and a capping layer can alleviate the switching field distribution (SFD) requirements of HAMR and reduce the noise originating from the writing process. However, the current designs suffer from SFD issues due to high temperature writing. To overcome this problem, we study a CoPt/FePtC exchange coupled composite structure, where FePtC serves as the storage layer and CoPt (with higher Curie temperature, Tc) as the capping layer. CoPt remains ferromagnetic at near Tc of FePtC. Consequently, the counter exchange energy from CoPt would reduce the noise resulting from the adjacent grain interactions during the writing process. CoPt/FePtC bilayer samples with different thicknesses of CoPt were investigated. Our studies found that CoPt forms a continuous layer at a thickness of 6 nm and leads to considerable reduction in the saturation field and its distribution.

  3. [The use of expressive writing in the course of care for cancer patients to reduce emotional distress: analysis of the literature].

    Science.gov (United States)

    Gallo, Isabella; Garrino, Lorenza; Di Monte, Valerio

    2015-01-01

    The emotional distress represents one of the symptoms most frequently reported in the cancer patient in therapy, increasing the risk of developing a disease depressive. Through the analysis of the literature we want to assess whether the use of expressive writing on cancer patients in their care pathway compared to the use of writing neutral reduces emotional distress. The bibliographic search was conducted using the databases CINAHL, PubMed, Cochrane Library and PsycInfo. The results of research conducted on 7 randomized controlled trials, including 3 pilot studies have shown after expressive writing sessions (experimental group) versus neutral writing (control group) a significant reduction in distress in the experimental group early stages of cancer (p = 0,0183); in patients with a diagnosis of metastatic assigned to the group expressive writing there was a statistically significant relevance in the reduction of mood disorders (p = 0,03).Were determined statistically significant group differences also with respect to some measure on the quality of sleep (p = 0,04). The expressive writing did not produce significant reductions in psychological distress and improvements in physical health (p > 0,20) in patients diagnosed with metastatic disease of long duration and, in the palliative care there have been results of feasibility for poor adherence at follow-up. From the results it is evident that the strategies of expressive writing improves the management of the disease, reduce the physical and psychological symptoms related to the tumor while reducing the emotional distress in patients at an early stage of the disease.

  4. Benefits of expressive writing in reducing test anxiety: A randomized controlled trial in Chinese samples.

    Science.gov (United States)

    Shen, Lujun; Yang, Lei; Zhang, Jing; Zhang, Meng

    2018-01-01

    To explore the effect of expressive writing of positive emotions on test anxiety among senior-high-school students. The Test Anxiety Scale (TAS) was used to assess the anxiety level of 200 senior-high-school students. Seventy-five students with high anxiety were recruited and divided randomly into experimental and control groups. Each day for 30 days, the experimental group engaged in 20 minutes of expressive writing of positive emotions, while the control group was asked to merely write down their daily events. A second test was given after the month-long experiment to analyze whether there had been a reduction in anxiety among the sample. Quantitative data was obtained from TAS scores. The NVivo10.0 software program was used to examine the frequency of particular word categories used in participants' writing manuscripts. Senior-high-school students indicated moderate to high test anxiety. There was a significant difference in post-test results (P 0.05). Students' writing manuscripts were mainly encoded on five code categories: cause, anxiety manifestation, positive emotion, insight and evaluation. There was a negative relation between positive emotion, insight codes and test anxiety. There were significant differences in the positive emotion, anxiety manifestation, and insight code categories between the first 10 days' manuscripts and the last 10 days' ones. Long-term expressive writing of positive emotions appears to help reduce test anxiety by using insight and positive emotion words for Chinese students. Efficient and effective intervention programs to ease test anxiety can be designed based on this study.

  5. Benefits of expressive writing in reducing test anxiety: A randomized controlled trial in Chinese samples.

    Directory of Open Access Journals (Sweden)

    Lujun Shen

    Full Text Available To explore the effect of expressive writing of positive emotions on test anxiety among senior-high-school students.The Test Anxiety Scale (TAS was used to assess the anxiety level of 200 senior-high-school students. Seventy-five students with high anxiety were recruited and divided randomly into experimental and control groups. Each day for 30 days, the experimental group engaged in 20 minutes of expressive writing of positive emotions, while the control group was asked to merely write down their daily events. A second test was given after the month-long experiment to analyze whether there had been a reduction in anxiety among the sample. Quantitative data was obtained from TAS scores. The NVivo10.0 software program was used to examine the frequency of particular word categories used in participants' writing manuscripts.Senior-high-school students indicated moderate to high test anxiety. There was a significant difference in post-test results (P 0.05. Students' writing manuscripts were mainly encoded on five code categories: cause, anxiety manifestation, positive emotion, insight and evaluation. There was a negative relation between positive emotion, insight codes and test anxiety. There were significant differences in the positive emotion, anxiety manifestation, and insight code categories between the first 10 days' manuscripts and the last 10 days' ones.Long-term expressive writing of positive emotions appears to help reduce test anxiety by using insight and positive emotion words for Chinese students. Efficient and effective intervention programs to ease test anxiety can be designed based on this study.

  6. Benefits of expressive writing in reducing test anxiety: A randomized controlled trial in Chinese samples

    Science.gov (United States)

    Zhang, Jing; Zhang, Meng

    2018-01-01

    Purpose To explore the effect of expressive writing of positive emotions on test anxiety among senior-high-school students. Methods The Test Anxiety Scale (TAS) was used to assess the anxiety level of 200 senior-high-school students. Seventy-five students with high anxiety were recruited and divided randomly into experimental and control groups. Each day for 30 days, the experimental group engaged in 20 minutes of expressive writing of positive emotions, while the control group was asked to merely write down their daily events. A second test was given after the month-long experiment to analyze whether there had been a reduction in anxiety among the sample. Quantitative data was obtained from TAS scores. The NVivo10.0 software program was used to examine the frequency of particular word categories used in participants’ writing manuscripts. Results Senior-high-school students indicated moderate to high test anxiety. There was a significant difference in post-test results (P 0.05). Students’ writing manuscripts were mainly encoded on five code categories: cause, anxiety manifestation, positive emotion, insight and evaluation. There was a negative relation between positive emotion, insight codes and test anxiety. There were significant differences in the positive emotion, anxiety manifestation, and insight code categories between the first 10 days’ manuscripts and the last 10 days’ ones. Conclusions Long-term expressive writing of positive emotions appears to help reduce test anxiety by using insight and positive emotion words for Chinese students. Efficient and effective intervention programs to ease test anxiety can be designed based on this study. PMID:29401473

  7. Microstructures and Recording Mechanism of Mo/Si Bilayer Applied for Write-Once Blue Laser Optical Recording

    Directory of Open Access Journals (Sweden)

    Sin-Liang Ou

    2014-01-01

    Full Text Available Mo/Si bilayer thin films were grown by magnetron sputtering and applied to write-once blu-ray disc (BD-R. The microstructures and optical storage properties of Mo/Si bilayer were investigated. From the temperature dependence of reflectivity measurement, it was revealed that a phase change occurred in the range of 255–425°C. Transmission electron microscopy analysis showed that the as-deposited film possessed Mo polycrystalline phase. The hexagonal MoSi2 and cubic Mo3Si phases appeared after annealing at 300 and 450°C, respectively. By measuring the optical reflectivity at a wavelength of 405 nm, the optical contrast of Mo/Si bilayer between as-deposited and 450°C-annealed states was evaluated to 25.8%. The optimum jitter value of 6.8% was obtained at 10.65 mW for 4× recording speed. The dynamic tests show that the Mo/Si bilayer has high potential in BD-R applications.

  8. Channel modeling, signal processing and coding for perpendicular magnetic recording

    Science.gov (United States)

    Wu, Zheng

    With the increasing areal density in magnetic recording systems, perpendicular recording has replaced longitudinal recording to overcome the superparamagnetic limit. Studies on perpendicular recording channels including aspects of channel modeling, signal processing and coding techniques are presented in this dissertation. To optimize a high density perpendicular magnetic recording system, one needs to know the tradeoffs between various components of the system including the read/write transducers, the magnetic medium, and the read channel. We extend the work by Chaichanavong on the parameter optimization for systems via design curves. Different signal processing and coding techniques are studied. Information-theoretic tools are utilized to determine the acceptable region for the channel parameters when optimal detection and linear coding techniques are used. Our results show that a considerable gain can be achieved by the optimal detection and coding techniques. The read-write process in perpendicular magnetic recording channels includes a number of nonlinear effects. Nonlinear transition shift (NLTS) is one of them. The signal distortion induced by NLTS can be reduced by write precompensation during data recording. We numerically evaluate the effect of NLTS on the read-back signal and examine the effectiveness of several write precompensation schemes in combating NLTS in a channel characterized by both transition jitter noise and additive white Gaussian electronics noise. We also present an analytical method to estimate the bit-error-rate and use it to help determine the optimal write precompensation values in multi-level precompensation schemes. We propose a mean-adjusted pattern-dependent noise predictive (PDNP) detection algorithm for use on the channel with NLTS. We show that this detector can offer significant improvements in bit-error-rate (BER) compared to conventional Viterbi and PDNP detectors. Moreover, the system performance can be further improved by

  9. Benefits of Record Management For Scientific Writing (Study of Metadata Reception of Zotero Reference Management Software in UIN Malang

    Directory of Open Access Journals (Sweden)

    Moch Fikriansyah Wicaksono

    2018-01-01

    Full Text Available Record creation and management by individuals or organizations grows rapidly, particularly the change from print to electronics, and the smallest part of record (metadata. Therefore, there is a need to perform record management metadata, particularly for students who have the needs of recording references and citation. Reference management software (RMS is a software to help reference management, one of them named zotero. The purpose of this article is to describe the benefits of record management for the writing of scientific papers for students, especially on biology study program in UIN Malik Ibrahim Malang. The type of research used is descriptive with quantitative approach. To increase the depth of respondents' answers, we used additional data by conducting interviews. The selected population is 322 students, class of 2012 to 2014, using random sampling. The selection criteria were chosen because the introduction and use of reference management software, zotero have started since three years ago.  Respondents in this study as many as 80 people, which is obtained from the formula Yamane. The results showed that 70% agreed that using reference management software saved time and energy in managing digital file metadata, 71% agreed that if digital metadata can be quickly stored into RMS, 65% agreed on the ease of storing metadata into the reference management software, 70% agreed when it was easy to configure metadata to quote and bibliography, 56.6% agreed that the metadata stored in reference management software could be edited, 73.8% agreed that using metadata will make it easier to write quotes and bibliography.

  10. The Dynamics of Narrative Writing in Primary Grade Children: Writing Process Factors Predict Story Quality

    Science.gov (United States)

    von Koss Torkildsen, Janne; Morken, Frøydis; Helland, Wenche A.; Helland, Turid

    2016-01-01

    In this study of third grade school children, we investigated the association between writing process measures recorded with key stroke logging and the final written product. Moreover, we examined the cognitive predictors of writing process and product measures. Analyses of key strokes showed that while most children spontaneously made local…

  11. Scanner for the recording of radioactivity distribution

    International Nuclear Information System (INIS)

    Seebeck, U.

    1975-01-01

    In a scintiscanner comprising an upper measuring head and a lower measuring head and associated write heads, the activity distribution measured by the lower measuring head is recorded in the form of a mirror-image if the write heads in the two recording directions are moved in the same direction with respect to each other. However, if the write heads in the one recording direction are displaced opposite to each other, a correct recording is obtained, but it is then very difficult to compare the scintigrams recorded by the measuring heads. The invention describes a simple mechanical device by means of which the write heads can be moved in the same or in the opposite direction with respect to each other. (auth)

  12. Partners in Crime: Integrating Forensic Science and Writing

    Science.gov (United States)

    Hein, Erik

    2006-01-01

    Forensic science lends itself to many academic areas. Aside from the science itself, writing plays a major role in the investigation process as well as in the courtroom. It is paramount that students learn how to write proficiently when recording results or writing evaluations and reports, just as forensic scientists do. This can also be done…

  13. Writing on Multiple Journeys

    OpenAIRE

    Robbins, Sarah; Pullen, Ann Ellis

    2012-01-01

    In their beautifully researched study and critical edition, Nellie Arnott’s Writings on Angola, 1905–1913: Missionary Narratives Linking Africa and America (Parlor Press), authors Sarah Robbins and Ann Ellis Pullen examine in fine detail the historical record of the transnational network of literary work produced by Arnott. Tracing her legacy in the study’s third chapter, “Writing on Multiple Journeys,” the authors argue on behalf of Arnott’s capacity to create authority and celebrity as well...

  14. Randomized clinical trial of expressive writing on wound healing following bariatric surgery.

    Science.gov (United States)

    Koschwanez, Heidi; Robinson, Hayley; Beban, Grant; MacCormick, Andrew; Hill, Andrew; Windsor, John; Booth, Roger; Jüllig, Mia; Broadbent, Elizabeth

    2017-07-01

    Writing emotionally about upsetting life events (expressive writing) has been shown to speed healing of punch-biopsy wounds compared to writing objectively about daily activities. We aimed to investigate whether a presurgical expressive writing intervention could improve surgical wound healing. Seventy-six patients undergoing elective laparoscopic bariatric surgery were randomized either to write emotionally about traumatic life events (expressive writing) or to write objectively about how they spent their time (daily activities writing) for 20 min a day for 3 consecutive days beginning 2 weeks prior to surgery. A wound drain was inserted into a laparoscopic port site and wound fluid analyzed for proinflammatory cytokines collected over 24 hr postoperatively. Expanded polytetrafluoroethylene tubes were inserted into separate laparoscopic port sites during surgery and removed after 14 days. Tubes were analyzed for hydroxyproline deposition (the primary outcome), a major component of collagen and marker of healing. Fifty-four patients completed the study. Patients who wrote about daily activities had significantly more hydroxyproline than did expressive writing patients, t(34) = -2.43, p = .020, 95% confidence interval [-4.61, -0.41], and higher tumor necrosis factor-alpha, t(29) = -2.42, p = .022, 95% confidence interval [-0.42, -0.04]. Perceived stress significantly reduced in both groups after surgery. Expressive writing prior to bariatric surgery was not effective at increasing hydroxyproline at the wound site 14 days after surgery. However, writing about daily activities did predict such an increase. Future research needs to replicate these findings and investigate generalizability to other surgical groups. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  15. Design of double tape recorder data acquisition system

    International Nuclear Information System (INIS)

    Guo Tianrui; Du Yifei

    1995-01-01

    In the data acquisition system supported by the microcomputer tape recorder, as the acquisition speed is often limited by the low speed of tape recorder, so a double tape recorder system is designed. In this system, two tape recorders are used in on-line acquisition system simultaneously. One DMA channel used is one designed for soft disk driver, another DMA channel used is one retained for user. By this way, the speed of tape writing could be increased to nearly twice as much. In order to prevent the data confusion, the authors open two data buffers in system and write different mark in each buffer, then write the data block to two tape recorders according to the mark. The system complies with the principle: 'Double write, Double read'

  16. An Exploratory Study of Pauses in Computer-Assisted EFL Writing

    Science.gov (United States)

    Xu, Cuiqin; Ding, Yanren

    2014-01-01

    The advance of computer input log and screen-recording programs over the last two decades has greatly facilitated research into the writing process in real time. Using Inputlog 4.0 and Camtasia 6.0 to record the writing process of 24 Chinese EFL writers in an argumentative task, this study explored L2 writers' pausing patterns in computer-assisted…

  17. The Potential Role(s) of Writing in Second Language Development

    Science.gov (United States)

    Williams, Jessica

    2012-01-01

    Writing is often seen as having a minor role in second language learning. This article explores recent research that suggests that writing can have a facilitative role in language development. In particular, it focuses on three features of writing: (1) its slower pace, and (2) the enduring record that it leaves, both of which can encourage…

  18. Reduce, Reuse, Recycle: Resources and Strategies for the Use of Writing Projects in Mathematics

    Science.gov (United States)

    Latulippe, Joe; Latulippe, Christine

    2014-01-01

    As an often recommended but under-utilized pedagogical strategy, writing in mathematics has many benefits for students. However, creating and grading worthwhile writing projects can be more time-consuming than utilizing more traditional forms of assessment. This paper provides a concrete example of a writing project prompt, questions, directions,…

  19. A novel approach for monitoring writing interferences during navigated transcranial magnetic stimulation mappings of writing related cortical areas.

    Science.gov (United States)

    Rogić Vidaković, Maja; Gabelica, Dragan; Vujović, Igor; Šoda, Joško; Batarelo, Nikolina; Džimbeg, Andrija; Zmajević Schönwald, Marina; Rotim, Krešimir; Đogaš, Zoran

    2015-11-30

    It has recently been shown that navigated repetitive transcranial magnetic stimulation (nTMS) is useful in preoperative neurosurgical mapping of motor and language brain areas. In TMS mapping of motor cortices the evoked responses can be quantitatively monitored by electromyographic (EMG) recordings. No such setup exists for monitoring of writing during nTMS mappings of writing related cortical areas. We present a novel approach for monitoring writing during nTMS mappings of motor writing related cortical areas. To our best knowledge, this is the first demonstration of quantitative monitoring of motor evoked responses from hand by EMG, and of pen related activity during writing with our custom made pen, together with the application of chronometric TMS design and patterned protocol of rTMS. The method was applied in four healthy subjects participating in writing during nTMS mapping of the premotor cortical area corresponding to BA 6 and close to the superior frontal sulcus. The results showed that stimulation impaired writing in all subjects. The corresponding spectra of measured signal related to writing movements was observed in the frequency band 0-20 Hz. Magnetic stimulation affected writing by suppressing normal writing frequency band. The proposed setup for monitoring of writing provides additional quantitative data for monitoring and the analysis of rTMS induced writing response modifications. The setup can be useful for investigation of neurophysiologic mechanisms of writing, for therapeutic effects of nTMS, and in preoperative mapping of language cortical areas in patients undergoing brain surgery. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    International Nuclear Information System (INIS)

    Zhang Wei; Theil Kuhn, Luise; Zhang Qiang; Zhao Mengqiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10 −9 A m −2 ) in scanning transmission electron microscopy (STEM) mode. Under electron probe irradiation, the carbon atom tends to displace within a crystalline specimen, and dangling bonds are formed from the original sp 2 bonding after local carbon atoms have been kicked off. The absorbed random foreign amorphous carbon assembles along the line of the scanning direction induced by secondary electrons and is immobilized near the edge. With the ultralow secondary electron yield of the graphene, additional foreign atoms determining the accuracy of the pattern have been greatly reduced near the targeting region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through electron beams. (paper)

  1. Using tracking software for writing instruction

    Directory of Open Access Journals (Sweden)

    Sane M. Yagi

    2011-08-01

    Full Text Available Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product; it is there that they could find sources of writing problems. Despite all controversy evoked by post-process approaches with respect to process writing, information technology has lately offered tools that can shed new light on how writing takes place. Software that can record keyboard, mouse, and screen activities is capable of unraveling mysteries of the writing process. Technology has given teachers and learners the option of examining the writing process as it unfolds, enabling them to diagnose strategy as well as wording problems, thus empowering teachers to guide learners individually in how to think about each of their trouble spots in the context of a specific product of writing. With these advances in information technology, metacognitive awareness and strategy training begin to acquire new dimensions of meaning. Technology lays open aspects of the writing process, offering unprecedented insight into creative text production as well. This paper attempts to explain how tracking software can influence writing instruction. It briefly examines the process and post-process approaches to assess their viability, explains the concept of tracking software, proposes methodology needed for the adoption of this technology, and then discusses the pedagogical implications of these issues.

  2. Micromagnetic recording model of writer geometry effects at skew

    Science.gov (United States)

    Plumer, M. L.; Bozeman, S.; van Ek, J.; Michel, R. P.

    2006-04-01

    The effects of the pole-tip geometry at the air-bearing surface on perpendicular recording at a skew angle are examined through modeling and spin-stand test data. Head fields generated by the finite element method were used to record transitions within our previously described micromagnetic recording model. Write-field contours for a variety of square, rectangular, and trapezoidal pole shapes were evaluated to determine the impact of geometry on field contours. Comparing results for recorded track width, transition width, and media signal to noise ratio at 0° and 15° skew demonstrate the benefits of trapezoidal and reduced aspect-ratio pole shapes. Consistency between these modeled results and test data is demonstrated.

  3. Real-time capture of student reasoning while writing

    Science.gov (United States)

    Franklin, Scott V.; Hermsen, Lisa M.

    2014-12-01

    We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, "S notation," is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews) into student reasoning during the writing process.

  4. The effectiveness of self-compassion and self-esteem writing tasks in reducing body image concerns.

    Science.gov (United States)

    Seekis, Veya; Bradley, Graham L; Duffy, Amanda

    2017-12-01

    This study investigated whether single-session self-compassion and self-esteem writing tasks ameliorate the body image concerns evoked by a negative body image induction. Ninety-six female university students aged 17-25 years (M age =19.45, SD=1.84) were randomly assigned to one of three writing treatment groups: self-compassion, self-esteem, or control. After reading a negative body image scenario, participants completed scales measuring state body appreciation, body satisfaction, and appearance anxiety. They then undertook the assigned writing task, and completed the three measures again, both immediately post-treatment and at 2-week follow-up. The self-compassion writing group showed higher post-treatment body appreciation than the self-esteem and control groups, and higher body appreciation than the control group at follow-up. At post-treatment and follow-up, self-compassion and self-esteem writing showed higher body satisfaction than the control. The groups did not differ on appearance anxiety. Writing-based interventions, especially those that enhance self-compassion, may help alleviate certain body image concerns. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. MRO CRISM MULTISPECTRAL REDUCED DATA RECORD V1.0

    Data.gov (United States)

    National Aeronautics and Space Administration — This dataset contains CRISM Multispectral Reduced Data Records (MRDRs). MRDRs are organized into 30 subdirectories named by the Mars Chart containing the MRDR, e.g....

  6. Enhancing Writing through Strengthened Executive Function

    Directory of Open Access Journals (Sweden)

    Russell Jay Hendel

    2014-10-01

    Full Text Available We explore aspects of essay writing requiring high-level organizational capacity and executive function. The literature supports the approach that specific and focused writing-skill mastery leads to reduced anxiety and increased self-efficacy which correlates with improved writing skills. Although essay writing is a complex multi-dimensional task, two particular strategies, tree-diagram and reference methods, specifically address the organizational skills characteristic of executive function. The tree and reference methods presented in this paper address the flow of information, not content, and consequently, the methods presented in this paper apply to mathematics and English as well as to K-12 and college level.

  7. 15 CFR 908.14 - Business to be transacted in writing.

    Science.gov (United States)

    2010-01-01

    ... 15 Commerce and Foreign Trade 3 2010-01-01 2010-01-01 false Business to be transacted in writing... MAINTAINING RECORDS AND SUBMITTING REPORTS ON WEATHER MODIFICATION ACTIVITIES § 908.14 Business to be transacted in writing. All business transacted with the National Oceanic and Atmospheric Administration with...

  8. Scientific writing seminar for early-stage investigators in substance abuse research.

    Science.gov (United States)

    Guydish, Joseph; Masson, Carmen; Flentje, Annesa; Shopshire, Michael; Sorensen, James L

    2016-01-01

    There is little information on how to increase the scientific writing productivity of early-stage investigators in the addictions field. A scientific writing seminar is presented in this article, aiming to encourage manuscript writing and dissemination of addiction research, and outcomes are reported for 14 years of the seminar. In 14 years, there were 113 postdoctoral fellow enrollments in a 6-month writing seminar. Records of submission and publication rates of manuscripts were collected for 14 cohorts. Of the 113 participant enrollments, 97 (86%) submitted a manuscript for publication, and 87 participants (77%) published their manuscript. A scientific writing seminar may benefit writing productivity, but more research is needed to compare this training model with other existing models.

  9. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  10. Real-time capture of student reasoning while writing

    Directory of Open Access Journals (Sweden)

    Scott V. Franklin

    2014-09-01

    Full Text Available We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, “S notation,” is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews into student reasoning during the writing process.

  11. Promoting Linguistic Complexity, Greater Message Length and Ease of Engagement in Email Writing in People with Aphasia: Initial Evidence from a Study Utilizing Assistive Writing Software

    Science.gov (United States)

    Thiel, Lindsey; Sage, Karen; Conroy, Paul

    2017-01-01

    Background: Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single-word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with…

  12. 76 FR 12076 - Privacy Act of 1974; System of Records

    Science.gov (United States)

    2011-03-04

    .... For a list of system managers at the Defense Logistics Agency Primary Level Field Activities write to... managers at the Defense Logistics Agency Primary Level Field Activities, write to the Project Manager...; System of Records AGENCY: Defense Logistics Agency, DoD. ACTION: Notice to amend a system of records...

  13. Time-resolved scanning Kerr microscopy of flux beam formation in hard disk write heads

    International Nuclear Information System (INIS)

    Valkass, Robert A. J.; Spicer, Timothy M.; Burgos Parra, Erick; Hicken, Robert J.; Bashir, Muhammad A.; Gubbins, Mark A.; Czoschke, Peter J.; Lopusnik, Radek

    2016-01-01

    To meet growing data storage needs, the density of data stored on hard disk drives must increase. In pursuit of this aim, the magnetodynamics of the hard disk write head must be characterized and understood, particularly the process of “flux beaming.” In this study, seven different configurations of perpendicular magnetic recording (PMR) write heads were imaged using time-resolved scanning Kerr microscopy, revealing their detailed dynamic magnetic state during the write process. It was found that the precise position and number of driving coils can significantly alter the formation of flux beams during the write process. These results are applicable to the design and understanding of current PMR and next-generation heat-assisted magnetic recording devices, as well as being relevant to other magnetic devices.

  14. Magnetic Force Microscopy Observation of Perpendicular Recording Head Remanence

    Science.gov (United States)

    Dilekrojanavuti, P.; Saengkaew, K.; Cheowanish, I.; Damrongsak, B.

    2017-09-01

    In this work, magnetic force microscopy (MFM) was utilized to observe the magnetic write head remanence, which is the remaining out-of-plane magnetic field on magnetic write heads after a write current is turned off. This remnant field can write unwanted tracks or erase written tracks on a magnetic media. The write head remanence can also occur from device and slider fabrication, either by applying current to the write coil during the inspection or biasing the external magnetic field to magnetic recording heads. This remanence can attract magnetic nanoparticles, which is suspended in cleaning water or surrounding air, and cause device contamination. MFM images were used to examine locations of the remnant field on the surface of magnetic recording heads. Experimental results revealed that the remanence occurred mostly on the shield and is dependent on the initial direction of magnetic moments. In addition, we demonstrated a potential use of MFM imaging to investigate effects of different etching gases on the head remanence.

  15. Penetrating eye injuries from writing instruments

    Directory of Open Access Journals (Sweden)

    Kelly SP

    2011-12-01

    Full Text Available Simon P Kelly, Graham MB ReevesThe Royal Bolton Hospital, Bolton, UKPurpose: To consider the potential for ocular injury from writing implements by presenting four such cases, and to consider the incidence of such eye injuries from analysis of a national trauma database.Methods: The Home and Leisure Accident Surveillance System was searched for records of eye injuries from writing instruments to provide UK estimates of such injuries. Four patients with ocular penetrating injury from pens or pencils (especially when caused by children, and examined by the authors, are described which illustrate mechanisms of injury.Results: It is estimated that around 748 ocular pen injuries and 892 ocular pencil injuries of undetermined severity occurred annually in the UK during the database surveillance period 2000–2002. No eye injuries from swords, including toy swords and fencing foils, were reported.Conclusion: Ocular perforation sometimes occur from writing instruments that are thrown in the community, especially by children. Implications for policy and prevention are discussed. Non-specialists should have a low threshold for referring patients with eye injuries if suspicious of ocular penetration, even where caused by everyday objects, such as writing instruments.Keywords: eye injury, eye, children, mechanism, writing instruments, prevention

  16. Recording performances in perpendicular magnetic patterned media

    International Nuclear Information System (INIS)

    Asbahi, M; Moritz, J; Dieny, B; Gourgon, C; Perret, C; Van de Veerdonk, R J M

    2010-01-01

    We report on the recording performances and signal-to-noise ratio (SNR) analyses of perpendicular magnetic bit-patterned media. Two different types of magnetic samples are investigated. They differ by the way that they were patterned (nano-imprint versus e-beam lithography) as well as their magnetic properties (Co/Pt multilayers and CoCrPt alloy are the recording layers).Using a contact read/write quasi-static tester, we were able to characterize the write windows, the bit error rates and measure the SNR. The influence of magnetic properties and media microstructure on the writing processes is studied. We show also that the lithographical method used to replicate the media induces more or less noise due to structural distributions.

  17. Accelerated numerical processing of electronically recorded holograms with reduced speckle noise.

    Science.gov (United States)

    Trujillo, Carlos; Garcia-Sucerquia, Jorge

    2013-09-01

    The numerical reconstruction of digitally recorded holograms suffers from speckle noise. An accelerated method that uses general-purpose computing in graphics processing units to reduce that noise is shown. The proposed methodology utilizes parallelized algorithms to record, reconstruct, and superimpose multiple uncorrelated holograms of a static scene. For the best tradeoff between reduction of the speckle noise and processing time, the method records, reconstructs, and superimposes six holograms of 1024 × 1024 pixels in 68 ms; for this case, the methodology reduces the speckle noise by 58% compared with that exhibited by a single hologram. The fully parallelized method running on a commodity graphics processing unit is one order of magnitude faster than the same technique implemented on a regular CPU using its multithreading capabilities. Experimental results are shown to validate the proposal.

  18. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  19. The Role of Interest in Students' Writing Fluency and the Quality of the Product.

    Science.gov (United States)

    Lewis, Dorothy P.

    A study was conducted to examine the effects of students' interests on their writing. A group of 571 high school seniors, fluent and nonfluent in writing, completed a questionnaire dealing with aspects of writing interest, such as subject, form, or voice. Tape recorded interviews addressing the same aspects were conducted with 11 fluent seniors…

  20. Diagnosing EAP Writing Ability Using the Reduced Reparameterized Unified Model

    Science.gov (United States)

    Kim, Youn-Hee

    2011-01-01

    Despite the increasing interest in and need for test information for use in instructional practice and student learning, there have been few attempts to systematically link a diagnostic approach to English for academic purposes (EAP) writing instruction and assessment. In response to this need for research, this study examined the extent to which…

  1. Symmetrical waveguide devices fabricated by direct UV writing

    DEFF Research Database (Denmark)

    Færch, Kjartan Ullitz; Svalgaard, Mikael

    2002-01-01

    Power splitters and directional couplers fabricated by direct UV writing in index matched silica-on-silicon samples can suffer from an asymmetrical device performance, even though the UV writing is carried out in a symmetrical fashion. This effect originates from a reduced photosensitivity...

  2. Writing and mathematical problem solving in Grade 3

    Directory of Open Access Journals (Sweden)

    Belinda Petersen

    2017-06-01

    Full Text Available This article looks at writing tasks as a methodology to support learners’ mathematical problemsolving strategies in the South African Foundation Phase context. It is a qualitative case study and explores the relation between the use of writing in mathematics and development of learners’ problem-solving strategies and conceptual understanding. The research was conducted in a suburban Foundation Phase school in Cape Town with a class of Grade 3 learners involved in a writing and mathematics intervention. Writing tasks were modelled to learners and implemented by them while they were engaged in mathematical problem solving. Data were gathered from a sample of eight learners of different abilities and included written work, interviews, field notes and audio recordings of ability group discussions. The results revealed an improvement in the strategies and explanations learners used when solving mathematical problems compared to before the writing tasks were implemented. Learners were able to reflect critically on their thinking through their written strategies and explanations. The writing tasks appeared to support learners in providing opportunities to construct and apply mathematical knowledge and skills in their development of problem-solving strategies.

  3. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  4. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  5. Context-Model-Based Instruction in Teaching EFL Writing: A Narrative Inquiry

    Science.gov (United States)

    Lin, Zheng

    2016-01-01

    This study aims to re-story the provision of the context-model-based instruction in teaching EFL writing, focusing especially on students' development of the context model and learning to guide EFL writing with the context model. The research data have been collected from the audio recordings of the classroom instruction, the teacher-researcher's…

  6. Supplementing Tribal Culture Using Technical Writing Basics

    Science.gov (United States)

    Tichenor, Stuart

    2008-01-01

    Using technical writing basics, a cohort of Lighthorse Police Officers from the Muscogee (Creek) Nation added to their tribe's cultural history by recording part of their family and clan history as well as documenting their law enforcement careers and education.

  7. Understanding the cognitive processes involved in writing to learn.

    Science.gov (United States)

    Arnold, Kathleen M; Umanath, Sharda; Thio, Kara; Reilly, Walter B; McDaniel, Mark A; Marsh, Elizabeth J

    2017-06-01

    Writing is often used as a tool for learning. However, empirical support for the benefits of writing-to-learn is mixed, likely because the literature conflates diverse activities (e.g., summaries, term papers) under the single umbrella of writing-to-learn. Following recent trends in the writing-to-learn literature, the authors focus on the underlying cognitive processes. They draw on the largely independent writing-to-learn and cognitive psychology learning literatures to identify important cognitive processes. The current experiment examines learning from 3 writing tasks (and 1 nonwriting control), with an emphasis on whether or not the tasks engaged retrieval. Tasks that engaged retrieval (essay writing and free recall) led to better final test performance than those that did not (note taking and highlighting). Individual differences in structure building (the ability to construct mental representations of narratives; Gernsbacher, Varner, & Faust, 1990) modified this effect; skilled structure builders benefited more from essay writing and free recall than did less skilled structure builders. Further, more essay-like responses led to better performance, implicating the importance of additional cognitive processes such as reorganization and elaboration. The results highlight how both task instructions and individual differences affect the cognitive processes involved when writing-to-learn, with consequences for the effectiveness of the learning strategy. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  8. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    DEFF Research Database (Denmark)

    Zhang, Wei; Zhang, Qiang; Zhao, Meng-Qiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information...... is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10...... region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through...

  9. Write Strategy for Dual-Layer Digital Versatile Discs

    Science.gov (United States)

    Tabata, Hiroshi; Tokui, Kenji; Higuchi, Shinji; Moriizumi, Hirokazu; Matsumoto, Ikuo

    2006-02-01

    A novel write strategy for rewritable dual-layer digital versatile discs (DVDs) was studied. This new strategy involves the erase top pulse which is included in the conventional write strategy for single-layer DVDs in present market. By thermal calculations, it was confirmed that this erase top pulse has an affect on the rapid heating of recording films. We observed that this new strategy enabled the improvement in data qualities on the layer near the laser incident (L0) effectively in 2 × and 4 ×-speed recordings even if L0 had a high optical transparency. Furthermore we also demonstrated a combination of what with the 2T-period strategy on the layer far from the laser incident (L1) realized a well-balanced signal performance for dual-layer DVD media.

  10. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  11. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  12. Peer-editing Practice in the Writing Classroom: Benefits and Drawbacks

    Directory of Open Access Journals (Sweden)

    Ann Rosnida Md. Deni

    2011-01-01

    Full Text Available Small scale studies have shown that peer-editing is beneficial to students as it increases their awareness of the complex process of writing, it improves their knowledge of and skills in writing and helps them become more autonomous in learning. Teachers too may benefit from peer-editing as this practice discloses invaluable information on students’ writing weaknesses and strengths: and teachers’ teaching effectiveness. This is a small scale study conducted on fifteen first-year degree students majoring in Tourism to view the usefulness of peer-editing practice in enhancing their writing skills. Retrospective notes were taken to record students’ receptiveness and reaction towards peer editing practice: students writing samples and peer- editing questionnaires were analyzed to view students’ revisions and comments; and an open— ended questionnaire was distributed to identify students perceptions of peer—editing practice in the writing classroom. Analysis of data gathered revealed that peer-editing practice benefitted both the teacher and most of her students as it exposed important information that could improve her teaching of writing and her students’ writing practices. Data analysis also. however, discloses that peer-editing practice may have adverse effects on students’ motivation and improvement in writing if they are not deployed properly.

  13. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  14. A conversation analysis of the function of silence in writing conferences

    Directory of Open Access Journals (Sweden)

    Milad Mirzaee

    2016-07-01

    Full Text Available One of the recent issues in English as a Second/Foreign Language (ESL/EFL writing instruction has been the quest for a more effective way to give feedback to L2 learners’ writing drafts. Although teacher- learner writing conferences have been increasingly used for providing ample opportunity for negotiating revisions, relatively little attention has been given to actual teacher-learner conversation. Drawing on sociocultural theory, which holds that all cognitive developments are results of ‘social interactions’, and drawing on conversation analysis as an analytical tool, this study attempts to explore the different functions of ‘silence’ in writing conferences during teacher-learner conversation. The data comes from transcripts of six 1-hour writing conferences video-recorded in a graduate program with 7 candidates in Iran. During the writing conferences, learners’ drafts were discussed. Findings of the study demonstrated that teacher’s silence can play a key role in the management of turns in writing conferences, thereby providing the parties with various opportunities for accomplishing intersubjectivity: the teacher used silence to rethink the information provided during writing conferences, and the learner exploited silence to revise the writing draft. The current study, reporting a range of functions of silence in writing conferences, offers an extension to the existing literature and draws language teachers’, specifically writing instructors’, attention to different functions of silence in writing conferences.

  15. Using suggestion to model different types of automatic writing.

    Science.gov (United States)

    Walsh, E; Mehta, M A; Oakley, D A; Guilmette, D N; Gabay, A; Halligan, P W; Deeley, Q

    2014-05-01

    Our sense of self includes awareness of our thoughts and movements, and our control over them. This feeling can be altered or lost in neuropsychiatric disorders as well as in phenomena such as "automatic writing" whereby writing is attributed to an external source. Here, we employed suggestion in highly hypnotically suggestible participants to model various experiences of automatic writing during a sentence completion task. Results showed that the induction of hypnosis, without additional suggestion, was associated with a small but significant reduction of control, ownership, and awareness for writing. Targeted suggestions produced a double dissociation between thought and movement components of writing, for both feelings of control and ownership, and additionally, reduced awareness of writing. Overall, suggestion produced selective alterations in the control, ownership, and awareness of thought and motor components of writing, thus enabling key aspects of automatic writing, observed across different clinical and cultural settings, to be modelled. Copyright © 2014. Published by Elsevier Inc.

  16. Report writing in skeletal radiology

    International Nuclear Information System (INIS)

    Rowe, L.J.; Yochum, T.R.

    1987-01-01

    The formulation of reports in clinical practice is a standard method of documentation of a patient's history, examination findings, therapeutic regime, and prognosis, as well as other important features. In the practice of producing and interpreting diagnostic radiographs, report writing also serves a number of important roles, which include providing an accurate means of recording findings in instances of 1) medicolegal circumstances; 2) a standard for comparison with previous or later examinations; 3) a permanent record if the radiographs are lost or not immediately available for perusal; 4) communication with other practitioners and health professionals; and 5) expediating the treatment regime by providing a resume of important indications and contraindictions for therapy. In the radiological literature there is a distinct lack of material on report writing and very little as to what would be considered a standard style. Consequently, radiological reporting has increasingly become a subjective, personalized procedure, with each individual modifying the report according to previous training, experience, and needs. It is the purpose of this chapter to provide basic guidelines on the mechanisms of formulating adequate standardized reports in radiological examinations of the skeletal system

  17. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  18. Prescription Writing Errors of Midwifery Students in Common Gynecological problems

    Directory of Open Access Journals (Sweden)

    Serveh Parang

    2014-04-01

    Full Text Available Background and aim: Giving improper prescriptions is common among medical practitioners, mostly graduates, in most communities even developed countries. So far, to our knowledge, no study has been conducted on prescription writing of graduate midwifery students. Therefore, this study aimed to detect prescription writing errors of midwifery students in common gynecological problems. Methods: In this descriptive cross-sectional study, 56 bachelor midwifery students, who had passed the theoretical and clinical courses of gynecology, were evaluated by Objective Structured Clinical Examination (OSCE. A demographic questionnaire and a standard checklist for writing the prescriptions and medications were used for data collection. SPSS Version 16 was used to carry out descriptive statistics. Findings: Most of the students were single, with the mean age of 23.0±1.7 years. Most errors were related to not recording the patients’ age and sex, diagnosis, chief complaint, and the prescriber’s name (observed in less than 10% of the prescriptions. The complete dosage schedule and drug name were stated only in 1.8±4.8 and 14±18.6 of prescriptions, respectively. In more than 93% of the cases, route of use and treatment duration were not recorded. Conclusion: According to the results, the number of prescription errors of midwifery students was high. Therefore, it is recommended to run educational courses on prescription writing skills (e.g. writing prescriptions based on World Health Organization (WHO guidelines for the midwifery students.

  19. 32 CFR 318.6 - Procedures for requests pertaining to individual records in a record system.

    Science.gov (United States)

    2010-07-01

    ... such records, or to be provided an accounting of disclosures from such records, shall submit his or her..., review or copies, and an accounting of disclosures will provide in writing his or her full name, address, Social Security Number, and a telephone number where the requester can be contacted should questions...

  20. The Impact of First and Second Languages on Azerbaijani EFL Learners’ Writing Ability

    Directory of Open Access Journals (Sweden)

    Mansour Shabani

    2016-01-01

    Full Text Available There has always been a debate whether or not the learners’ first language (L1 can facilitate the process of learning foreign language. Since foreign language writing (FL is a complicated process, it seems that the role of the learners’ L1 and its effect on FL writing is of great importance in this regard. The present study aimed at investigating the role of Azerbaijani EFL learners’ L1 and L2 (Persian on their FL writing. To meet the purposes of the study, 30 female EFL upper-intermediate students were selected (through running an OPT, who were native speakers of Azerbaijani, with Persian as their L2 and official language of Iranian context. The data were collected through running three writing sessions (in which the participants wrote three essays in each session using Azerbaijani as L1, Persian as L2, and direct writing using the think-aloud protocol, through which they were asked to report their thoughts loudly to record using a tape recorder. In addition, a survey was used to ask their perceptions towards each writing task. The analysis of the data obtained from the evaluation of learners’ writings indicated that the mere use of their L1 or L2 in foreign language writing was by no means helpful for them and they performed better on direct writing task in comparison with the two other ones. It was also found that the majority of the learners (70% had difficulty in generating their ideas using Azerbaijani as their L1. In addition, nearly 77% of them claimed that even in direct writing mode, they made use of their L2 (Persian on the occasions they could not find a proper word or phrase in English. As the implications of the study, it seems that the present study can bring helpful insights for both FL teachers and learners about the roles that Azerbaijani and Persian languages play as the students’ L1 and L2 in FL writing. Keywords: Writing ability, first language, second language, foreign language

  1. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  2. MRO CRISM MAP-PROJECTED TARGETED REDUCED DATA RECORD V1.0

    Data.gov (United States)

    National Aeronautics and Space Administration — This volume contains the CRISM Map-projected Targeted Reduced Data Record (MTRDR) archive, a collection of multiband image cubes derived from targeted (gimbaled)...

  3. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  4. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  5. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  6. Cu-Si bilayers as storage medium in optical recording

    International Nuclear Information System (INIS)

    Kuiper, A.E. T.; Vullers, R.J.M.; Pasquariello, D.; Naburgh, E.P.

    2005-01-01

    Instead of a phase change or a dye layer, a Cu/Si bilayer can be applied as the recording medium in a write-once Blu-ray Disc. The write process basically comprises the formation of a CuSi alloy containing 25-30 at. % Si, while any excess of Si is left behind as unreacted film. Auger analyses of the laser-written layers indicate that recording consists primarily of the diffusion of Si into Cu. The data allow for discrimination between the various models presented in literature for Cu/Si-based recording and to optimize the stack. Very low jitter levels of typically 4% proved to be achievable with equally thick films of Cu and Si as recording medium

  7. Read/write properties of oriented longitudinal recording media

    International Nuclear Information System (INIS)

    Uesaka, Y.; Nakatani, Y.; Hayashi, N.; Fukushima, H.

    2001-01-01

    The effect of orientation on the maximum recording density including the thermal stability effect was investigated using computer simulation. The necessary head current for a highly oriented medium is at most 10% larger than that for a 2D random medium. A recording density larger than 300 Gb/in 2 can be achieved with highly oriented media with 30 nm flying height

  8. Symmetry breaking of adjacent tracks in perpendicular recording system

    International Nuclear Information System (INIS)

    Xie Huang; Wei Dan

    2007-01-01

    The track density increase in a perpendicular magnetic recording system is limited by the adjacent-track interference (ATI). In this work, a composite micromagnetic simulation model of the read/write process is developed to analyse ATI by the symmetry of signal and noise in two adjacent W = 60 nm tracks with the track pitch of the order of 100 nm. Based on the two-dimensional medium noise distribution of dibit recording, it is found that the noise in the first and later recorded tracks start to be asymmetric when the track pitch is lower than 2 W; if the read width is limited within 2/3 of the write width, the asymmetry of noise appears when the track pitch is less than 1.5 W. At higher recording densities, the signal-to-noise ratio degradation is mainly due to the noise caused by the interference from the signal of the adjacent track. Side writing can be effectively eliminated by the use of a guard band whose width is at least half the track width

  9. Lubricant reflow after laser heating in heat assisted magnetic recording

    Science.gov (United States)

    Wu, Haoyu; Mendez, Alejandro Rodriguez; Xiong, Shaomin; Bogy, David B.

    2015-05-01

    In heat assisted magnetic recording (HAMR) technology for hard disk drives, the media will be heated to about 500 °C during the writing process in order to reduce its magnetic coercivity and thus allow data writing with the magnetic head transducers. The traditional lubricants such as Z-dol and Z-tetraol may not be able to perform in such harsh heating conditions due to evaporation, decomposition and thermal depletion. However, some of the lubricant depletion can be recovered due to reflow after a period of time, which can help to reduce the chance of head disk interface failure. In this study, experiments of lubricant thermal depletion and reflow were performed using a HAMR test stage for a Z-tetraol type lubricant. Various lubricant depletion profiles were generated using different laser heating conditions. The lubricant reflow process after thermal depletion was monitored by use of an optical surface analyzer. In addition, a continuum based lubrication model was developed to simulate the lubricant reflow process. Reasonably good agreement between simulations and experiments was achieved.

  10. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  11. A State-of-the-Art Review of the Real-Time Computer-Aided Study of the Writing Process

    Science.gov (United States)

    Abdel Latif, Muhammad M.

    2008-01-01

    Writing researchers have developed various methods for investigating the writing process since the 1970s. The early 1980s saw the occurrence of the real-time computer-aided study of the writing process that relies on the protocols generated by recording the computer screen activities as writers compose using the word processor. This article…

  12. Future direction of direct writing

    Science.gov (United States)

    Kim, Nam-Soo; Han, Kenneth N.

    2010-11-01

    Direct write technology using special inks consisting of finely dispersed metal nanoparticles in liquid is receiving an undivided attention in recent years for its wide range of applicability in modern electronic industry. The application of this technology covers radio frequency identification-tag (RFID-tag), flexible-electronics, organic light emitting diodes (OLED) display, e-paper, antenna, bumpers used in flip-chip, underfilling, frit, miniresistance applications and biological uses, artificial dental applications and many more. In this paper, the authors have reviewed various direct write technologies on the market and discussed their advantages and shortfalls. Emphasis has given on microdispensing deposition write (MDDW), maskless mesoscale materials deposition (M3D), and ink-jet technologies. All of these technologies allow printing various patterns without employing a mask or a resist with an enhanced speed with the aid of computer. MDDW and M3D are capable of drawing patterns in three-dimension and MDDW, in particular, is capable of writing nanoinks with high viscosity. However, it is still far away for direct write to be fully implemented in the commercial arena. One of the hurdles to overcome is in manufacturing conductive inks which are chemically and physically stable, capable of drawing patterns with acceptable conductivity, and also capable of drawing patterns with acceptable adhesiveness with the substrates. The authors have briefly discussed problems involved in manufacturing nanometal inks to be used in various writing devices. There are numerous factors to be considered in manufacturing such inks. They are reducing agents, concentrations, oxidation, compact ability allowing good conductivity, and stability in suspension.

  13. Molecule-by-Molecule Writing Using a Focused Electron Beam

    DEFF Research Database (Denmark)

    Van Dorp, Willem F.; Zhang, Xiaoyan; Feringa, Ben L.

    2012-01-01

    atoms also be written with an electron beam? We verify this with focused electron-beam-induced deposition (FEBID), a direct-write technique that has the current record for the smallest feature written by (electron) optical lithography. We show that the deposition of an organometallic precursor...... on graphene can be followed molecule-by-molecule with FEBID. The results show that mechanisms that are inherent to the process inhibit a further increase in control over the process. Hence, our results present the resolution limit of (electron) optical lithography techniques. The writing of isolated...

  14. Jawi Writing in Malay Archipelago Manuscript: A General Overview

    Directory of Open Access Journals (Sweden)

    Haji Harun Makmur

    2018-01-01

    Full Text Available Jawi writing is the main form of writing in various manuscripts recovered around the Archipelago, especially during the early arrival of Islam. These manuscripts are found to record history, art, culture, language, social, as well as ancient knowledge. The usage of Jawi writings had covered a number of calligraphy which are high in value with deep philosophical meaning, are full with creative notion as well as countless of patterns that went along with the call to Islam around the Archipelago in a relatively short period of time. The development of Jawi writing had been immortalized in various genres such as books, manuscripts and letters written by individual writers and in groups as a local way of living. This writing was also introduced through a long process using certain methods of writing and reading, and kept as individual as well as institutional collections all around the Archipelago. This article is focused in various manuscripts that not only were exclusively used as learning and teaching aids, but were also garnished as beautiful symbols and philosophical Islamic art that deserves a high degree of honour. This article’s objective is to deeply analyze the usage of this writing from manuscripts found around the Archipelago as a proof on the importance of this form of writing. The methodology of this article is library study, through a number of theories and methods. The implication of this article is hoped to form a certain standard as well as its own identity for the local society through their acceptance of this writing form, especially during the early arrival of Islam in the Archipelago thus deemed as a national treasure.

  15. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  16. Computer controlling of writing beam in laser microfabrication of diffractive optics

    OpenAIRE

    Korolkov, V.; Shimansky, R.; Cherkashin, V.; Denk, D.

    2003-01-01

    Laser microfabrication of diffractive optics with continuous relief is based on the direct local action of focused laser radiation on the recording material. Control of writing beam parameters (beam power, spot size, waist position) is one of the main tasks in microfabrication using laser writing systems. Method of the control defines the correspondence between the fabricated microrelief of the diffractive optical element and a designed one. Complexity of this task consists in the necessity t...

  17. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  18. A dual V t disturb-free subthreshold SRAM with write-assist and read isolation

    Science.gov (United States)

    Bhatnagar, Vipul; Kumar, Pradeep; Pandey, Neeta; Pandey, Sujata

    2018-02-01

    This paper presents a new dual V t 8T SRAM cell having single bit-line read and write, in addition to Write Assist and Read Isolation (WARI). Also a faster write back scheme is proposed for the half selected cells. A high V t device is used for interrupting the supply to one of the inverters for weakening the feedback loop for assisted write. The proposed cell provides an improved read static noise margin (RSNM) due to the bit-line isolation during the read. Static noise margins for data read (RSNM), write (WSNM), read delay, write delay, data retention voltage (DRV), leakage and average powers have been calculated. The proposed cell was found to operate properly at a supply voltage as small as 0.41 V. A new write back scheme has been suggested for half-selected cells, which uses a single NMOS access device and provides reduced delay, pulse timing hardware requirements and power consumption. The proposed new WARI 8T cell shows better performance in terms of easier write, improved read noise margin, reduced leakage power, and less delay as compared to the existing schemes that have been available so far. It was also observed that with proper adjustment of the cell ratio the supply voltage can further be reduced to 0.2 V.

  19. Writing About Past Failures Attenuates Cortisol Responses and Sustained Attention Deficits Following Psychosocial Stress

    Science.gov (United States)

    DiMenichi, Brynne C.; Lempert, Karolina M.; Bejjani, Christina; Tricomi, Elizabeth

    2018-01-01

    Acute stress can harm performance. Paradoxically, writing about stressful events—such as past failures—has been shown to improve cognitive functioning and performance, especially in tasks that require sustained attention. Yet, there is little physiological evidence for whether writing about past failures or other negative events improves performance by reducing stress. In this experiment, we studied the effects of an acute psychosocial stressor, the Trier Social Stress Test, on attentional performance and salivary cortisol release in humans. Additionally, we investigated whether an expressive writing task could reduce the detrimental effects of stress, both on performance and physiological response. We found that when individuals were asked to write about a past failure before experiencing a stressor, they exhibited attenuated stress responses. Moreover, those who wrote about a past failure before being exposed to stress also exhibited better behavioral performance. Our results suggest that writing about a previous failure may allow an individual to experience a new stressor as less stressful, reducing its physiological and behavioral effects. PMID:29628878

  20. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  1. Assisted Writing in Spin Transfer Torque Magnetic Tunnel Junctions

    Science.gov (United States)

    Ganguly, Samiran; Ahmed, Zeeshan; Datta, Supriyo; Marinero, Ernesto E.

    2015-03-01

    Spin transfer torque driven MRAM devices are now in an advanced state of development, and the importance of reducing the current requirement for writing information is well recognized. Different approaches to assist the writing process have been proposed such as spin orbit torque, spin Hall effect, voltage controlled magnetic anisotropy and thermal excitation. In this work,we report on our comparative study using the Spin-Circuit Approach regarding the total energy, the switching speed and energy-delay products for different assisted writing approaches in STT-MTJ devices using PMA magnets.

  2. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  3. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  4. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  5. Optimized pulsed write schemes improve linearity and write speed for low-power organic neuromorphic devices

    Science.gov (United States)

    Keene, Scott T.; Melianas, Armantas; Fuller, Elliot J.; van de Burgt, Yoeri; Talin, A. Alec; Salleo, Alberto

    2018-06-01

    Neuromorphic devices are becoming increasingly appealing as efficient emulators of neural networks used to model real world problems. However, no hardware to date has demonstrated the necessary high accuracy and energy efficiency gain over CMOS in both (1) training via backpropagation and (2) in read via vector matrix multiplication. Such shortcomings are due to device non-idealities, particularly asymmetric conductance tuning in response to uniform voltage pulse inputs. Here, by formulating a general circuit model for capacitive ion-exchange neuromorphic devices, we show that asymmetric nonlinearity in organic electrochemical neuromorphic devices (ENODes) can be suppressed by an appropriately chosen write scheme. Simulations based upon our model suggest that a nonlinear write-selector could reduce the switching voltage and energy, enabling analog tuning via a continuous set of resistance states (100 states) with extremely low switching energy (~170 fJ · µm‑2). This work clarifies the pathway to neural algorithm accelerators capable of parallelism during both read and write operations.

  6. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  7. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  8. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  9. MESSENGER H XRS 5 REDUCED DATA RECORD (RDR) FOOTPRINTS V1.0

    Data.gov (United States)

    National Aeronautics and Space Administration — Abstract ======== This data set consists of the MESSENGER XRS reduced data record (RDR) footprints which are derived from the navigational meta-data for each...

  10. 49 CFR 1114.3 - Admissibility of business records.

    Science.gov (United States)

    2010-10-01

    ... 49 Transportation 8 2010-10-01 2010-10-01 false Admissibility of business records. 1114.3 Section... § 1114.3 Admissibility of business records. Any writing or record, whether in the form of an entry in a... be admissible as evidence thereof if it appears that it was made in the regular course of business...

  11. Peer Tutoring: Developing Writing in College Education

    Directory of Open Access Journals (Sweden)

    LUIS BERNARDO PEÑA-BORRERO

    2010-03-01

    Full Text Available This article presents partial results of a qualitative research on the Project of Inquiry (PRIN that takes place in the Psychology Degree Program at Javeriana University. This project is a formative experience focused on the importance of learning investigative abilities, through academic writing. The research was based on peer-tutoring interactions with 85 ethnographic records, which were subjected to an open and axial codification, in accordance to guidelines developed by Strauss and Corbin. The recordswere organized in emerging categories for their interpretation, in order to establish the potential significance of the pedagogic interaction. The results established the advantages of peer-tutoring in the integral progress of students and specifically the promotion of reading and writing abilities at a College level.

  12. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  13. Tracking the Muse: The Writing Processes of Poets.

    Science.gov (United States)

    Armstrong, Cherryl

    Focusing on the nature of revision in lyric poetry, a study investigated poets' writing processes. Primary data sources included poets' commentary, letters, recorded conversations, interviews, and essays. Two case studies were also conducted--an evaluation of two poets at work (one a novice and one an experienced poet) and a textual analysis of…

  14. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  15. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  16. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  17. Observation of Eye Pattern on Super-Resolution Near-Field Structure Disk with Write-Strategy Technique

    Science.gov (United States)

    Fuji, Hiroshi; Kikukawa, Takashi; Tominaga, Junji

    2004-07-01

    Pit-edge recording at a density of 150 nm pits and spaces is carried out on a super-resolution near-field structure (super-RENS) disk with a platinum oxide layer. Pits are recorded and read using a 635-nm-wavelength laser and an objective lens with a 0.6 numerical aperture. We arrange laser pulses to correctly record the pits on the disk by a write-strategy technique. The laser-pulse figure includes a unit time of 0.25 T and intensities of Pw1, Pw2 and Pw3. After recording pits of various lengths, the observation of an eye pattern is achieved despite a pit smaller than the resolution limit. Furthermore, the eye pattern maintains its shape even though other pits fill the adjacent tracks at a track density of 600 nm. The disk can be used as a pit-edge recording system through a write-strategy technique.

  18. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  19. The Deference Due the Oracle: Computerized Text Analysis in a Basic Writing Class.

    Science.gov (United States)

    Otte, George

    1989-01-01

    Describes how a computerized text analysis program can help students discover error patterns in their writing, and notes how students' responses to analyses can reduce errors and improve their writing. (MM)

  20. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  1. Writing About Past Failures Attenuates Cortisol Responses and Sustained Attention Deficits Following Psychosocial Stress

    Directory of Open Access Journals (Sweden)

    Brynne C. DiMenichi

    2018-03-01

    Full Text Available Acute stress can harm performance. Paradoxically, writing about stressful events—such as past failures—has been shown to improve cognitive functioning and performance, especially in tasks that require sustained attention. Yet, there is little physiological evidence for whether writing about past failures or other negative events improves performance by reducing stress. In this experiment, we studied the effects of an acute psychosocial stressor, the Trier Social Stress Test, on attentional performance and salivary cortisol release in humans. Additionally, we investigated whether an expressive writing task could reduce the detrimental effects of stress, both on performance and physiological response. We found that when individuals were asked to write about a past failure before experiencing a stressor, they exhibited attenuated stress responses. Moreover, those who wrote about a past failure before being exposed to stress also exhibited better behavioral performance. Our results suggest that writing about a previous failure may allow an individual to experience a new stressor as less stressful, reducing its physiological and behavioral effects.

  2. Enjoy writing your science thesis or dissertation! a step-by-step guide to planning and writing a thesis or dissertation for undergraduate and graduate science students

    CERN Document Server

    Fisher, Elizabeth

    2014-01-01

    This book is a step by step illustrated guide to planning and writing dissertations and theses for undergraduate and graduate science students. Topics covered include advice on writing each section of a thesis as well as general discussions on collecting and organizing references, keeping records, presenting data, interacting with a supervisor and avoiding academic misconduct. Recommendations about how to use word processors and other software packages effectively are included, as well as advice on the use of other resources. A concise summary of important points of English grammar is given, along with appendices listing frequently confused words and wordy phrases to avoid. Further appendices are provided, including one on Si units. The aim is to provide an easy-to-read guide that gives students practical advice about all aspects of writing a science thesis or dissertation, starting from writing a thesis plan and finishing with the viva and corrections to the thesis.

  3. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  4. 12 CFR 1732.6 - Minimum requirements of record retention program.

    Science.gov (United States)

    2010-01-01

    ... examination and other staff of OFHEO by reasonable means, consistent with the nature and availability of the records and existing information technology; (3) Assign in writing the authorities and responsibilities... recovery of electronic records. (b) Training. The record retention program shall provide for training of...

  5. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  6. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  7. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  8. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  9. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  10. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  11. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  12. Truthful Fictions: How Dreams Can Help You Write

    Science.gov (United States)

    Vakil, Ardashir

    2013-01-01

    This article makes a case for recording and using dreams in the teaching of writing. Calling on some well-known statements of Freud and on some recent research, I attempt to show how dreams can provide writers with a route to their unconscious. I also illustrate the role of dreams in furnishing writers with inspiration and source material. I…

  13. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  14. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  15. The effect of digital storytelling in improving the third graders' writing skills

    Directory of Open Access Journals (Sweden)

    Ahmet Yamaç

    2016-09-01

    Full Text Available The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with digital storytelling was profoundly and carefully explored through observation and field notes, interviews, audio and video records, student diaries and documents, and student products. The results indicated that digital storytelling enhanced students’ ideas, organization, word choice, sentence fluency, and conventions in terms of writing quality. Similarly, the digital storytelling improved story elements and word counts in stories. In terms of the quality of students’ digital stories, the results demonstrated a steady progress in the elements of digital stories, and the technology literacy and competency of students throughout the process. Besides, the digital storytelling modified the process of narrative writing, and emerged as a beneficial tool to overcome the digital divide by developing students’ new literacy perception, competency, and skills. The digital storytelling also created learning community by improving interactions among students in the classroom, and increased their motivation to write.

  16. The Effect of Digital Storytelling in Improving the Third Graders' Writing Skills

    Directory of Open Access Journals (Sweden)

    Ahmet YAMAÇ

    2016-09-01

    Full Text Available The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with digital storytelling was profoundly and carefully explored through observation and field notes, interviews, audio and video records, student diaries and documents, and student products. The results indicated that digital storytelling enhanced students’ ideas, organization, word choice, sentence fluency, and conventions in terms of writing quality. Similarly, the digital storytelling improved story elements and word counts in stories. In terms of the quality of students’ digital stories, the results demonstrated a steady progress in the elements of digital stories, and the technology literacy and competency of students throughout the process. Besides, the digital storytelling modified the process of narrative writing, and emerged as a beneficial tool to overcome the digital divide by developing students’ new literacy perception, competency, and skills. The digital storytelling also created learning community by improving interactions among students in the classroom, and increased their motivation to write.

  17. Methods for characterizing magnetic footprints of perpendicular magnetic recording writer heads

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shaoping, E-mail: shaoping.li@wdc.com; Lin, Ed; George, Zach; Terrill, Dave; Mendez, H.; Santucci, J.; Yie, Derek [Western Digital Corp., 44100 Osgood Road, Fremont, California 94539 (United States)

    2014-05-07

    In this work, the magnetic footprints, along with some of its dynamic features in recording process, of perpendicular magnetic recording writer heads have been characterized by using three different techniques. Those techniques are the spin-stand stationary footprint technique, the spin-stand dynamic footprint technique, and the coherent writing technique combined with magnetic force microscope imaging method. The characteristics of those techniques have been compared to one another. It was found experimentally that the spin-stand stationary method could not precisely catch some peculiar recording dynamics of the write heads in certain conditions. The advantages and disadvantages among all those techniques are also examined and discussed in detail.

  18. Methods for characterizing magnetic footprints of perpendicular magnetic recording writer heads

    International Nuclear Information System (INIS)

    Li, Shaoping; Lin, Ed; George, Zach; Terrill, Dave; Mendez, H.; Santucci, J.; Yie, Derek

    2014-01-01

    In this work, the magnetic footprints, along with some of its dynamic features in recording process, of perpendicular magnetic recording writer heads have been characterized by using three different techniques. Those techniques are the spin-stand stationary footprint technique, the spin-stand dynamic footprint technique, and the coherent writing technique combined with magnetic force microscope imaging method. The characteristics of those techniques have been compared to one another. It was found experimentally that the spin-stand stationary method could not precisely catch some peculiar recording dynamics of the write heads in certain conditions. The advantages and disadvantages among all those techniques are also examined and discussed in detail

  19. Methods for characterizing magnetic footprints of perpendicular magnetic recording writer heads

    Science.gov (United States)

    Li, Shaoping; Lin, Ed; George, Zach; Terrill, Dave; Mendez, H.; Santucci, J.; Yie, Derek

    2014-01-01

    In this work, the magnetic footprints, along with some of its dynamic features in recording process, of perpendicular magnetic recording writer heads have been characterized by using three different techniques. Those techniques are the spin-stand stationary footprint technique, the spin-stand dynamic footprint technique, and the coherent writing technique combined with magnetic force microscope imaging method. The characteristics of those techniques have been compared to one another. It was found experimentally that the spin-stand stationary method could not precisely catch some peculiar recording dynamics of the write heads in certain conditions. The advantages and disadvantages among all those techniques are also examined and discussed in detail. PMID:24753633

  20. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  1. Reducing and Sustaining Duplicate Medical Record Creation by Usability Testing and System Redesign.

    Science.gov (United States)

    Khunlertkit, Adjhaporn; Dorissaint, Leonard; Chen, Allen; Paine, Lori; Pronovost, Peter J

    2017-10-25

    Duplicate medical record creation is a common and consequential health care systems error often caused by poor search system usability and inappropriate user training. We conducted two phases of scenario-based usability testing with patient registrars working in areas at risk of generating duplicate medical records. Phase 1 evaluated the existing search system, which led to system redesigns. Phase 2 tested the redesigned system to mitigate potential errors before health system-wide implementation. To evaluate system effectiveness, we compared the monthly potential duplicate medical record rates for preimplementation and postimplementation months. The existing system could not effectively handle a misspelling, which led to failed search and duplicate medical record creation. Using the existing system, 96% of registrars found commonly spelled patient names whereas only 69% successfully found complicated names. Registrars lacked knowledge and usage of a phonetic matching function to assist in misspelling. The new system consistently captured the correct patient regardless of misspelling, but search returned more potential matches, resulting in, on average, 4 seconds longer to select common names. Potential monthly duplicate medical record rate reduced by 38%, from 4% to 2.3% after implementation of the new system, and has sustained at an average of 2.5% for 2 years. Usability testing was an effective method to reveal problems and aid system redesign to deliver a more user friendly system, hence reducing the potential for medical record duplication. Greater standards for usability would ensure that these improvements can be realized before rather than after exposing patients to risks.

  2. Evaluating guilt and shame in an expressive writing alcohol intervention.

    Science.gov (United States)

    Rodriguez, Lindsey M; Young, Chelsie M; Neighbors, Clayton; Campbell, Michelle T; Lu, Qian

    2015-08-01

    Expressive writing interventions have shown positive physical and psychological health benefits over time, with the presumed mechanism being emotional disclosure. However, work utilizing expressive writing in behavior change has been minimal. The current research applied the expressive writing paradigm to reduce drinking intentions among college students, and evaluated the role of event-related guilt and shame in intervention effects. College students (N=429) completed a baseline survey and were randomly assigned to one of three conditions: Negative (write about a heavy drinking event that was negative); Positive (write about a heavy drinking event that was positive); or Neutral (write about their first day of college). After writing, readiness to change and future drinking intentions were assessed. Results revealed intervention effects on intended drinks per week and intended number of drinks during peak and typical drinking occasions. Participants in the negative condition also displayed higher levels of event-related guilt and shame. Results showed that guilt mediated intervention effects on readiness to change, which also mediated the association between guilt-reparative behavior and drinking intentions. Results provide initial support for an expressive writing intervention on alcohol use and underscore the importance of eliciting emotions associated with reparative behavior when considering negative past experiences and future behavior change. Copyright © 2015 Elsevier Inc. All rights reserved.

  3. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  4. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  5. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  6. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  7. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  8. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  9. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  10. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  11. Transition analysis of magnetic recording heads using FDTD

    International Nuclear Information System (INIS)

    Tanabe, Shinji

    2001-01-01

    Transition waveforms of a magnetic recording head have been analyzed using finite difference time domain (FDTD). The distributed inductance and capacitance of the head effect the rising time of the magnetic fields in the recording process. FDTD electromagnetic analysis is easy to combine with SPICE circuit analysis. Using this combined program, a transition analysis of the recording process including a write amplifier has become possible

  12. Transition analysis of magnetic recording heads using FDTD

    Energy Technology Data Exchange (ETDEWEB)

    Tanabe, Shinji E-mail: tanabe@ele.crl.melco.co.jp

    2001-10-01

    Transition waveforms of a magnetic recording head have been analyzed using finite difference time domain (FDTD). The distributed inductance and capacitance of the head effect the rising time of the magnetic fields in the recording process. FDTD electromagnetic analysis is easy to combine with SPICE circuit analysis. Using this combined program, a transition analysis of the recording process including a write amplifier has become possible.

  13. Characteristics of thermally assisted magnetic recording in granular perpendicular media

    International Nuclear Information System (INIS)

    Shiino, Hirotaka; Kawana, Mayumi; Miyashita, Eiichi; Hayashi, Naoto; Watanabe, Sadayuki

    2009-01-01

    The effect of thermally assisted magnetic recording using granular perpendicular media with a single-pole-trimmed head has been investigated. A read/write experiment using a spin stand in which the media were heated by laser irradiation demonstrated that the track average amplitude strongly depends on both the position of the write head relative to the center of the laser spot in the down-track direction and on the laser power. Although the signal-to-noise ratio increased with the coercivity of the media, the increment was small; this is thought to be caused by an increase in the switching field distribution of the media with temperature. Our results suggest that the magnetic constant of the media must be optimized with respect to the temperature of writing in order for high-density thermally assisted magnetic recording to be realized

  14. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  15. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  16. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  17. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  18. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  19. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  20. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  1. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia: initial evidence from a study utilizing assistive writing software.

    Science.gov (United States)

    Thiel, Lindsey; Sage, Karen; Conroy, Paul

    2017-01-01

    Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single-word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some initial evidence that assistive writing software use can lead to qualitative and quantitative improvements to spontaneous writing. This within-participants case series design study aimed to investigate the effects of using assistive writing software to improve email writing in participants with dysgraphia related to aphasia. Eight participants worked through a hierarchy of writing tasks of increasing complexity within broad topic areas that incorporate the spheres of writing need of the participants: writing for domestic needs, writing for social needs and writing for business/administrative needs. Through completing these tasks, participants had the opportunity to use the various functions of the software, such as predictive writing, word banks and text to speech. Therapy also included training and practice in basic computer and email skills to encourage increased independence. Outcome measures included email skills, keyboard skills, email writing and written picture description tasks, and a perception of disability assessment. Four of the eight participants showed statistically significant improvements to spelling accuracy within emails when using the software. At a group level there was a significant increase in word length with the software; while four participants showed noteworthy changes to the range of word classes used. Enhanced independence in email use and improvements in participants' perceptions of their writing skills were also noted. This study provided some initial evidence

  2. English Language Writing Anxiety among Final Year Engineering Undergraduates in University Putra Malaysia

    OpenAIRE

    Lau Sing Min; Nurhazlini Rahmat

    2014-01-01

    Second Language Writing Anxiety (SLWA) is considered one of the most crucial factors affecting all second language learning. This study focused on a group of final year Engineering students’ English Language writing anxiety (N=93) in relation to their gender, race and MUET results. The findings showed that the the male gender, Chinese and MUET band 4 participants faced higher levels of anxiety as compared to the other groups respectively. Somatic anxiety was recorded to be the highest subscal...

  3. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  4. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  5. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  6. The effects of bedtime writing on difficulty falling asleep: A polysomnographic study comparing to-do lists and completed activity lists.

    Science.gov (United States)

    Scullin, Michael K; Krueger, Madison L; Ballard, Hannah K; Pruett, Natalya; Bliwise, Donald L

    2018-01-01

    Bedtime worry, including worrying about incomplete future tasks, is a significant contributor to difficulty falling asleep. Previous research showed that writing about one's worries can help individuals fall asleep. We investigated whether the temporal focus of bedtime writing-writing a to-do list versus journaling about completed activities-affected sleep onset latency. Fifty-seven healthy young adults (18-30) completed a writing assignment for 5 min prior to overnight polysomnography recording in a controlled sleep laboratory. They were randomly assigned to write about tasks that they needed to remember to complete the next few days (to-do list) or about tasks they had completed the previous few days (completed list). Participants in the to-do list condition fell asleep significantly faster than those in the completed-list condition. The more specifically participants wrote their to-do list, the faster they subsequently fell asleep, whereas the opposite trend was observed when participants wrote about completed activities. Therefore, to facilitate falling asleep, individuals may derive benefit from writing a very specific to-do list for 5 min at bedtime rather than journaling about completed activities. (PsycINFO Database Record (c) 2018 APA, all rights reserved).

  7. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  8. Evaluation of Data Recording at Teaching Hospitals

    Directory of Open Access Journals (Sweden)

    Hasan Karbasi

    2009-02-01

    Full Text Available Background and purpose: Medical records of patients have an undeniable role on education, research and evaluation of health care delivery, and also could be used as reliable documents of past in casesof patients’ legal complains. This study was done to evaluate medical data recording at teaching hospital of Birjand University of Medical Sciences in 2004.Methods: In this descriptive-analytic study, 527 patients’ records of patients who had been discharged from general wards of the hospitals after 24 hours of hospitalization were randomly selected. 18 standard titles of records include in each patient’s record were evaluated using checklists. Data were analyzed using frequency distribution tables, independent t-test and Chi-square test.Results: Items on records’ titles were completed in a range of 0-100%. Titles of neonates and nursing care with 96% completeness were the most completed ones~ Titles of recovery, pre-delivery care, medical history, summary, and progress notes with 50% to 74% completeness were categorized as moderately completed titles; and titles of vital signs, pre-operation care and operation report were weak. Records of the infectious diseases ward were the most completed records (68% and the least completed were from ophthmology ward (35.8%. There were significant differences between the hospitals and between different wards.Conclusion: Results of this study show the need for further education on record writing, taking medical history, and order writing and more importantly the need for a system of continuous monitoringof the records.Keywords: MEDICAL RECORD, TEACHING HOSPITAL, EVALUATION

  9. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  10. Properties of amorphous rare earth-transition metal thin films relevant to thermomagnetic recording

    International Nuclear Information System (INIS)

    Biesterbos, J.W.M.

    1979-01-01

    Properties of amorphous RE-(Fe, Co) thin films relevant to thermomagnetic recording are reviewed. Attention is paid to the writing-, reading- and erasure process. The advantages and disadvantages of the amorphous materials are considered. Experimental data on the writing process are given

  11. Ethnicity moderates the outcomes of self-enhancement and self-improvement themes in expressive writing.

    Science.gov (United States)

    Tsai, William; Lau, Anna S; Niles, Andrea N; Coello, Jordan; Lieberman, Matthew D; Ko, Ahra C; Hur, Christopher; Stanton, Annette L

    2015-10-01

    The current study examined whether writing content related to self-enhancing (viz., downward social comparison and situational attributions) and self-improving (viz., upward social comparison and persistence) motivations were differentially related to expressive writing outcomes among 17 Asian American and 17 European American participants. Content analysis of the essays revealed no significant cultural group differences in the likelihood of engaging in self-enhancing versus self-improving reflections on negative personal experiences. However, cultural group differences were apparent in the relation between self-motivation processes and changes in anxiety and depressive symptoms at 3-month follow-up. Among European Americans, writing that reflected downward social comparison predicted positive outcomes, whereas persistence writing themes were related to poorer outcomes. For Asian Americans, writing about persistence was related to positive outcomes, whereas downward social comparison and situational attributions predicted poorer outcomes. Findings provide evidence suggesting culturally distinct mechanisms for the effects of expressive disclosure. (PsycINFO Database Record (c) 2015 APA, all rights reserved).

  12. The transition from longitudinal to perpendicular recording

    International Nuclear Information System (INIS)

    Richter, H J

    2007-01-01

    After more than 30 years of research, hard disk drives using perpendicular recording are finally commercially available. This review is a follow-up of a review written in 1999 and addresses the basic physics of perpendicular recording with special emphasis on the read and the write process and the magnetic aspects of the recording media. The paper also surveys various technical difficulties which prevented an earlier implementation of perpendicular recording. The paper closes with a short overview of alternative technologies that allow even higher storage densities. (topical review)

  13. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  14. An Online Tutorial vs. Pre-Recorded Lecture for Reducing Incidents of Plagiarism

    Science.gov (United States)

    Henslee, Amber M.; Goldsmith, Jacob; Stone, Nancy J.; Krueger, Merilee

    2015-01-01

    The current study compared an online academic integrity tutorial modified from Belter & du Pre (2009) to a pre-recorded online academic integrity lecture in reducing incidents of plagiarism among undergraduate students at a science and technology university. Participants were randomized to complete either the tutorial or the pre-recorded…

  15. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  16. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia

    OpenAIRE

    Thiel, L; Sage, K; Conroy, P

    2016-01-01

    Background: Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some i...

  17. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  18. Ag–graphene hybrid conductive ink for writing electronics

    International Nuclear Information System (INIS)

    Xu, L Y; Yang, G Y; Jing, H Y; Han, Y D; Wei, J

    2014-01-01

    With the aim of preparing a method for the writing of electronics on paper by the use of common commercial rollerball pens loaded with conductive ink, hybrid conductive ink composed of Ag nanoparticles (15 wt%) and graphene–Ag composite nanosheets (0.15 wt%) formed by depositing Ag nanoparticles (∼10 nm) onto graphene sheets was prepared for the first time. Owing to the electrical pathway effect of graphene and the decreased contact resistance of graphene junctions by depositing Ag nanoparticles (NPs) onto graphene sheets, the concentration of Ag NPs was significantly reduced while maintaining high conductivity at a curing temperature of 100 ° C. A typical resistivity value measured was 1.9 × 10 −7  Ω m, which is 12 times the value for bulk silver. Even over thousands of bending cycles or rolling, the resistance values of writing tracks only increase slightly. The stability and flexibility of the writing circuits are good, demonstrating the promising future of this hybrid ink and direct writing method. (paper)

  19. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  20. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  1. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  2. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  3. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  4. Scanning laser techniques for dynamic thermo-magnetic recording onto stationary media

    Energy Technology Data Exchange (ETDEWEB)

    Clegg, Warwick; Jenkins, David; Helian, Na; Windmill, James; Windmill, Robert; Atkinson, Ron; Hendren, Bill; Wright, C. David

    2002-09-01

    Scanning laser microscopes (SLMs) have been used to characterise the magnetic properties of materials for some time (J. Magn. Magn. Mater. 95(1) (1991); IEEE Trans. Magn. 31(6 Pt. 1) (1995)). An SLM has been designed to facilitate a number of operating modes: both for writing and reading magneto-optical data. The current SLM is capable of thermo-magnetically recording bits onto magneto-optical thin films. Unlike previous SLMs, the current instrument has been designed to write bits both statically and dynamically onto stationary media. It will be used to write to magneto-optic (MO) disk material thermo-magnetically prior to imaging. Images may be derived from the longitudinal and polar magneto-optic Kerr effects, which are wavelength dependent, using the appropriate laser wavelength. In this paper the two configurations for dynamic recording are described.

  5. Scanning laser techniques for dynamic thermo-magnetic recording onto stationary media

    International Nuclear Information System (INIS)

    Clegg, Warwick; Jenkins, David; Helian, Na; Windmill, James; Windmill, Robert; Atkinson, Ron; Hendren, Bill; Wright, C. David

    2002-01-01

    Scanning laser microscopes (SLMs) have been used to characterise the magnetic properties of materials for some time (J. Magn. Magn. Mater. 95(1) (1991); IEEE Trans. Magn. 31(6 Pt. 1) (1995)). An SLM has been designed to facilitate a number of operating modes: both for writing and reading magneto-optical data. The current SLM is capable of thermo-magnetically recording bits onto magneto-optical thin films. Unlike previous SLMs, the current instrument has been designed to write bits both statically and dynamically onto stationary media. It will be used to write to magneto-optic (MO) disk material thermo-magnetically prior to imaging. Images may be derived from the longitudinal and polar magneto-optic Kerr effects, which are wavelength dependent, using the appropriate laser wavelength. In this paper the two configurations for dynamic recording are described

  6. Microwave-assisted shingled magnetic recording simulations on an exchange-coupled composite medium

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, T., E-mail: t-tanaka@ed.kyushu-u.ac.jp [Department of Electronics, Graduate School of Information Science and Electrical Engineering, Kyushu University, Motoota 744, Nishi-ku, Fukuoka 819-0395 (Japan); Kashiwagi, S. [Department of Electronics, Graduate School of Information Science and Electrical Engineering, Kyushu University, Motoota 744, Nishi-ku, Fukuoka 819-0395 (Japan); Kanai, Y. [Department of Information and Electronics Engineering, Niigata Institute of Technology, Fujihashi 1719, Kashiwazaki, Niigata 945-1195 (Japan); Matsuyama, K. [Department of Electronics, Graduate School of Information Science and Electrical Engineering, Kyushu University, Motoota 744, Nishi-ku, Fukuoka 819-0395 (Japan)

    2016-10-15

    The potential of microwave-assisted magnetic recording combined with the shingled recording scheme has been studied by simulating read/write processes on exchange-coupled composite media focusing on recording characteristics in the cross-track direction. Microwave fields enhance writability, especially at the track edge, resulting in lower noise and higher signal-to-noise ratio (SNR), which enables higher track density in the shingled recording scheme. Read/write simulations of microwave-assisted shingled recording achieve 1.4 Mtracks/in. while retaining high SNR. Further increases in SNR and track density will require either a narrower reader or track edge noise reduction. - Highlights: • Signal recording of shingled magnetic recording using an asymmetric single pole type head combined with a microwave assistance was numerically demonstrated. • Writability is improved by microwave fields with a moderate frequency at the track edge of the shielded side, resulting in higher signal-to-noise ratio. • 1.41 Mtpi of track density is feasible for the recording scheme of shingled magnetic recording with microwave assistance.

  7. Microwave-assisted shingled magnetic recording simulations on an exchange-coupled composite medium

    International Nuclear Information System (INIS)

    Tanaka, T.; Kashiwagi, S.; Kanai, Y.; Matsuyama, K.

    2016-01-01

    The potential of microwave-assisted magnetic recording combined with the shingled recording scheme has been studied by simulating read/write processes on exchange-coupled composite media focusing on recording characteristics in the cross-track direction. Microwave fields enhance writability, especially at the track edge, resulting in lower noise and higher signal-to-noise ratio (SNR), which enables higher track density in the shingled recording scheme. Read/write simulations of microwave-assisted shingled recording achieve 1.4 Mtracks/in. while retaining high SNR. Further increases in SNR and track density will require either a narrower reader or track edge noise reduction. - Highlights: • Signal recording of shingled magnetic recording using an asymmetric single pole type head combined with a microwave assistance was numerically demonstrated. • Writability is improved by microwave fields with a moderate frequency at the track edge of the shielded side, resulting in higher signal-to-noise ratio. • 1.41 Mtpi of track density is feasible for the recording scheme of shingled magnetic recording with microwave assistance.

  8. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Directory of Open Access Journals (Sweden)

    Rifat Ramazan Berk

    2017-01-01

    Full Text Available The purpose of this study is to determine sixth, seventh and eighth grade students’ writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students’ grade levels and genders are significant predictor of writing anxiety and dispositions or not. The research was designed according to survey model. The study group, selected through simple sampling method, is made up of 860 students studying at 6th, 7th and 8th grades in elementary schools of Şarkışla District, Sivas. While “Writing Anxiety Scale”, adapted into Turkish by Özbay and Zorbay (2011, was administered to determine the study group’s writing anxiety level, “Writing Disposition Scale”, adapted into Turkish by İşeri and Ünal (2010, was used to determine the writing disposition level. At the end of the study, it was found that writing disposition is a significant predictor of writing anxiety and students’ grade levels and genders are significant predictors of writing anxiety and dispositions. An education environment to create a strong writing disposition for students is recommended. Also, similar studies on different dimensions of the issue can be conducted.

  9. Right Writing (or Writing Right) for Creativity in Advertising.

    Science.gov (United States)

    Pearce, R. Charles

    1989-01-01

    Suggests techniques from Peter Elbow's book, "Writing with Power," for an advertising copywriting class. Describes in detail an eight-step procedure: warm-up, loop writing, sharing, revision, sharing, revision, editing group sharing, and revision. (MS)

  10. Impact of Writing Proficiency and Writing Center Participation on Academic Performance

    Science.gov (United States)

    Bielinska-Kwapisz, Agnieszka

    2015-01-01

    Purpose: Given that there exists in the literature relatively little research into the effectiveness of writing centers at universities, the purpose of this paper is to show the impact of university writing centers on first-year business seminar student writing. Design/methodology/approach: This quantitative study involved 315 first-year…

  11. Teaching children to write: A meta-analysis of writing intervention research

    NARCIS (Netherlands)

    Koster, M.; Tribushinina, E.; de Jong, P.F.; van den Bergh, H.

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  12. Teaching Children to Write : A Meta-Analysis of Writing Intervention Research

    NARCIS (Netherlands)

    Koster, M.P.; Tribushinina, E.|info:eu-repo/dai/nl/31511780X; De Jong, Peter; van den Bergh, H.H.|info:eu-repo/dai/nl/074044400

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  13. Library Records: A Review of Confidentiality Laws and Policies.

    Science.gov (United States)

    Million, Angela C.; Fisher, Kim N.

    1986-01-01

    Cites the importance of having a state law, knowing what it says, and having a library policy statement regarding the confidentiality of patron records. Discussion covers writing and implementing a policy, the role of automation, existing laws, library records defined, exceptions to confidentiality, and legal liability. Thirty-seven references are…

  14. Student Writing Accepted as High-Quality Responses to Analytic Text-Based Writing Tasks

    Science.gov (United States)

    Wang, Elaine; Matsumura, Lindsay Clare; Correnti, Richard

    2018-01-01

    Literacy standards increasingly emphasize the importance of analytic text-based writing. Little consensus exists, however, around what high-quality student responses should look like in this genre. In this study, we investigated fifth-grade students' writing in response to analytic text-based writing tasks (15 teachers, 44 writing tasks, 88 pieces…

  15. Early Writing Development: Kindergarten Teachers' Beliefs about Emergent Writing in Qatari Preschool Settings

    Science.gov (United States)

    Al-Maadadi, Fatima; Ihmeideh, Fathi

    2016-01-01

    Writing often begins during the very early years of childhood; however, some children first learn writing when they begin attending school. Teachers' beliefs about early writing development can influence when and how children learn to write. The purpose of this study was to determine kindergarten teachers' beliefs about the development of…

  16. Improving Young Children's Writing: The Influence of Story Structure on Kindergartners' Writing Complexity

    Science.gov (United States)

    Watanabe, Lynne M.; Hall-Kenyon, Kendra M.

    2011-01-01

    This study examined the change in complexity of kindergarteners' writing after implementing writing instruction based on story elements. Writing samples from six students of three ability levels were collected over a 6-week period. Writing samples included students' oral language, pictures, and written text and were analyzed using two rubrics…

  17. Writing Anxiety: A Case Study on Students’ Reasons for Anxiety in Writing

    OpenAIRE

    Kara, Selma

    2013-01-01

    The purpose of the present study was twofold. First, the present study set out to investigate the learners‟ attitudes towards academic writing courses that they have to take as part of their curriculum, whether they experience second language writing anxiety and what reasons they report for their anxiety and failure in academic writing courses. Second, the study aimed to develop a selfreport measure of second language writing anxiety reasons

  18. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    Science.gov (United States)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  19. Let Them Have Their Cell Phone (And Let Them Read to It Too): Technology, Writing Instruction and Textual Obsolescence

    Science.gov (United States)

    Shahar, Jed

    2012-01-01

    Cell phone ubiquity enables students to record and share audio file versions of their essays for proofreading purposes. Adopting this practice in community college developmental writing classes leads to an investigation of both writing as a technology and the influence of modern technology on composition and composition pedagogy.

  20. Encouraging Good Writing Practice in First-Year Psychology Students: An Intervention Using Turnitin

    Science.gov (United States)

    Betts, Lucy R.; Bostock, Stephen J.; Elder, Tracey J.; Trueman, Mark

    2012-01-01

    There is growing concern among many regarding plagiarism within student writing. This has promoted investigation into both the factors that predict plagiarism and potential methods of reducing plagiarism. Consequently, we developed and evaluated an intervention to enhance good practice within academic writing through the use of the plagiarism…

  1. Influence of the Gilbert damping constant on the flux rise time of write head fields

    International Nuclear Information System (INIS)

    Ertl, Othmar; Schrefl, Thomas; Suess, Dieter; Schabes, Manfred E.

    2005-01-01

    Magnetic recording at fast data rates requires write heads with rapid rise times of the magnetic flux during the write process. We present three-dimensional (3D) micromagnetic finite element calculations of an entire ring head including 3D coil geometry during the writing of magnetic bits in granular media. The simulations demonstrate how input current profiles translate into magnetization processes in the head and which in turn generate the write head field. The flux rise time significantly depends on the Gilbert damping constant of the head material. Low damping causes incoherent magnetization processes, leading to long rise times and low head fields. High damping leads to coherent reversal of the magnetization in the head. As a consequence, the gap region can be quickly saturated which causes high head fields with short rise times

  2. 32 CFR 319.5 - Procedures for requests pertaining to individual records in a record system.

    Science.gov (United States)

    2010-07-01

    ..., or to be provided an accounting of disclosures from such records, shall submit his or her request in..., review or copies, and an accounting of disclosures will provide in writing his or her full name, address, social security account number or date of birth and a telephone number where the requester can be...

  3. LEARNING TO TEACH WRITING THROUGH WRITING

    Directory of Open Access Journals (Sweden)

    Svetlana Suchkova

    2013-01-01

    Full Text Available This paper discusses some major issues concerning teaching writing to future teachers. There are a lot of EFL/ESL textbooks focused on teaching writing. However, those that are intended for trainee teachers are rare on the market. The goal of this paper is to share the result of several years of work on the writing syllabus and materials that is effective in the process of teaching future teachers. It contains sample of tasks based on certain principles that may promote teachers to become effective writers for themselves and, at the same time, to acquire initial professional skills necessary in their future career. A course book can not address any audience in general. It must focus on a particular learner, the objectives, and content of the process of learning. In the situation when no textbook meets these requirements, the problem of providing students with an appropriate textbook must be solved by creating new textbooks.

  4. Language Literacy in Writing

    Directory of Open Access Journals (Sweden)

    Saeideh Ahangari

    2008-05-01

    Full Text Available This paper explores the ways in which the transfer of assumptions from first language (L1 writing can help the process of writing in second language (L2. In learning second language writing skills, learners have two primary sources from which they construct a second language system: knowledge and skills from first language and input from second language. To investigate the relative impact of first language literacy skills on second language writing ability, 60 EFL students from Tabriz Islamic Azad University were chosen as participants of this study, based on their language proficiency scores. The subjects were given two topics to write about: the experimental group subjects were asked to write in Persian and then translate their writing into English. The control group wrote in English. The results obtained in this study indicate that the content and vocabulary components of the compositions were mostly affected by the use of first language.

  5. Book Review: Stop, Write!

    Directory of Open Access Journals (Sweden)

    Hans Thulesius

    2013-06-01

    Full Text Available This book on writing grounded theory is intended for the empirical GT researcher who wants to pursue his/her research until publication. It is the first book devoted entirely to such a crucial issue as writing grounded theory. Thus, Stop, Write: Writing Grounded Theory, is a practical book that fills a gap in GT methodology. In the first chapter of the book, Dr. Glaser says, “Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long”. The book teaches the reader how to actually write a grounded theory by “simply” writing up the sorted memos. This requires efficient sorting that is dealt with in chapter two on Sorting Memos, which includes precious repetition from Theoretical Sensitivity (1978. How writing can be done effectively is outlined in chapter three The Working Paper. Then follows chapter four on how to rework the first draft with the different tasks of editing for language and professionalism. Thereafter Dr. Glaser discusses Writing Problems in chapter five where he gives useful guidance on how to overcome writing blocks and problems with supervisors and dissertation committees. The book also deals with publishing and with collaboration as experienced between Barney Glaser and the cofounder of grounded theory, Anselm Strauss.

  6. Writing, Literacy and Technology: Toward a Cyborg Writing.

    Science.gov (United States)

    Olson, Gary A.

    1996-01-01

    Presents an interview with feminist social critic Donna Haraway about her call for "cyborg writing," writing that replaces the idea of an authoritative or dominant story with an acknowledgment of the wide range of narratives to be told in science, technology, and other areas. Also questions Haraway about activism for academics, particularly as it…

  7. Writing-to-Learn, Writing-to-Communicate, & Scientific Literacy

    Science.gov (United States)

    Balgopal, Meena; Wallace, Alison

    2013-01-01

    Writing-to-learn (WTL) is an effective instructional and learning strategy that centers on the process of organizing and articulating ideas, as opposed to writing-to-communicate, which centers on the finished written product. We describe a WTL model that we have developed and tested with various student groups over several years. With effective…

  8. Student-Teachers across the Curriculum Learn to Write Feedback: Does It Reflect on Their Writing?

    Science.gov (United States)

    Cohen-sayag, Esther

    2016-01-01

    The study examined the connection between writing competency and writing feedback experiences through academic writing course for student-teachers across the curriculum. The aims of the course were to prepare student-teachers to their role as writing facilitators and to improve their writing. Experimental and control group differed in course plan…

  9. DOMAIN SPECIFIC BELIEFS ABOUT WRITING AND WRITING PERFORMANCE OF PRESERVICE ENGLISH TEACHERS: IS THERE ANY RELATIONSHIP?

    Directory of Open Access Journals (Sweden)

    Seray Tanyer

    2017-07-01

    Full Text Available Learning as a retrospective phenomenon can make learners transmit their past as an ingredient while they are (restructuring their present and future. Previous and present experiences can form a basis for cognitive, behavioral and motivational factors which can create a cognitive load for learners and affect their learning process. In this regard, current study aims to investigate first-year undergraduates’ beliefs about writing and relation of these beliefs to writing performance in essay writing. A total of 147 students studying in ELT department of a Turkish university participated in the research. Their domain-specific beliefs about writing were determined through the Beliefs about Writing Survey (BAWS. Writing performance was measured on an essay writing task by calculating both overall grade and six component grades. As a result, multiple regression analysis affirmed that beliefs about writing accounted for writing performance independently. Pearson correlation values showed that some beliefs about writing were adaptive and associated with higher writing scores (e.g. “Adapt to the Audience”. Also, some belief subcategories were associated with each other. The results of the present study have been discussed along with the related literature on beliefs about writing and writing performance. Implications/suggestions related to the coursework, writing practices and future research have been presented.

  10. 10 CFR 1304.105 - Requests for access to records.

    Science.gov (United States)

    2010-01-01

    ... Energy NUCLEAR WASTE TECHNICAL REVIEW BOARD PRIVACY ACT OF 1974 § 1304.105 Requests for access to records... request access to his or her own records in writing by addressing a letter to: Privacy Act Officer; U.S... via the internet. Internet requests should be transmitted through the Board's Web site at www.nwtrb...

  11. MBA Students' Workplace Writing: Implications for Business Writing Pedagogy and Workplace Practice

    Science.gov (United States)

    Lentz, Paula

    2013-01-01

    Employers frequently complain about the state of their employees' writing skills. Much of the current research on this subject explores workplace writing skills from the employer's perspective. However, this article examines workplace writing from the employees' perspective. Specifically, it analyzes MBA students' responses to a course assignment…

  12. "Proprioceptive signature" of cursive writing in humans: a multi-population coding.

    Science.gov (United States)

    Roll, Jean-Pierre; Albert, Frédéric; Ribot-Ciscar, Edith; Bergenheim, Mikael

    2004-08-01

    The goal of the present study was to investigate the firing behavior of populations of muscle spindle afferents in all the muscles acting on the ankle while this joint was being subjected to "writing-like" movements. First it was proposed to determine whether the ensemble of muscle spindles give rise to a unique, specific, and reproducible feedback information characterizing each letter, number or short word. Secondly, we analyzed how the proprioceptive feedback on the whole encodes the spatial and temporal characteristics of writing movements using the "vector population model". The unitary activity of 51 primary and secondary muscle spindle afferents was recorded in the tibial and common peroneal nerves at the level of the popliteal fossea, using the microneurographic method. The units recorded from belonged to the tibialis anterior, the extensor digitorum longus, the extensor hallucis longus, the peroneus lateralis, the gastrocnemius-soleus and the tibialis posterior muscles. The "writing-like" movements were randomly imposed at a "natural" velocity via a computer-controlled machine in a two-dimensional space. In general, muscle spindle afferents from any of the six muscles responded according to the tuning properties of the parent muscle, i.e. increasing their discharge rate during the phases where the direction of movement was within the preferred sensory sector of the parent muscle. The whole trajectory of the writing movements was coded in turn by the activity of Ia afferents arising from all the muscles acting on the joint. Both single afferent responses and population responses were found to be highly specific and reproducible with each graphic sign. The complex multi-muscle afferent pattern involved, with its timing and distribution in the muscle space, seems to constitute a true "proprioceptive signature" for each graphic symbol. The ensemble of muscle spindle afferents were therefore found to encode the instantaneous direction and velocity of writing

  13. Techniques of surface optical breakdown prevention for low-depths femtosecond waveguides writing

    International Nuclear Information System (INIS)

    Bukharin, M A; Skryabin, N N; Ganin, D V; Khudyakov, D V; Vartapetov, S.K.

    2016-01-01

    We demonstrated technique of direct femtosecond waveguide writing at record low depth (2-15 μm) under surface of lithium niobate, that play a key role in design of electrooptical modulators with low operating voltage. To prevent optical breakdown of crystal surface we used high numerical aperture objectives for focusing of light and non-thermal regime of inscription in contrast to widespread femtosecond writing technique at depths of tens micrometers or higher. Surface optical breakdown threshold was measured for both x- and z- cut crystals. Inscribed waveguides were examined for intrinsic microstructure. It also reported sharp narrowing of operating pulses energy range with writing depth under the surface of crystal, that should be taken in account when near-surface waveguides design. Novelty of the results consists in reduction of inscription depth under the surface of crystals that broadens applications of direct femtosecond writing technique to full formation of near-surface waveguides and postproduction precise geometry correction of near-surfaces optical integrated circuits produced with proton-exchanged technique. (paper)

  14. THE USE OF RESEARCH PAPER WRITING INSTRUCTIONAL MATERIALSTO IMPROVE STUDENTS‟ACADEMIC WRITING: A CLASSROOM ACTION RESEARCH

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-04-01

    Full Text Available Most of students in English Education Department of IKIP PGRI Bojonegoro frequently consider that academic writing, in term of writing scientific paper, is not easy task to do. The result of their academic writing performance at preliminary research indicated that they achieved low scores in writing a scientific article. Consequently, they are not motivated in academic writing. For this case, I used Research Paper Writing Instructional Materials as sources in teaching and learning. This research investigatedwhether the use of Research Paper Writing Instructional Materials can improve students‘ academic writing andhow class situation is when Research Paper Writing Instructional Materials are used as a source of teaching and learning process. This is a Classroom Action Research (CAR which is conducted at the fourth semester students of English Education Department of IKIP PGRI Bojonegoro in the academic year of 2014/2015. This research was done in two cycles. Each cycle consisted of four steps: Planning, Acting, Observing, and Reflecting. The qualitative data were collected through observation and interview. The quantitative data were collected through test. The research findings revealed that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and improve students‘ motivation in academic writing class.Derived from the findings, it can be concluded that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and class situation. Therefore, it is recommended for the lecturers to use Research Paper Writing Instructional Materialsas it can improve students‘ academic writing as well as class situation.

  15. Acts of Writing: A Compilation of Six Models That Define the Processes of Writing

    Science.gov (United States)

    Sharp, Laurie A.

    2016-01-01

    Writing is a developmental and flexible process. Using a prescribed process for acts of writing during instruction does not take into account individual differences of writers and generates writing instruction that is narrow, rigid, and inflexible. Preservice teachers receive limited training with theory and pedagogy for writing, which potentially…

  16. Field Botany and Creative Writing: Where the Science of Writing Meets the Writing of Science

    Science.gov (United States)

    Killingbeck, Keith

    2006-01-01

    Merging science and writing to enhance both subjects was the objective of a venture known as "Plant Notes." At first, teacher-written notes served as the inspiration for this writing assignment. Later, eclectic student-written novellas, poems, song lyrics, mnemonic devices, and field trip recollections made their way into "Plant Notes" and stole…

  17. Examining the Read-to-Write Strategy and its Effects on Second Grader’s Writing of Sequential Text

    OpenAIRE

    Neal, John

    2017-01-01

    Writing is so important. It is important in school and in our careers; writing is found to be helpful physiologically and psychologically. Experts wonder, with writing so important, why is writing not being adequately taught in the schools. The answer may be that writing is complex and teaching it is even more complex. The Read-to-Write Strategy is a writing model based on the study of exemplary models of text and children are explicitly taught how to write the way an author writes through a ...

  18. Writing Editorials.

    Science.gov (United States)

    Pappas, Marjorie L.

    2003-01-01

    Presents a thematic unit for middle schools on editorial writing, or persuasive writing, based on the Pathways Model for information skills lessons. Includes assessing other editorials; student research process journals; information literacy and process skills; and two lesson plans that involve library media specialists as well as teachers. (LRW)

  19. Business Writing.

    Science.gov (United States)

    Burt, Lorna; Lewandowski, Carol

    This workbook, designed for workplace literacy courses, contains materials for a business writing course. The course presents the fundamentals of effective business letter writing, focusing on logical organization, word choice, style, tone, and clarity. The course uses students' own examples as well as practice exercises for reinforcement.…

  20. The Writing Crisis and How to Address It through Developmental Writing Classes

    Science.gov (United States)

    Sacher, Cassandra L. O.

    2016-01-01

    Since high school students are failing to master writing proficiency, developmental writing programs at the college level have become increasingly necessary. This article explains the lack of readiness with which students are entering college and the workplace, examines the reasons students are having trouble writing, and describes elements of…

  1. Writing lives in sport

    DEFF Research Database (Denmark)

    Christensen, Mette Krogh

    dealing with anonymous individuals, whose anonymity results from the confidentiality requirements of a social scientific research methodology, to those leaning more towards the literary-historical traditions of 'conventional' biographical writing. However, these examples are polar extremes and none...... in the academis world of sport studies. It does not set out to be a methodological treatise but through the writing of lives in sports does raise questions of method. Each essay in this collection deals with problems of writing sports-people's lives. These essays could be said to fall along a spectrum from those......Writing lives in sport is a book of stories about sports-persons. The people concerned include sports stars, sports people who are not quite so famous, and relatively unknown physical education teachers and sports scientists.Writing lives in sport raises questions about writing biographies...

  2. Supporting the Thesis Writing Process of International Research Students through an Ongoing Writing Group

    Science.gov (United States)

    Li, Linda Y.; Vandermensbrugghe, Joelle

    2011-01-01

    Evidence from research suggests writing support is particularly needed for international research students who have to tackle the challenges of thesis writing in English as their second language in Western academic settings. This article reports the development of an ongoing writing group to support the thesis writing process of international…

  3. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    Directory of Open Access Journals (Sweden)

    Behrooz Ghoorchaei

    2017-09-01

    Full Text Available The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionnaire, a self-efficacy belief questionnaire, and an IELTS writing task. The results of Pearson correlation tests showed that there were significant relationship between self-efficacy and writing strategies on the one hand, and self-efficacy and writing ability on the other hand. The results have some implications for teaching writing in the EFL context.

  4. Write Soon!

    Science.gov (United States)

    Rasinski, Timothy; Padak, Nancy

    2009-01-01

    This article explores the possibilities of using natural writing opportunities that occur in family life to nurture children's literacy development. From notes to lists to journals to parodies, families can use writing to nurture personal relationships and simultaneously improve literacy. Specific tips for teachers to share with parents in making…

  5. EqualChance: Addressing Intra-set Write Variation to Increase Lifetime of Non-volatile Caches

    Energy Technology Data Exchange (ETDEWEB)

    Mittal, Sparsh [ORNL; Vetter, Jeffrey S [ORNL

    2014-01-01

    To address the limitations of SRAM such as high-leakage and low-density, researchers have explored use of non-volatile memory (NVM) devices, such as ReRAM (resistive RAM) and STT-RAM (spin transfer torque RAM) for designing on-chip caches. A crucial limitation of NVMs, however, is that their write endurance is low and the large intra-set write variation introduced by existing cache management policies may further exacerbate this problem, thereby reducing the cache lifetime significantly. We present EqualChance, a technique to increase cache lifetime by reducing intra-set write variation. EqualChance works by periodically changing the physical cache-block location of a write-intensive data item within a set to achieve wear-leveling. Simulations using workloads from SPEC CPU2006 suite and HPC (high-performance computing) field show that EqualChance improves the cache lifetime by 4.29X. Also, its implementation overhead is small, and it incurs very small performance and energy loss.

  6. THE INFLUENCE OF LANGUAGE COMPETENCE, WRITING COMPETENCE, AND CULTURAL COMPETENCE ON PRODUCING A SUCCESSFUL WRITING

    Directory of Open Access Journals (Sweden)

    Hermanto Hermanto

    2008-11-01

    Full Text Available Writing is a skill derived from a long way of learning and exercises. Different from other language skills, writing is considered the difficult language skill to acquire since it involves many aspects of linguistics, social, and writing knowledge and conventions. There are at least three important elements of writing useful to produce a good piece of composition, language competence, writing competence and cultural competence. This paper shows the influence of these three elements in order to produce good, readable, communicative, and successful writing

  7. The Relationship between Writing Anxiety and Writing Disposition among Secondary School Students

    Science.gov (United States)

    Cocuk, Halil Erdem; Yanpar Yelken, Tugba; Ozer, Omer

    2016-01-01

    Problem Statement: Writing is important in secondary schools because it underpins the performance of students in most examinations. Writing disposition, which specifically deals with the aspects of students' attitudes toward writing, has also been studied by some researchers. Purpose of the Study: This study reports on the result of a study on the…

  8. The Write Stuff: Teaching the Introductory Public Relations Writing Course.

    Science.gov (United States)

    King, Cynthia M.

    2001-01-01

    Outlines an introductory public relations writing course. Presents course topics and objectives, and assignments designed to meet them. Provides a sample grading rubric and evaluates major public relations writing textbooks. Discusses learning and assessment strategies. (SR)

  9. Context-model-based instruction in teaching EFL writing: A narrative inquiry

    Directory of Open Access Journals (Sweden)

    Zheng Lin

    2016-12-01

    Full Text Available This study aims to re-story the provision of the context-model-based instruction in teaching EFL writing, focusing especially on students’ development of the context model and learning to guide EFL writing with the context model. The research data have been collected from the audio recordings of the classroom instruction, the teacher-researcher’s memos, and the students’ reflections on their learning experience in the study. The findings that have resulted from this narrative inquiry show (1 the context-model-based instruction has helped students develop their context model; (2 students could learn to configure the four elements of the context model (i.e. “the purpose of communication, the subject matter, the relationship with the reader and the normal pattern of presentation”; and (3 students could learn to be mindful to proactively apply the context model in the process of EFL writing to manage the situated, dynamic and intercultural issues involved.

  10. Training writing skills: A cognitive development perspective

    Directory of Open Access Journals (Sweden)

    Kellogg, Ronald T.

    2008-01-01

    Full Text Available Writing skills typically develop over a course of more than two decades as a child matures and learns the craft of composition through late adolescence and into early adulthood. The novice writer progresses from a stage of knowledge-telling to a stage of knowledgetransforming characteristic of adult writers. Professional writers advance further to an expert stage of knowledge-crafting in which representations of the author's planned content, the text itself, and the prospective reader's interpretation of the text are routinely manipulated in working memory. Knowledge-transforming, and especially knowledge-crafting, arguably occur only when sufficient executive attention is available to provide a high degree of cognitive control over the maintenance of multiple representations of the text as well as planning conceptual content, generating text, and reviewing content and text. Because executive attention is limited in capacity, such control depends on reducing the working memory demands of these writing processes through maturation and learning. It is suggested that students might best learn writing skills through cognitive apprenticeship training programs that emphasize deliberate practice.

  11. The Relation of College Student Self-Efficacy toward Writing and Writing Self-Regulation Aptitude: Writing Feedback Perceptions as a Mediating Variable

    Science.gov (United States)

    Ekholm, Eric; Zumbrunn, Sharon; Conklin, Sarah

    2015-01-01

    Despite the powerful effect feedback often has on student writing success more research is needed on how students emotionally react to the feedback they receive. This study tested the predictive and mediational roles of college student writing self-efficacy beliefs and feedback perceptions on writing self-regulation aptitude. Results suggested…

  12. Writing in History: Effects of writing instruction on historical reasoning and text quality

    NARCIS (Netherlands)

    van Drie, J.; Braaksma, M.; van Boxtel, C.

    2015-01-01

    This study aims at gaining more insight in effective writing instruction to promote historical reasoning. In an experimental study, two types of instructions were compared; a general writing instruction and a discipline-based writing instruction. In addition, the effects of these instructions for

  13. Writing Blocks and Tacit Knowledge.

    Science.gov (United States)

    Boice, Robert

    1993-01-01

    A review of the literature on writing block looks at two kinds: inability to write in a timely, fluent fashion, and reluctance by academicians to assist others in writing. Obstacles to fluent writing are outlined, four historical trends in treating blocks are discussed, and implications are examined. (MSE)

  14. WORD PROCESSING AND SECOND LANGUAGE WRITING: A LONGITUDINAL CASE STUDY

    Directory of Open Access Journals (Sweden)

    Alister Cumming

    2001-12-01

    Full Text Available The purpose of this study was to determine whether word processing might change a second language (L2 leamer's writing processes and improve the quality of his essays over a relatively long period of time. We worked from the assumption that research comparing word-processing to pen and paper composing tends to show positive results when studies include lengthy terms of data collection and when appropriate instruction and training are provided. We compared the processes and products of L2 composing displayed by a 29-year-old, male Mandarin leamer of English with intermediate proficiency in English while he wrote, over 8 months, 14 compositions grouped into 7 comparable pairs of topics altemating between uses of a lap-top computer and of pen and paper. Al1 keystrokes were recorded electronically in the computer environrnent; visual records of al1 text changes were made for the pen-and paper writing. Think-aloud protocols were recorded in al1 sessions. Analyses indicate advantages for the word-processing medium over the pen-and-paper medium in terms ofi a greater frequency of revisions made at the discourse level and at the syntactical level; higher scores for content on analytic ratings of the completed compositions; and more extensive evaluation ofwritten texts in think-aloud verbal reports.

  15. Teaching the Writing Process

    Science.gov (United States)

    Keen, John

    2017-01-01

    This article outlines some cognitive process models of writing composition. Possible reasons why students' writing capabilities do not match their abilities in some other school subjects are explored. Research findings on the efficacy of process approaches to teaching writing are presented and potential shortcomings are discussed. Product-based…

  16. Technical report writing

    Science.gov (United States)

    Vidoli, Carol A.

    1992-01-01

    This manual covers the fundamentals of organizing, writing, and reviewing NASA technical reports. It was written to improve the writing skills of LeRC technical authors and the overall quality of their reports.

  17. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2013-01-01

    Full Text Available Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product approach to writing and asking the learners to complete the text rather than copying it can have a positive impact on EFL learners’ accuracy in writing. After training a number of EFL learners on using process approach, we held a two-session writing class. In the first session students wrote in the process approach, and in the second one they were given a model text to continue in the process-product approach. The writing performance of the students in these two sessions was compared in term of accuracy. Based on the students’ writing performance, we came to the conclusion that completing the model text in process-product writing can have a rather positive influence in some aspects of their writing accuracy such as punctuation, capitalization, spelling, subject-verb agreement, tense, the use of connectors, using correct pronouns and possessives. Also the results of the paired t-test indicate that using a model text to continue increased students’ writing accuracy.

  18. Classroom Writing Environments and Children's Early Writing Skills: An Observational Study in Head Start Classrooms

    Science.gov (United States)

    Zhang, Chenyi; Hur, Jinhee; Diamond, Karen E.; Powell, Douglas

    2015-01-01

    This study examined the classroom writing environment in 31 Head Start classrooms, and explored the relations between the writing environment, children's (N = 262) name-writing, and children's letter knowledge using pathway analysis. Our analyses showed that Head Start classrooms provided opportunities (i.e., writing materials and teachers'…

  19. Faculty Feelings as Writers: Relationship with Writing Genres, Perceived Competences, and Values Associated to Writing

    Science.gov (United States)

    del Pilar Gallego Castaño, Liliana; Castelló Badia, Montserrat; Badia Garganté, Antoni

    2016-01-01

    This study attempts to relate faculty feelings towards writing with writing genres, perceived competences and values associated to writing. 67 foreign languages faculty in Colombia and Spain voluntarily filled in a four-section on-line questionnaire entitled "The Writing Feelings Questionnaire." All the sections were Likert Scale type.…

  20. Writing Research Reports.

    Science.gov (United States)

    Sessler, Daniel I; Shafer, Steven

    2018-01-01

    Clear writing makes manuscripts easier to understand. Clear writing enhances research reports, increasing clinical adoption and scientific impact. We discuss styles and organization to help junior investigators present their findings and avoid common errors.

  1. Downloading Multiple Records Using Query Strings

    Directory of Open Access Journals (Sweden)

    Adam Crymble

    2012-11-01

    Full Text Available Downloading a single record from a website is easy, but downloading many records at a time – an increasingly frequent need for a historian – is much more efficient using a programming language such as Python. In this lesson, we will write a program that will download a series of records from the Old Bailey Online using custom search criteria, and save them to a directory on our computer. This process involves interpreting and manipulating URL Query Strings. In this case, the tutorial will seek to download sources that contain references to people of African descent that were published in the Old Bailey Proceedings between 1700 and 1750.

  2. 39% access time improvement, 11% energy reduction, 32 kbit 1-read/1-write 2-port static random-access memory using two-stage read boost and write-boost after read sensing scheme

    Science.gov (United States)

    Yamamoto, Yasue; Moriwaki, Shinichi; Kawasumi, Atsushi; Miyano, Shinji; Shinohara, Hirofumi

    2016-04-01

    We propose novel circuit techniques for 1 clock (1CLK) 1 read/1 write (1R/1W) 2-port static random-access memories (SRAMs) to improve read access time (tAC) and write margins at low voltages. Two-stage read boost (TSR-BST) and write word line boost (WWL-BST) after the read sensing schemes have been proposed. TSR-BST reduces the worst read bit line (RBL) delay by 61% and RBL amplitude by 10% at V DD = 0.5 V, which improves tAC by 39% and reduces energy dissipation by 11% at V DD = 0.55 V. WWL-BST after read sensing scheme improves minimum operating voltage (V min) by 140 mV. A 32 kbit 1CLK 1R/1W 2-port SRAM with TSR-BST and WWL-BST has been developed using a 40 nm CMOS.

  3. Technical Writing in Hydrogeology.

    Science.gov (United States)

    Tinker, John R., Jr.

    1986-01-01

    A project for Writing Across the Curriculum at the University of Wisconsin-Eau Claire is described as a method to relate the process of writing to the process of learning hydrology. The project focuses on an actual groundwater contamination case and is designed to improve the technical writing skills of students. (JN)

  4. Writing and Science Literacy

    Science.gov (United States)

    Weiss-Magasic, Coleen

    2012-01-01

    Writing activities are a sure way to assess and enhance students' science literacy. Sometimes the author's students use technical writing to communicate their lab experiences, just as practicing scientists do. Other times, they use creative writing to make connections to the topics they're learning. This article describes both types of writing…

  5. The Evolution of a Writing Program.

    Science.gov (United States)

    White, Bonnie J; Lamson, Karen S

    2017-07-01

    Scholarly writing is required in nursing, and some students are unable to communicate effectively through writing. Faculty members may struggle with the grading of written assignments. A writing team, consisting of a nursing faculty member, the school of nursing library liaison, and members from academic support services, implemented strategies including workshops, handouts, and use of exemplars to improve student writing and to provide support to faculty. Few students sought help from the writing team. An online writing center within the existing learning management system was developed to address nursing students' and faculty's scholarly writing needs. The writing center includes guides, tutorials, and exemplars. Anecdotal evidence indicates the use of the writing center during afternoons and evenings and prior to due dates of written assignments. Online writing resources were used more frequently than face-to-face support. Further research is needed to evaluate the effectiveness of the program. [J Nurs Educ. 2017;56(7):443-445.]. Copyright 2017, SLACK Incorporated.

  6. The writing approaches of secondary students.

    Science.gov (United States)

    Lavelle, Ellen; Smith, Jennifer; O'Ryan, Leslie

    2002-09-01

    Research with college students has supported a model of writing approaches that defines the relationship between a writer and writing task along a deep and surface process continuum (Biggs, 1988). Based on that model, Lavelle (1993) developed the Inventory of Processes in College Composition which reflects students' motives and strategies as related to writing outcomes. It is also important to define the approaches of secondary students to better understand writing processes at that level, and development in written composition. This study was designed to define the writing approaches of secondary students by factor analysing students' responses to items regarding writing beliefs and writing strategies, and to compare the secondary approaches to those of college students. A related goal was to explore the relationships of the secondary writing approaches to perceived self-regulatory efficacy for writing (Zimmerman & Bandura, 1994), writing preferences, and writing outcomes. The initial, factor analytic phase involved 398 junior level high school students (11th grade) enrolled in a mandatory language arts class at each of three large Midwestern high schools (USA). Then, 49 junior level students enrolled in two language arts classes participated as subjects in the second phase. Classroom teachers administered the Inventory of Processes in College Composition (Lavelle, 1993), which contained 72 true-or-false items regarding writing beliefs and strategies, during regular class periods. Data were factor analysed and the structure compared to that of college students. In the second phase, the new inventory, Inventory of Processes in Secondary Composition, was administered in conjunction with the Perceived Self-Regulatory Efficacy for Writing Inventory (Zimmerman & Bandura, 1994), and a writing preferences survey. A writing sample and grade in Language Arts classes were obtained and served as outcome variables. The factor structure of secondary writing reflected three

  7. A Pink Writing Experiment

    Directory of Open Access Journals (Sweden)

    Teija Löytönen

    2015-10-01

    Full Text Available This article addresses a collaborative writing experiment that explores spaces of diverse encounters that began at a research conference held in the Flamingo hotel in Las Vegas; spaces where knowings emerge in the (shared moment, in-between (ourselves, prompted by different (research questions and entanglements of matter and meaning. Through these multiple and emergent writing encounters we explore ways towards collaborative scholarly writing and accessible ways of working and knowing beyond the immediately known or sensed. In addition, this collaborative writing experiment serves to inspire and engage participants (qualitative researchers and ethnographers alike to explore, share, and disseminate knowledge across contexts differently. We call for writing in qualitative research that senses, figures out, and “reveals” via moving and sensuous bodies, and emerging embodied encounters within particular spaces.

  8. Reading Violence in Boys' Writing.

    Science.gov (United States)

    Anderson, Michael

    2003-01-01

    Describes how a teacher finds value in popular culture and violent writing by closely examining the writing of a student who laces his stories with explosions and battles. Finds that once he began to see the similarities between the media his student experiences, the writing the student prefers, and his own favorite media and writing, the teacher…

  9. The New Interface for Writing

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2014-01-01

    Schools are scrambling to prepare their students for the writing assessments in correlation with the Common Core tests. In some states, writing has not been assessed for more than a decade. Yet, with the use of computerized grading of the students' writing, many teachers are wondering how to best prepare students for the writing assessments,…

  10. The Quotation Theory of Writing

    Science.gov (United States)

    Olson, David R.; Oatley, Keith

    2014-01-01

    Learning to read and write is seen as both the acquisition of skills useful in a modern society and an introduction to a world increasingly organized around the reading and writing of authoritative texts. While most agree on the importance of writing, insufficient attention has been given to the more basic question of just what writing is, that…

  11. Student Perceptions of Scholarly Writing

    Directory of Open Access Journals (Sweden)

    Shirley Peganoff O'Brien

    2016-07-01

    Full Text Available Learning the process of scholarly writing, including the significance of peer review, is an essential element in the preparation of students for professional practice. This descriptive research study, using Scholarship of Teaching and Learning methodology, explores one approach to teaching scholarly writing in an occupational science/occupational therapy curriculum. The writing assignment was designed to offer multiple points for feedback and revision and instructional features to reinforce learning. A survey of students [n = 169] participating in this scholarly writing project was conducted yearly to gather their perceptions of learning. The results revealed four key elements: instructional strategies are needed to support scholarly writing, students value explicit instructor feedback, a successful writing experience opens the possibility for students to write in their professional future, and students will develop the habits of a writer given structure and pedagogical considerations in the assignment construction. This experience shows students will work to achieve the expected standard for scholarship once writing is made an essential part of the course and their efforts are supported by scaffolding the assignment. Through this experience, it was also learned students need opportunities for repetition and practice to refine scholarly writing. Suggestions for future research are proposed.

  12. The Impact of Computer-Assisted Writing on Improving Writing Scores for Urban Eighth-Grade Students

    Science.gov (United States)

    Williams-Butler, LaTilya

    2016-01-01

    The purpose of this study was to investigate the impact standards-based aligned computer-assisted writing instruction had on improving writing scores for eighth-grade students that attend an urban middle school. The researcher wanted to remedy the problem of low writing achievement of eighth-grade students and determine if writing across the…

  13. What Basic Writers Think about Writing.

    Science.gov (United States)

    Eves-Bowden, Anmarie

    2001-01-01

    Explores basic writing students' current writing processes, their thoughts on their writing, and their introduction to a structured writing process model. Suggests that educators can assist basic writers in becoming successful college writers by introducing them to a structured writing process model while also helping them to become reflective…

  14. Evaluating Writing Instruction through an Investigation of Students' Experiences of Learning through Writing

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2005-01-01

    Learning through writing is a way of learning not only the appropriate written expression of disciplinary knowledge, but also the knowledge itself through reflection and revision. This study investigates the quality of a writing experience provided to university students in a first-year biology subject. The writing instruction methodology used is…

  15. The science writing tool

    Science.gov (United States)

    Schuhart, Arthur L.

    This is a two-part dissertation. The primary part is the text of a science-based composition rhetoric and reader called The Science Writing Tool. This textbook has seven chapters dealing with topics in Science Rhetoric. Each chapter includes a variety of examples of science writing, discussion questions, writing assignments, and instructional resources. The purpose of this text is to introduce lower-division college science majors to the role that rhetoric and communication plays in the conduct of Science, and how these skills contribute to a successful career in Science. The text is designed as a "tool kit," for use by an instructor constructing a science-based composition course or a writing-intensive Science course. The second part of this part of this dissertation reports on student reactions to draft portions of The Science Writing Tool text. In this report, students of English Composition II at Northern Virginia Community College-Annandale were surveyed about their attitudes toward course materials and topics included. The findings were used to revise and expand The Science Writing Tool.

  16. An ESL Audio-Script Writing Workshop

    Science.gov (United States)

    Miller, Carla

    2012-01-01

    The roles of dialogue, collaborative writing, and authentic communication have been explored as effective strategies in second language writing classrooms. In this article, the stages of an innovative, multi-skill writing method, which embeds students' personal voices into the writing process, are explored. A 10-step ESL Audio Script Writing Model…

  17. Writing for Professional Publication: Three Road Signs for Writing Success

    Science.gov (United States)

    Buttery, Thomas J.

    2010-01-01

    In the first edition of Writing for Publication: An Organizational Paradigm (Buttery, 2010), I recommend a model for organizing theoretical articles. The process includes seven components: title, introduction, outline/advanced organizer, headings, transitions, summary and references. This article will focus on the writing process. The strands of…

  18. Building a scholar in writing (BSW): A model for developing students' critical writing skills.

    Science.gov (United States)

    Bailey, Annette; Zanchetta, Margareth; Velasco, Divine; Pon, Gordon; Hassan, Aafreen

    2015-11-01

    Several authors have highlighted the importance of writing in developing reflective thinking skills, transforming knowledge, communicating expressions, and filling knowledge gaps. However, difficulties with higher order processing and critical analysis affect students' ability to write critical and thoughtful essays. The Building a Scholar in Writing (BSW) model is a 6-step process of increasing intricacies in critical writing development. Development of critical writing is proposed to occur in a processed manner that transitions from presenting simple ideas (just bones) in writing, to connecting ideas (connecting bones), to formulating a thesis and connecting key components (constructing a skeleton), to supporting ideas with evidence (adding muscle), to building creativity and originality (adding essential organs), and finally, developing strong, integrated, critical arguments (adding brain). This process symbolically represents the building of a scholar. The idea of building a scholar equates to progressively giving life and meaning to a piece of writing with unique scholarly characteristics. This progression involves a transformation in awareness, thinking, and understanding, as well as advancement in students' level of critical appraisal skills. Copyright © 2015 Elsevier Ltd. All rights reserved.

  19. SOME THOUGHTS ON WRITING SKILLS

    Directory of Open Access Journals (Sweden)

    Sim Monica Ariana

    2010-07-01

    Full Text Available Writing is one of the central pillars of language learning and should be of major interest and concern to teachers, students and researchers. This paper is intended to be a plea for writing and explores issues regarding instruction and evaluation of writing skills of nonnative speaker students. It examines expectations of nonnative speakers writing quality and performance on writing proficiency exams, as well. Finally, it is trying to ring a bell about this skill that has been neglected in spite of its importance when it comes to foreign language acquisition

  20. Improving Writing through Stages

    Science.gov (United States)

    Rivera Barreto, Adriana Maritza

    2011-01-01

    Writing as a means of communication is one of the basic skills students must master at the university level. Although it is not an easy task because students are usually reluctant to correct, teachers have great responsibility at the time of guiding a writing process. For that reason, this study aimed at improving the writing process in fourth…

  1. Dyslexia, authorial identity, and approaches to learning and writing: a mixed methods study.

    Science.gov (United States)

    Kinder, Julianne; Elander, James

    2012-06-01

    Dyslexia may lead to difficulties with academic writing as well as reading. The authorial identity approach aims to help students improve their academic writing and avoid unintentional plagiarism, and could help to understand dyslexic students' approaches to writing. (1) To compare dyslexic and non-dyslexic students' authorial identity and approaches to learning and writing; (2) to compare correlations between approaches to writing and approaches to learning among dyslexic and non-dyslexic students; (3) to explore dyslexic students' understandings of authorship and beliefs about dyslexia, writing and plagiarism. Dyslexic (n= 31) and non-dyslexic (n= 31) university students. Questionnaire measures of self-rated confidence in writing, understanding of authorship, knowledge to avoid plagiarism, and top-down, bottom-up and pragmatic approaches to writing (Student Authorship Questionnaire; SAQ), and deep, surface and strategic approaches to learning (Approaches and Study Skills Inventory for Students; ASSIST), plus qualitative interviews with dyslexic students with high and low SAQ scores. Dyslexic students scored lower for confidence in writing, understanding authorship, and strategic approaches to learning, and higher for surface approaches to learning. Correlations among SAQ and ASSIST scores were larger and more frequently significant among non-dyslexic students. Self-rated knowledge to avoid plagiarism was associated with a top-down approach to writing among dyslexic students and with a bottom-up approach to writing among non-dyslexic students. All the dyslexic students interviewed described how dyslexia made writing more difficult and reduced their confidence in academic writing, but they had varying views about whether dyslexia increased the risk of plagiarism. Dyslexic students have less strong authorial identities, and less congruent approaches to learning and writing. Knowledge to avoid plagiarism may be more salient for dyslexic students, who may benefit from

  2. LUDIC WRITING: CHALLENGES IN GAMIFYING ENGLISH CREATIVE WRITING CLASS FOR TECHNOPRENEURIAL PURPOSES

    Directory of Open Access Journals (Sweden)

    SF. Luthfie Arguby Purnomo

    2017-03-01

    Full Text Available This paper, first of three research parts, attempts to describe the challenges English Letters at IAIN (Institut Agama Islam Negeri/State Islamic Institute Surakarta faced in implementing gamification for technopreneurial purposes in regard to the transformation of a creative writing class into a ludic writing class, a gamification infused writing class. The challenges revealed are story-game script adaptation, integration portion, and monetization. Specific problems occur on each challenge. Story-game script adaptation exposes three problems namely (1 conditional branching system (2 visualization (3 copyrighted material issues (4 and writing mechanics adaptation. Integration portion challenge displays a problem on the insufficient alloted time for gamifying the creative writing class. Monetization challenge indicates three problems namely (1 the inexistence of monetization team, (2 the inexistence of institutional regulation for monetization management by study programs, (3 responses to gaming trends. Responding to these problems, solutions specifically designed based on the nature of the problems are implemented.

  3. How we may think: Imaging and writing technologies across the history of the neurosciences.

    Science.gov (United States)

    Borck, Cornelius

    2016-06-01

    In the neurosciences, two alternative regimes of visualization can be differentiated: anatomical preparations for morphological images and physiological studies for functional representations. Adapting a distinction proposed by Peter Galison, this duality of visualization regimes is analyzed here as the contrast between an imaging and a writing approach: the imaging approach, focusing on mimetic representations, preserving material and spatial relations, and the writing approach as used in physiological studies, retaining functional relations. After a dominance of morphological images gathering iconic representations of brains and architectural brain theories, the advent of electroencephalography advanced writing approaches with their indexical signs. Addressing the brain allegedly at its mode of operation, electroencephalography was conceived as recording the brain's intrinsic language, extending the writing approach to include symbolic signs. The availability of functional neuroimaging signaled an opportunity to overcome the duality of imaging and writing, but revived initially a phrenological conflation of form and function, suppressing the writing approach in relation to imaging. More sophisticated visualization modes, however, converted this reductionism to the ontological productivity of social neuroscience and recuperated the theorizing from the writing approach. In light of the ongoing instrumental mediations between brains, data and theories, the question of how we may think, once proposed by Vannevar Bush as a prospect of enhanced human-machine interaction, has become the state of affairs in the entanglements of instruments and organic worlds. Copyright © 2016 Elsevier Ltd. All rights reserved.

  4. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  5. Writing Feature Articles with Intermediate Students

    Science.gov (United States)

    Morgan, Denise N.

    2010-01-01

    Students need regular opportunities to write expository text. However, focusing on report writing often leaves students without strong examples to study or analyze to guide and grow their own writing. Writing and studying feature articles, meant to inform and explain, can become an alternative to report writing, as they can easily be located in…

  6. Technical report writing today

    CERN Document Server

    Riordan, Daniel G

    2014-01-01

    "Technical Report Writing Today" provides thorough coverage of technical writing basics, techniques, and applications. Through a practical focus with varied examples and exercises, students internalize the skills necessary to produce clear and effective documents and reports. Project worksheets help students organize their thoughts and prepare for assignments, and focus boxes highlight key information and recent developments in technical communication. Extensive individual and collaborative exercises expose students to different kinds of technical writing problems and solutions. Annotated student examples - more than 100 in all - illustrate different writing styles and approaches to problems. Numerous short and long examples throughout the text demonstrate solutions for handling writing assignments in current career situations. The four-color artwork in the chapter on creating visuals keeps pace with contemporary workplace capabilities. The Tenth Edition offers the latest information on using electronic resum...

  7. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    OpenAIRE

    Behrooz Ghoorchaei; Ali Arabmofrad

    2017-01-01

    The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionn...

  8. Additive direct-write microfabrication for MEMS: A review

    Science.gov (United States)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  9. Writing in dyslexia: product and process.

    Science.gov (United States)

    Morken, Frøydis; Helland, Turid

    2013-08-01

    Research on dyslexia has largely centred on reading. The aim of this study was to assess the writing of 13 children with and 28 without dyslexia at age 11 years. A programme for keystroke logging was used to allow recording of typing activity as the children performed a sentence dictation task. Five sentences were read aloud twice each. The task was to type the sentence as correctly as possible, with no time constraints. The data were analysed from a product (spelling, grammar and semantics) and process (transcription fluency and revisions) perspective, using repeated measures ANOVA and t-tests to investigate group differences. Furthermore, the data were correlated with measures of rapid automatic naming and working memory. Results showed that the group with dyslexia revised their texts as much as the typical group, but they used more time, and the result was poorer. Moreover, rapid automatic naming correlated with transcription fluency, and working memory correlated with the number of semantic errors. This shows that dyslexia is generally not an issue of effort and that cognitive skills that are known to be important for reading also affect writing. Copyright © 2013 John Wiley & Sons, Ltd.

  10. From University Writing to Workplace Writing: The Case of Social ...

    African Journals Online (AJOL)

    This is a case study of social work students' initial experiences with professional writing. The paper addresses the issue of academic writing with special attention to the types of documents written by social work students on their fieldwork placements using twelve students who volunteered to be interviewed. Their views are ...

  11. Liquid filling of photonic crystal fibres for grating writing

    DEFF Research Database (Denmark)

    Sørensen, Henrik Rokkjær; Canning, John; Lægsgaard, Jesper

    2007-01-01

    liquid filling of photonic crystal fibres reduces the scattering from air–glass interfaces during Bragg grating writing in many layered photonic crystal fibres. Within experimental uncertainty, the grating index modulation of a grating written in germanium-doped photonic crystal fibre with 10 rings...

  12. Kindergarten Predictors of Third Grade Writing

    Science.gov (United States)

    Kim, Young-Suk; Al Otaiba, Stephanie; Wanzek, Jeanne

    2015-01-01

    The primary goal of the present study was to examine the relations of kindergarten transcription, oral language, word reading, and attention skills to writing skills in third grade. Children (N = 157) were assessed on their letter writing automaticity, spelling, oral language, word reading, and attention in kindergarten. Then, they were assessed on writing in third grade using three writing tasks – one narrative and two expository prompts. Children’s written compositions were evaluated in terms of writing quality (the extent to which ideas were developed and presented in an organized manner). Structural equation modeling showed that kindergarten oral language and lexical literacy skills (i.e., word reading and spelling) were independently predicted third grade narrative writing quality, and kindergarten literacy skill uniquely predicted third grade expository writing quality. In contrast, attention and letter writing automaticity were not directly related to writing quality in either narrative or expository genre. These results are discussed in light of theoretical and practical implications. PMID:25642118

  13. Optimisation of applied field pulses for microwave assisted magnetic recording

    Directory of Open Access Journals (Sweden)

    Simon John Greaves

    2017-05-01

    Full Text Available Grains in a recording medium experience field pulses from a write head during recording. In general, a short head field rise time and a square pulse shape have been viewed as optimal. This work investigates the optimum field pulse shape for microwave assisted magnetic recording on single layer and ECC media. A square pulse was found to give the best recording performance on single layer media, but an initially negative field pulse increasing at a constant rate was more suitable for ECC media.

  14. Peer scaffolding in an EFL writing classroom: An investigation of writing accuracy and scaffolding behaviors

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2017-09-01

    Full Text Available Considering the tenets of Sociocultural Theory with its emphasis on co-construction of knowledge, L2 writing can be regarded as a co-writing practice whereby assistance is provided to struggling writers. To date, most studies have dealt with peer scaffolding in the revision phase of writing, as such planning and drafting are remained untouched. The present study examines the impact of peer scaffolding on writing accuracy of a group of intermediate EFL learners, and explores scaffolding behaviors employed by them in planning and drafting phases of writing. To these ends, 40 freshmen majoring in English Language and Literature in the University of Guilan were randomly divided into a control group and an experimental group consisting of dyads in which a competent writer provided scaffolding to a less competent one using the process approach to writing. Results of independent samples t-tests revealed that learners in the experimental group produced more accurate essays. Microgenetic analysis of one dyad’s talks showed that scaffolding behaviors used in planning and drafting phases of writing were more or less the same as those identified in the revision phase. These findings can be used to inform peer intervention in L2 writing classes, and assist L2 learners in conducting successful peer scaffolding in the planning and drafting phases of writing.

  15. 18 CFR 701.302 - Procedures for notification of existence of records pertaining to individuals.

    Science.gov (United States)

    2010-04-01

    ... notification of existence of records pertaining to individuals. 701.302 Section 701.302 Conservation of Power... Procedures for notification of existence of records pertaining to individuals. (a) The systems of records, as... be made in person during business hours or in writing at the location and to the person specified in...

  16. The Relationships among Writing Skills, Writing Anxiety and Metacognitive Awareness

    Science.gov (United States)

    Balta, Elif Emine

    2018-01-01

    The purpose of this study was to investigate the relationships among students' argumentative text writing skills, writing anxiety, and metacognitive awareness. The participants were composed of 375 8th graders in six middle schools in Sivas. Metacognitive Awareness Inventory (B Form) which was adapted in to Turkish by Karakelle & Saraç (2007)…

  17. Beyond "Writing to Learn": Factors Influencing Students' Writing Outcomes

    Science.gov (United States)

    Jani, Jayshree S.; Mellinger, Marcela Sarmiento

    2015-01-01

    Social work educators concur that writing and critical thinking are basic components of effective practice, yet students are often deficient in these skills. Although there is agreement among educators about the need to enhance students' writing skills, there is little understanding of the nature of students' problems--a necessary step…

  18. A Heuristic Tool for Teaching Business Writing: Self-Assessment, Knowledge Transfer, and Writing Exercises

    Science.gov (United States)

    Ortiz, Lorelei A.

    2013-01-01

    To teach effective business communication, instructors must target students’ current weaknesses in writing. One method for doing so is by assigning writing exercises. When used heuristically, writing exercises encourage students to practice self-assessment, self-evaluation, active learning, and knowledge transfer, all while reinforcing the basics…

  19. Some technical writing skills industry needs

    Science.gov (United States)

    Smith, F. R.

    1981-01-01

    It is suggested that engineers and other technical students be taught three classes of skills in technical writing. First, "Big Picture Things", which includes: the importance of clear writing, the wide scope of writing, the wide scope of writing tasks that will be faced in industry, and the principles of organization of technical materials such as; how to analyze, classify, partition, and interpret. Second, "Writing Procedures", which encompasses: how to get words on paper efficiently and team-write. Third, "Writing Details", in which two considerations are important: how to achieve precision in the use of language and the aspects of style. Three problems in style are cited: the problem of sentence transition, overuse of attributive adjectives, and verbosity in paragraph structure. The most important thing in technical writing is considered to be functionality, economy and clarity.

  20. Dynamic Head-Disk Interface Instabilities With Friction for Light Contact (Surfing) Recording

    NARCIS (Netherlands)

    Vakis, Antonis I.; Lee, Sung-Chang; Polycarpou, Andreas A.

    2009-01-01

    Recent advances in hard-disk drive technology involve the use of a thermal fly-height control (TFC) pole tip protrusion to bring the read/write recording elements of the slider closer to the disk surface and thus achieve Terabit per square inch recording densities. A dynamic, contact mechanics-based

  1. Writing for Impact

    DEFF Research Database (Denmark)

    Meier, Ninna

    2016-01-01

    Academic work may have impact in a variety of ways, depending on purpose, audience and field, but this is most likely to happen when your work resonates in meaningful ways with people. Ninna Meier encourages a more systematic investigation of the role of writing in achieving impact. Impact through...... writing means getting your readers to understand and remember your message and leave the reading experience changed. The challenge is to make what you write resonate with an audience’s reservoir of experiential knowledge. If the words do not connect to anything tangible, interest can be quickly lost....

  2. Robots Learn Writing

    Directory of Open Access Journals (Sweden)

    Huan Tan

    2012-01-01

    Full Text Available This paper proposes a general method for robots to learn motions and corresponding semantic knowledge simultaneously. A modified ISOMAP algorithm is used to convert the sampled 6D vectors of joint angles into 2D trajectories, and the required movements for writing numbers are learned from this modified ISOMAP-based model. Using this algorithm, the knowledge models are established. Learned motion and knowledge models are stored in a 2D latent space. Gaussian Process (GP method is used to model and represent these models. Practical experiments are carried out on a humanoid robot, named ISAC, to learn the semantic representations of numbers and the movements of writing numbers through imitation and to verify the effectiveness of this framework. This framework is applied into training a humanoid robot, named ISAC. At the learning stage, ISAC not only learns the dynamics of the movement required to write the numbers, but also learns the semantic meaning of the numbers which are related to the writing movements from the same data set. Given speech commands, ISAC recognizes the words and generated corresponding motion trajectories to write the numbers. This imitation learning method is implemented on a cognitive architecture to provide robust cognitive information processing.

  3. Chinese children's early knowledge about writing.

    Science.gov (United States)

    Zhang, Lan; Yin, Li; Treiman, Rebecca

    2017-09-01

    Much research on literacy development has focused on learners of alphabetic writing systems. Researchers have hypothesized that children learn about the formal characteristics of writing before they learn about the relations between units of writing and units of speech. We tested this hypothesis by examining young Chinese children's understanding of writing. Mandarin-speaking 2- to 5-year-olds completed a graphic task, which tapped their knowledge about the formal characteristics of writing, and a phonological task, which tapped their knowledge about the correspondence between Chinese characters and syllables. The 3- to 5-year-olds performed better on the graphic task than the phonological task, indicating that learning how writing appears visually begins earlier than learning that writing corresponds to linguistic units, even in a writing system in which written units correspond to syllables. Statement of contribution What is already known on this subject? Learning about writing's visual form, how it looks, is an important part of emergent literacy. Knowledge of how writing symbolizes linguistic units may emerge later. What does this study add? We test the hypothesis that Chinese children learn about writing's visual form earlier than its symbolic nature. Chinese 3- to 5- year-olds know more about visual features than character-syllable links. Results show learning of the visual appearance of a notation system is developmentally precocious. © 2016 The British Psychological Society.

  4. Special Issue on Gender and Writing | Gender and literacy issues and research: Placing the spotlight on writing

    Directory of Open Access Journals (Sweden)

    Judy M. Parr

    2012-03-01

    Full Text Available In this introduction to a special issue of the Journal of Writing Research, we review four decades of research, bringing writing to the forefront in conversations devoted to gender and literacy. We identify the impetus for much of the research on gender and writing and situate the four articles in this special issue within three themes: gender patterns in what and how students write, cognitive and socio-cultural factors influencing gender differences in student writing, and attempts to provide alternatives to stereotypical gender patterns in student writing. These interdisciplinary themes, further developed within the four articles, underscore the need to consider gender as a complex social, cognitive and linguistic characteristic of both reading and writing.

  5. Exploring the process of writing about and sharing traumatic birth experiences online.

    Science.gov (United States)

    Blainey, Sarah H; Slade, Pauline

    2015-05-01

    This study aimed to explore the experience of writing about a traumatic birth experience and sharing it online. Twelve women who had submitted their stories about traumatic birth experiences to the Birth Trauma Association for online publication were interviewed about their experiences. Women were interviewed shortly after writing but before posting and again 1 month after the story was posted online. All participants completed both interviews. These were transcribed and analysed using template analysis. Women described varied reasons for writing and sharing their stories, including wanting to help themselves and others. The process of writing was described as emotional, however was generally seen as a positive thing. Aspects of writing that were identified as helpful included organizing their experiences into a narrative, and distancing themselves from the experience. Writing and posting online about a traumatic birth is experienced positively by women. It may be a useful self-help intervention and is worthy of systematic evaluation. The mechanisms through which writing is reported to have impacted as described in the interviews link to the mechanisms of change in cognitive-behavioural approaches to post-traumatic symptoms. Statement of contribution What is already known on this subject? Some women develop post-traumatic stress disorder-like symptoms following birth. These can impact on both themselves and their family, yet these women may not seek professional help. Writing about a traumatic event may be a useful approach for reducing post-traumatic stress symptoms, but the impact of online sharing is unknown. What does this study add? This study demonstrates that women report benefits from writing about their birth experiences. Writing enabled organizing the experience into a narrative and distancing from the trauma, which was helpful. Sharing the story online was an emotional experience for participants, however was generally seen positively. © 2014 The

  6. Second harmonics HOE recording in Bayfol HX

    Science.gov (United States)

    Bruder, Friedrich-Karl; Fäcke, Thomas; Hagen, Rainer; Hönel, Dennis; Orselli, Enrico; Rewitz, Christian; Rölle, Thomas; Walze, Günther; Wewer, Brita

    2015-05-01

    Volume Holographic Optical Elements (vHOEs) provide superior optical properties over DOEs (surface gratings) due to high diffraction efficiencies in the -1st order and their excellent Bragg selectivity. Bayer MaterialScience is offering a variety of customized instant-developing photopolymer films to meet requirements for a specific optics design of a phase hologram. For instance, the photopolymer film thickness is an ideal means to adjust the angular and the spectral selectivity while the index modulation can be adopted with the film thickness to achieve a specific required dynamic range. This is especially helpful for transmission type holograms and in multiplex recordings. The selection of different substrates is helpful to achieve the overall optical properties for a targeted application that we support in B2B-focused developments. To provide further guidance on how to record volume holograms in Bayfol HX, we describe in this paper a new route towards the recording of substrate guided vHOEs by using optimized photopolymer films. Furthermore, we discuss special writing conditions that are suitable to create higher 2nd harmonic intensities and their useful applications. Due to total internal reflection (TIR) at the photopolymer-air interface in substrate guided vHOEs, hologram recording with those large diffraction angles cannot usually be done with two free-space beams. Edge-lit recording setups are used to circumvent this limitation. However, such setups require bulky recording blocks or liquid bathes and are complex and hard to align. A different approach that we present in this paper is to exploit 2nd harmonic grating generation in a freespace recording scheme. Those 2nd harmonic components allow the replay of diffraction angles that are normally only accessible with edge-lit writing configurations. Therefore, this approach significantly simplifies master recordings for vHOEs with edge-lit functionalities, which later can be used in contact copy schemes for

  7. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  8. The Effect of Dialogue Journal Writing on EFL Learners' Descriptive Writing Performance: A Quantitative Study

    Directory of Open Access Journals (Sweden)

    Ali Dabbagh

    2017-03-01

    Full Text Available This study sought to evaluate the effect of dialogue journal writing on writing performance as well as its different sub-components, namely content, organization, vocabulary, language use, and mechanics (Following Polio, 2013. Participants were 84 EFL intermediate learners who were selected based on their performance on Oxford Quick Placement Test (2004 and divided randomly into experimental and control groups. While the participants in the control group took part in descriptive writing pre and post-tests only, their counterparts in experimental group were asked to write 3 journals a week for about 6 months in the period between the pre- and post-tests. The instructor of the experimental group provided feedback to each journal entry mostly on its content and message to which the participants replied in a dialogic manner. Results of independent sample t-test located a significant difference between the experimental and control group regarding the overall writing performance, as well as the sub-components of content, organization, and vocabulary in the post-test. However, the obtained results did not reveal a significant effect of dialogue journal writing on language use and mechanics of writing performance. The results which promise implications for writing instructors, curriculum developers, and material designers are fully discussed.

  9. Peer editing: a strategic source in EFL students’ writing process

    Directory of Open Access Journals (Sweden)

    Nubia Mercedes Díaz Galvis

    2011-06-01

    Full Text Available This article reports on a research project focused on peer editing as a pedagogical tool to promote collaborative assessment in the EFL writing process. With teachers overstretched in the Bogotá public school system, a method needed to be found that would allow students to receive much needed feedback without overtaxing the teachers` resources. Peer editing, a phenomenon that often occurs naturally within the classroom, was therefore systematically implemented as a solution to the stated problem. The main aims of this study, conducted with a group of ninth grade student at a public school in Bogotá, were to determine the role of peer editing in the writing process and to characterize the relationships built when students corrected each others writings. The instruments used for collecting data were field notes, video recordings and students’ artifacts. The results showed that when students were engaged in peer editing sessions they created zones of proximal development in which high achiever students provided linguistic scaffolding and empowered low achievers. It was also found that students used thinking strategies such as noticing and explaining when they identified errors related to the formal aspects of the language.

  10. Prosodic boundaries in writing: Evidence from a keystroke analysis

    Directory of Open Access Journals (Sweden)

    Susanne Fuchs

    2016-11-01

    Full Text Available The aim of the paper is to investigate duration between successive keystrokes during typing in order to examine whether prosodic boundaries are expressed in the process of writing. In particular, we are interested in interkey durations that occur next to punctuation marks (comma and full stops while taking keystrokes between words as a reference, since these punctuation marks are often realized with minor or major prosodic boundaries during reading. A two-part experiment was conducted: first, participants’ keystrokes on a computer keyboard were recorded while writing an email to a close friend (in two conditions: with and without time pressure. Second, participants read the email they just wrote. Interkey durations were compared to pause durations at the same locations during read speech. Results provide evidence of significant differences between interkey durations between words, at commas and at full stops (from shortest to longest. These durations were positively correlated with silent pause durations during reading. A more detailed analysis of interkey durations revealed patterns that can be interpreted with respect to prosodic boundaries in speech production, namely as phrase-final and phrase-initial lengthening occurring at punctuation marks. This work provides initial evidence that prosodic boundaries are reflected in the writing process.

  11. Prosodic Boundaries in Writing: Evidence from a Keystroke Analysis.

    Science.gov (United States)

    Fuchs, Susanne; Krivokapić, Jelena

    2016-01-01

    The aim of the paper is to investigate duration between successive keystrokes during typing in order to examine whether prosodic boundaries are expressed in the process of writing. In particular, we are interested in interkey durations that occur next to punctuation marks (comma and full stops while taking keystrokes between words as a reference), since these punctuation marks are often realized with minor or major prosodic boundaries during overt reading. A two-part experiment was conducted: first, participants' keystrokes on a computer keyboard were recorded while writing an email to a close friend (in two conditions: with and without time pressure). Second, participants read the email they just wrote. Interkey durations were compared to pause durations at the same locations during read speech. Results provide evidence of significant differences between interkey durations between words, at commas and at full stops (from shortest to longest). These durations were positively correlated with silent pause durations during overt reading. A more detailed analysis of interkey durations revealed patterns that can be interpreted with respect to prosodic boundaries in speech production, namely as phrase-final and phrase-initial lengthening occurring at punctuation marks. This work provides initial evidence that prosodic boundaries are reflected in the writing process.

  12. High-Density Near-Field Optical Disc Recording

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Ishimoto, Tsutomu; Kondo, Takao; Nakaoki, Ariyoshi; Ide, Naoki; Furuki, Motohiro; Takeda, Minoru; Akiyama, Yuji; Shimouma, Takashi; Yamamoto, Masanobu

    2005-05-01

    We developed a high-density near-field optical recording disc system using a solid immersion lens. The near-field optical pick-up consists of a solid immersion lens with a numerical aperture of 1.84. The laser wavelength for recording is 405 nm. In order to realize the near-field optical recording disc, we used a phase-change recording media and a molded polycarbonate substrate. A clear eye pattern of 112 GB capacity with 160 nm track pitch and 50 nm bit length was observed. The equivalent areal density is 80.6 Gbit/in2. The bottom bit error rate of 3 tracks-write was 4.5× 10-5. The readout power margin and the recording power margin were ± 30.4% and ± 11.2%, respectively.

  13. Effects of disfluency in writing.

    Science.gov (United States)

    Medimorec, Srdan; Risko, Evan F

    2016-11-01

    While much previous research has suggested that decreased transcription fluency has a detrimental effect on writing, there is recent evidence that decreased fluency can actually benefit cognitive processing. Across a series of experiments, we manipulated transcription fluency of ostensibly skilled typewriters by asking them to type essays in two conditions: both-handed and one-handed typewriting. We used the Coh-Metrix text analyser to investigate the effects of decreased transcription fluency on various aspects of essay writing, such as lexical sophistication, sentence complexity, and cohesion of essays (important indicators of successful writing). We demonstrate that decreased fluency can benefit certain aspects of writing and discuss potential mechanisms underlying disfluency effects in essay writing. © 2016 The British Psychological Society.

  14. Writing(s and subjectivation: From the modern citizen to the contemporary young subjectivity

    Directory of Open Access Journals (Sweden)

    Monica Maria Bermudez Grajales

    2015-12-01

    Full Text Available The present text is related to the preponderant status that writing occupied in the political configuration of the modern citizen. Writing practices conceived for development of autonomy, self-control and domestication of passions were some of the ideas that promoted the construction of a rational individual who was able to participate in the public arena and activities conceived by the Nation-State. Nowadays, the meaning of modern writing has varied. Other writing styles are being developed in parallel to the economic, social and technological transitions. In fact, we do not require such a kind of modern writing as the only condition for the political participation. At present, social movements and the communicative and digital interactions of many youngsters, and their hyper textual narratives, show us a vindication of the oral, resounding and iconic as process of a political subjectivity that does not become a rational one in the modern sense but in a nomadic, vernacular and sensitive one.

  15. Effects of Guided Writing Strategies on Students' Writing Attitudes Based on Media Richness Theory

    Science.gov (United States)

    Lan, Yu-Feng; Hung, Chun-Ling; Hsu, Hung-Ju

    2011-01-01

    The purpose of this paper is to develop different guided writing strategies based on media richness theory and further evaluate the effects of these writing strategies on younger students' writing attitudes in terms of motivation, enjoyment and anxiety. A total of 66 sixth-grade elementary students with an average age of twelve were invited to…

  16. How to write reports and proposals

    CERN Document Server

    Forsyth, Patrick

    2016-01-01

    How to Write Reports and Proposals is essential reading for achieving effective writing techniques. Getting a message across on paper and presenting a proposal in a clear and persuasive form are vital skills for anyone in business. How to Write Reports and Proposals provides practical advice on how to impress, convince and persuade your colleagues or clients. It will help you: improve your writing skills; think constructively before writing; create a good report; produce persuasive proposals; use clear and distinctive language; present numbers, graphs and charts effectively. Full of checklists, exercises and real life examples, this new edition also contains content on how to write succinctly and with impact across different mediums. How to Write Reports and Proposals will help you to put over a good case with style.

  17. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Science.gov (United States)

    Berk, Rifat Ramazan; Ünal, Emre

    2017-01-01

    The purpose of this study is to determine sixth, seventh and eighth grade students' writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students' grade levels and genders are…

  18. L2 Writing in Test and Non-test Situations: Process and Product

    Directory of Open Access Journals (Sweden)

    Baraa Khuder

    2015-02-01

    Full Text Available Test writers sometimes complain they cannot perform to their true abilities because of time constraints. We therefore examined differences in terms of process and product between texts produced under test and non-test conditions. Ten L2 postgraduates wrote two argumentative essays, one under test conditions, with only forty minutes being allowed and without recourse to resources, and one under non-test conditions, with unlimited time as well as access to the Internet. Keystroke logging, screen capture software, and stimulated recall protocols were used, participants explaining and commenting on their writing processes. Sixteen writing process types were identified. Higher proportions of the processes of translation and surface revision were recorded in the test situation, while meaningful revision and evaluation were both higher in the non-test situation. There was a statistically significant difference between time allocation for different processes at different stages. Experienced teachers awarded the non-test texts a mean score of almost one point (0.8 higher. A correlational analysis examining the relationship between writing process and product quality showed that while the distribution of writing processes can have an impact on text quality in the test situation, it had no effect on the product in the non-test situation.

  19. Field emission sensing for non-contact probe recording

    NARCIS (Netherlands)

    le Fèbre, A.J.

    2008-01-01

    In probe recording an array of thousands of nanometer-sharp probes is used to write and read on a storage medium. By using micro-electromechanical system technology (MEMS) for fabrication, small form factor memories with high data density and low power consumption can be obtained. Such a system is

  20. 12 CFR 309.5 - Procedures for requesting records.

    Science.gov (United States)

    2010-01-01

    ... best of the person's knowledge and belief and explain in detail the basis for requesting expedited... material within records. Searches may be done manually and/or by computer using existing programming. (b.... Changes made to requests to obtain faster processing must be in writing. (3) Expedited processing. (i...

  1. Technical Writing Tips

    Science.gov (United States)

    Kennedy, Patrick M.

    2004-01-01

    The main reason engineers, technicians, and programmers write poor technical documents is because they have had little training or experience in that area. This article addresses some of the basics that students can use to master technical writing tasks. The article covers the most common problems writers make and offers suggestions for improving…

  2. Long-period fibre grating writing with a slit-apertured femtosecond laser beam (λ = 1026 nm)

    International Nuclear Information System (INIS)

    Dostovalov, A V; Wolf, A A; Babin, S A

    2015-01-01

    We report on long-period grating (LPG) writing in a standard telecom fibre, SMF-28e+, via refractive index modification by femtosecond pulses. A method is proposed for grating writing with a slit-apertured beam, which enables one to produce LPGs with reduced background losses and a resonance peak markedly stronger than that in the case of grating writing with a Gaussian beam. The method can be used to fabricate LPGs for use as spectral filters of fibre lasers and sensing elements of sensor systems. (fibre and integrated-optical structures)

  3. Reaching Resistant Youth through Writing.

    Science.gov (United States)

    Skramstad, Teresa

    1998-01-01

    A teacher recounts her experiences with students who were successful telling their stories through writing and using their writing as a vehicle for expressing their emotions. Explains how helping students "find their voices" through writing can crack tough exteriors and help youth reconnect to school and themselves. (Author/MKA)

  4. The role of research-article writing motivation and self-regulatory strategies in explaining research-article abstract writing ability.

    Science.gov (United States)

    Lin, Ming-Chia; Cheng, Yuh-Show; Lin, Sieh-Hwa; Hsieh, Pei-Jung

    2015-04-01

    The purpose of the study was to investigate the effects of research-article writing motivation and use of self-regulatory writing strategies in explaining second language (L2) research-article abstract writing ability, alongside the L2 literacy effect. Four measures were administered: a L2 literacy test, a research abstract performance assessment, and inventories of writing motivation and strategy. Participants were L2 graduate students in Taiwan (N=185; M age=25.8 yr., SD=4.5, range=22-53). Results of structural equation modeling showed a direct effect of motivation on research-article writing ability, but no direct effect of strategy or indirect effect of motivation via strategy on research-article writing ability, with L2 literacy controlled. The findings suggest research-article writing instruction should address writing motivation, besides L2 literacy.

  5. Peer Facilitated Writing Groups: A Programmatic Approach to Doctoral Student Writing

    Science.gov (United States)

    Kumar, Vijay; Aitchison, Claire

    2018-01-01

    Very few empirical studies have investigated programmes in which doctoral students act as peer facilitators in faculty writing groups. We report on the development of a centrally delivered doctoral student writing programme in which twenty student participants were mentored and provided with the resources to initiate their own faculty-based…

  6. Masters’ Writings and Students’ Writings: School Material in Mesopotamia

    OpenAIRE

    Proust, Christine

    2011-01-01

    International audience; By nature, school drafts of Mesopotamia were meant to destruction. But, thanks to clay support of writing and ancient recycling practices, they reached us in vast amount. These school tablets were mainly produced along a quite short period, between 18th and 17th century B.C. They contain principally exercises for learning writing, Sumerian language and mathematics. These sources bear witness of phenomena linked with those which are examined in this book: change of know...

  7. AN ANALYSIS OF STUDENTS’ FREE WRITING

    Directory of Open Access Journals (Sweden)

    Rahmi Phonna

    2014-05-01

    Full Text Available Writing contains a compound process to be expressed that entails the writer to pay more attention on linking appropriate words together. Most linguists agree that a writer should attain high level of understanding to pursue the lifelong learning of academic writing pedagogy. This study aimed to analyze the students’ free writing by identifying the category of mistakes that often appear on their writing assignment. 28 free writings were collected, as the main data, from 28 students as the samples for this study. They were then analyzed by using the guidelines of correction symbols from Hogue (1996 and Oshima & Hogue (1999. The results revealed that 11 categories of grammar that often applied incorrectly on the students’ free writing. The misused of verb-agreement (V/A was the most frequent category occurred, followed by word form (Wf and Spelling (Sp. The least category of errors identified on the students’ free writing was conjunction (Conj and wrong word (Ww categories. Overall, 175 errors from different grammatical conventions were repeated in the students’ free writing.

  8. Writing that Works.

    Science.gov (United States)

    Roman, Kenneth; Raphaelson, Joel

    Intended for use by nonprofessional writers who must use the written word to communicate and get results, this book offers practical suggestions on how to write business letters, memos, sales and fund raising letters, plans, and reports. The book covers general principles of good writing and emphasizes the importance of editing. In addition, it…

  9. Children's Advertisement Writing

    Science.gov (United States)

    Burrell, Andrew; Beard, Roger

    2010-01-01

    This paper explores primary school children's ability to engage with "the power of the text" by tackling persuasive writing in the form of an advertisement. It is eclectically framed within genre theory and rhetorical studies and makes use of linguistic tools and concepts. The paper argues that writing research has not built upon earlier…

  10. Discourse Approaches to Writing Assessment.

    Science.gov (United States)

    Connnor, Ulla; Mbaye, Aymerou

    2002-01-01

    Discusses assessment of English-as-a-Foreign/Second-Language (EFL/ESL) writing. Suggests there is a considerable gap between current practices in writing assessment and criteria suggested by advances in knowledge of discourse structure. Illustrates this by contrasting current practices in the scoring of two major EFL/ESL writing tests with…

  11. Don't be afraid of writing

    International Nuclear Information System (INIS)

    Park, Dong Gyu

    1997-01-01

    This book deals with requirements of good writings, comprehension toward characters of language, understanding of subjects and materials, grasp of structure of writings, and reality of writing. It contains theoretical requirements of good writing such as creativity, clearness, probity, how to understand the right meanings of language by showing standard languages, dialects, foreign languages, loan words, newly coined words, in-words, slangs, jargon. It also introduces subjects, topics, materials, sentences, meaning, structure, type, requirement, length of paragraphs, diaries, letter writings, travel essays, descriptions, and essays.

  12. Contextualize Technical Writing Assessment to Better Prepare Students for Workplace Writing: Student-Centered Assessment Instruments

    Science.gov (United States)

    Yu, Han

    2008-01-01

    To teach students how to write for the workplace and other professional contexts, technical writing teachers often assign writing tasks that reflect real-life communication contexts, a teaching approach that is grounded in the field's contextualized understanding of genre. This article argues to fully embrace contextualized literacy and better…

  13. How Professional Writing Pedagogy and University-Workplace Partnerships Can Shape the Mentoring of Workplace Writing

    Science.gov (United States)

    Kohn, Liberty

    2015-01-01

    This article analyzes literature on university-workplace partnerships and professional writing pedagogy to suggest best practices for workplace mentors to mentor new employees and their writing. The article suggests that new employees often experience cultural confusion due to (a) the transfer of education-based writing strategies and (b) the…

  14. Map It Then Write It

    Science.gov (United States)

    Lott, Kimberly; Read, Sylvia

    2015-01-01

    All writing begins with ideas, but young students often need visual cues to help them organize their thoughts before beginning to write. For this reason, many elementary teachers use graphic organizers or thinking maps to help students visualize patterns and organize their ideas within the different genres of writing. Graphic organizers such as…

  15. ESL intermediate/advanced writing

    CERN Document Server

    Munoz Page, Mary Ellen; Jaskiewicz, Mary

    2011-01-01

    Master ESL (English as a Second Language) Writing with the study guide designed for non-native speakers of English. Skill-building lessons relevant to today's topics help ESL students write complete sentences, paragraphs, and even multi-paragraph essays. It's perfect for classroom use or self-guided writing preparation.DETAILS- Intermediate drills for improving skills with parallel structure, mood, correct shifting errors & dangling participles- Advanced essay drills focusing on narrative, descriptive, process, reaction, comparison and contrast- Superb preparation for students taking the TOEFL

  16. Learning to write in science: A study of English language learners' writing experience in sixth-grade science classrooms

    Science.gov (United States)

    Qi, Yang

    Writing is a predictor of academic achievement and is essential for student success in content area learning. Despite its importance, many students, including English language learners (ELLs), struggle with writing. There is thus a need to study students' writing experience in content area classrooms. Informed by systemic functional linguistics, this study examined 11 ELL students' writing experience in two sixth grade science classrooms in a southeastern state of the United States, including what they wrote, how they wrote, and why they wrote in the way they did. The written products produced by these students over one semester were collected. Also collected were teacher interviews, field notes from classroom observations, and classroom artifacts. Student writing samples were first categorized into extended and nonextended writing categories, and each extended essay was then analyzed with respect to its schematic structure and grammatical features. Teacher interviews and classroom observation notes were analyzed thematically to identify teacher expectations, beliefs, and practices regarding writing instruction for ELLs. It was found that the sixth-grade ELLs engaged in mostly non-extended writing in the science classroom, with extended writing (defined as writing a paragraph or longer) constituting roughly 11% of all writing assignments. Linguistic analysis of extended writing shows that the students (a) conveyed information through nouns, verbs, adjectives, adverbial groups and prepositional phrases; (b) constructed interpersonal context through choices of mood, modality, and verb tense; and (c) structured text through thematic choices and conjunctions. The appropriateness of these lexicogrammatical choices for particular writing tasks was related to the students' English language proficiency levels. The linguistic analysis also uncovered several grammatical problems in the students' writing, including a limited range of word choices, inappropriate use of mood

  17. A review on power reducing methods of neural recording amplifiers

    Directory of Open Access Journals (Sweden)

    samira mehdipour

    2016-10-01

    Full Text Available Implantable multi-channel neural recording Microsystems comprise a large number of neural amplifiers, that can affect the overall power consumption and chip area of the analog part of the system.power, noise, size and dc offset are the main challenge faced by designers. Ideally the output of the opamp should be at zero volts when the inputs are grounded.In reality the input terminals are at slightly different dc potentials.The input offset voltage is defined as the voltage that must be applied between the two input terminals of the opamp to obtain zero volts at the output. Amplifier must have capability to reject this dc offset. First method that uses a capacitor feedback network with ac coupling of input devices to reject the offset is very popular in designs.very small low-cutoff frequency.The second method employs a closed-loop resistive feedback and electrode capacitance to form a highpass filter.Moreover,The third method adopts the symmetric floating resistor the feedback path of low noise amplifier to achieve low-frequency cutoff and rejects DC offset voltage. .In some application we can use folded cascade topology.The telescopic topology is a good candidate in terms of providing large gain and phase margin while dissipating small power. the cortical VLSI neuron model reducing power consumption of circuits.Power distribution is the best way to reduce power, noise and silicon area. The total power consumption of the amplifier array is reduced by applying the partial OTA sharing technique. The silicon area is reduced as a benefit of sharing the bulky capacitor.

  18. Examining Dimensions of Self-Efficacy for Writing

    Science.gov (United States)

    Bruning, Roger; Dempsey, Michael; Kauffman, Douglas F.; McKim, Courtney; Zumbrunn, Sharon

    2013-01-01

    A multifactor perspective on writing self-efficacy was examined in 2 studies. Three factors were proposed--self-efficacy for writing ideation, writing conventions, and writing self-regulation--and a scale constructed to reflect these factors. In Study 1, middle school students (N = 697) completed the Self-Efficacy for Writing Scale (SEWS), along…

  19. Writing with Phineas

    DEFF Research Database (Denmark)

    Wegener, Charlotte

    2014-01-01

    This article describes a collaborative writing strategy when you are alone. It is the story of how I came to bring Phineas, the protagonist in A. S. Byatt’s The Biographer’s Tale, into my writing process as a third voice in my dialogue with my data. It is a self-reflective text that shows how co...

  20. Writing successfully in science

    National Research Council Canada - National Science Library

    O'Connor, M; Gretton, J

    1991-01-01

    ... - from planning the initial framework of an article, preparing references and illustrative material and writing a first draft, to choosing suitable journals, writing to the editor and dealing with proofs of the final draft...

  1. Relating beliefs in writing skill malleability to writing performance: The mediating role of achievement goals and self-efficacy

    Directory of Open Access Journals (Sweden)

    Teresa Limpo

    2017-10-01

    Full Text Available It is well established that students’ beliefs in skill malleability influence their academic performance. Specifically, thinking of ability as an incremental (vs. fixed trait is associated with better outcomes. Though this was shown across many domains, little research exists into these beliefs in the writing domain and into the mechanisms underlying their effects on writing performance. The aim of this study was twofold: to gather evidence on the validity and reliability of instruments to measure beliefs in skill malleability, achievement goals, and self-efficacy in writing; and to test a path-analytic model specifying beliefs in writing skill malleability to influence writing performance, via goals and self-efficacy. For that, 196 Portuguese students in Grades 7-8 filled in the instruments and wrote an opinion essay that was assessed for writing performance. Confirmatory factor analyses supported instruments’ validity and reliability. Path analysis revealed direct effects from beliefs in writing skill malleability to mastery goals (ß = .45; from mastery goals to self-efficacy for conventions, ideation, and self-regulation (ß = .27, .42, and .42, respectively; and from self-efficacy for self-regulation to writing performance (ß = .16; along with indirect effects from beliefs in writing skill malleability to self-efficacy for self-regulation via mastery goals (ß = .19, and from mastery goals to writing performance via self-efficacy for self-regulation (ß = .07. Overall, students’ mastery goals and self-efficacy for self-regulation seem to be key factors underlying the link between beliefs in writing skill malleability and writing performance. These findings highlight the importance of attending to motivation-related components in the teaching of writing.

  2. Perpendicular recording: the promise and the problems

    International Nuclear Information System (INIS)

    Wood, Roger; Sonobe, Yoshiaki; Jin Zhen; Wilson, Bruce

    2001-01-01

    Perpendicular recording has long been advocated as a means of achieving the highest areal densities. In particular, in the context of the 'superparamagnetic limit', perpendicular recording with a soft underlayer promises several key advantages. These advantages include a higher coercivity, thicker media that should permit smaller diameter grains and higher signal-to-noise ratio. Also, the sharper edge-writing will facilitate recording at very high track densities (lower bit aspect ratio). Recent demonstrations of the technology have shown densities comparable with the highest densities reported for longitudinal recording. This paper further examines the promise that perpendicular recording will deliver an increase in areal density two to eight times higher than that achievable with longitudinal recording. There are a number of outstanding issues but the key challenge is to create a low-noise medium with a coercivity that is high and is much larger than the remanent magnetization

  3. The Functions of Writing in an Elementary Classroom.

    Science.gov (United States)

    Florio, Susan; Clark, Christopher M.

    1982-01-01

    Describes an ethnographic study of writing in one elementary classroom that identified four functions of writing: writing to participate in community, writing to know oneself and others, writing to occupy free time, and writing to demonstrate academic competence. (HOD)

  4. The Oral Language Process in Writing: A Real-Life Writing Session.

    Science.gov (United States)

    Shuy, Roger W.; Robinson, David G.

    1990-01-01

    Analyzes a real-life writing session involving a male executive in the construction business, his female secretary, and a male representing himself as a state official, working collaboratively to write a letter to a state official urging action on a long overdue claim. Discusses the quality of the drafts and the participants' roles. (KEH)

  5. TEACHING BUSINESS CORRESPONDENCE FOR TOURISM AND HOSPITALITY THROUGH COLLABORATIVE WRITING APPROACH

    Directory of Open Access Journals (Sweden)

    Budi Purnomo

    2017-04-01

    Full Text Available This research aims at answering problems: (1 ―How to teach business correspondence for tourism and hospitality (BCTH through collaborative writing approach (CWA?‖ (2 ―What are the advantages of teaching BCTH through CWA?‖ and (3 ―What are the disadvantages of teaching BCHT through CWA?‖ This study is a descriptive and qualitative research. It uses three techniques for collecting data: observation and field notes, questionnaire and in-depth interviewing. It was undertaken in a Business Correspondence class at Sahid Tourism Institute of Surakarta from July to December 2013. There are 28 undergraduate students of semester five and one English lecturer as research subjects. Through CWA students in pairs were given tasks to compose (1 introduction letter, (2 letter of inquiry and offer, (3 reservation letter, (4 letter of collection, (5 letter of changes and cancelation, (6 letter of complaint, (7 letter of joint venture, (8 invitation letter, (9 application letter, (10 letter of resignation, (11 letter of recommendation and (12 business report. The research findings show that procedures to teach BCTH through CWA are: teacher explains a BCTH topic and shows a model of letter; students choose their partners themselves; teacher gives a writing task to students; student A writes a letter and student B writes a reply letter; pairs exchange information during the process of writing; students submit their products of writing; teacher makes a correction for their products outside of class. The advantages of teaching BCTH through CWA are: CWA helps students work together to reach the best products of writing; CWA improves the content of writing; CWA develops grammatical and structural proficiency and CWA reduces stress and saves time. The disadvantages of teaching BCTH through CWA are: CWA affects a conflict related to personal learning style; CWA improves the use of unexpected spoken Indonesian and Javanese languages during doing the tasks and

  6. Literacy Cafe: Making Writing Authentic

    Science.gov (United States)

    Daniels, Erika

    2007-01-01

    The "Literacy Cafe," a celebration of genre study and student writing, offers students (and visitors!) a positive environment in which to engage in reading and discussion of writing without self-consciousness or fear of criticism. It works because students learn to recognize writing as a learning tool and a relevant, authentic skill in the real…

  7. Report Writing

    DEFF Research Database (Denmark)

    Behnke, Eric

    In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations......In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations...

  8. 1995 Department of Energy Records Management Conference

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-07-01

    The Department of Energy (DOE) Records Management Group (RMG) provides a forum for DOE and its contractor personnel to review and discuss subjects, issues, and concerns of common interest. This forum will include the exchange of information, and interpretation of requirements, and a dialog to aid in cost-effective management of the DOE Records Management program. Issues addressed by the RMG may result in recommendations for DOE-wide initiatives. Proposed DOE-wide initiatives shall be, provided in writing by the RMG Steering Committee to the DOE Records Management Committee and to DOE`s Office of ERM Policy, Records, and Reports Management for appropriate action. The membership of the RMG is composed of personnel engaged in Records Management from DOE Headquarters, Field sites, contractors, and other organizations, as appropriate. Selected papers are indexed separately for inclusion in the Energy Science and Technology Database.

  9. Reducing Unintentional Plagiarism amongst International Students in the Biological Sciences: An Embedded Academic Writing Development Programme

    Science.gov (United States)

    Divan, Aysha; Bowman, Marion; Seabourne, Anna

    2015-01-01

    There is general agreement in the literature that international students are more likely to plagiarise compared to their native speaker peers and, in many instances, plagiarism is unintentional. In this article we describe the effectiveness of an academic writing development programme embedded into a Biological Sciences Taught Masters course…

  10. Writing Skills for Technical Students. Fourth Edition.

    Science.gov (United States)

    Carlisle, Vicky; Smith, Harriet; Baker, Fred; Ellegood, George; Kopay, Carol; Tanzer, Ward; Young, Diana; Dujordan, Jerome; Webster, Ron; Lewis, Sara Drew

    This self-paced text/workbook is designed for the adult learner who needs a review of grammar and writing skills in order to write clearly and concisely on the job. It offers career-minded students 14 individualized instructional modules on grammar, paragraph writing, report writing, letter writing, and spelling. It is designed for both self-paced…

  11. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Science.gov (United States)

    Cao, Fan; Perfetti, Charles A

    2016-01-01

    Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG) is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  12. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Directory of Open Access Journals (Sweden)

    Fan Cao

    Full Text Available Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  13. Therapeutic writing as an intervention for symptoms of bulimia nervosa: effects and mechanism of change.

    Science.gov (United States)

    Johnston, Olwyn; Startup, Helen; Lavender, Anna; Godfrey, Emma; Schmidt, Ulrike

    2010-07-01

    This study explored the effects on bulimic symptomatology of a writing task intended to reduce emotional avoidance. Eighty individuals reporting symptoms of bulimia completed, by e-mail, a therapeutic or control writing task. Participants completed questionnaires on bulimic symptoms, mood, and potential moderating and mediating factors, and were followed up after 4 and 8 weeks. Writing content was explored using a word count package and qualitative framework analysis. Bulimic symptoms decreased in both groups, although in both groups the number of participants who improved was approximately equal to the number who did not improve. Symptom decreases were associated with increases in perceived mood regulation abilities, and decreases in negative beliefs about emotions. Participants preferred internet delivery to face to face discussion. For individuals experiencing symptoms of bulimia, the effects of therapeutic writing did not differ significantly from effects of a control writing task. 2009 by Wiley Periodicals, Inc.

  14. Towards a more explicit writing pedagogy: The complexity of teaching argumentative writing

    Directory of Open Access Journals (Sweden)

    Jacqui Dornbrack

    2014-04-01

    Full Text Available Advances in technology, changes in communication practices, and the imperatives of the workplace have led to the repositioning of the role of writing in the global context. This has implications for the teaching of writing in schools. This article focuses on the argumentative essay, which is a high-stakes genre. A sample of work from one Grade 10 student identified as high performing in a township school in Cape Town (South Africa is analysed. Drawing on the work of Ormerod and Ivanic, who argue that writing practices can be inferred from material artifacts, as well as critical discourse analysis, we show that the argumentative genre is complex, especially for novice first additional language English writers. This complexity is confounded by the conflation of the process and genre approaches in the Curriculum and Assessment Policy Statement (CAPS document. Based on the analysis we discuss the implications of planning, particularly in relation to thinking and reasoning, the need to read in order to write argument and how social and school capital are insufficient without explicit instruction of the conventions of this complex genre. These findings present some insights into particular input needed to improve writing pedagogy for specific genres.

  15. Relationship between gender and tactile-kinesthetic sensitivity and the quality of writing among students with and without writing difficulties

    Directory of Open Access Journals (Sweden)

    Vujanović Marina M.

    2017-01-01

    Full Text Available Writing, a skill that students practice as soon as they start primary school, requires coordination between motor, perceptual and cognitive abilities. In order to determine the effect of gender on writing difficulties and the possible differences in the relationship between tactile-kinesthetic perception and writing skills of boys and girls with and without writing difficulties, a study was conducted in 2016 on a sample of 1,156 fifth to eighth grade students of eight Belgrade primary schools. Although the results obtained suggest that girls write faster than boys, difficulties with writing fast were equally present in both groups of students. However, difficulties with writing quality occurred with statistically significantly greater frequency among boys. Pencil grip, kinesthetic sensibility test results and consistency of pressure were not unrelated to students' gender, with girls achieving better results. Moreover, boys had significantly lower scores than girls on tactile function tests. The obtained results indicate that gender is a determinant of writing difficulties as measured through speed of writing and legibility. Also, girls have more developed kinesthetic-tactile functions, which are correlated with writing quality.

  16. Cognitive Factors Contributing to Chinese EFL Learners' L2 Writing Performance in Timed Essay Writing

    Science.gov (United States)

    Lu, Yanbin

    2010-01-01

    This study investigated cognitive factors that might influence Chinese EFL learners' argumentative essay writing in English. The factors that were explored included English (L2) language proficiency, Chinese (L1) writing ability, genre knowledge, use of writing strategies, and working memory capacity in L1 and L2. Data were collected from 136…

  17. Live from the Writing Center: Technological Demands and Multiliterate Practice in a Virtual Writing Center.

    Science.gov (United States)

    Swarts, Jason

    "Online Writing Tutorial" (OWI) was designed and piloted in the summer of 2000 as a one to two credit writing course intended for Rensselaer Polytechnic students on co-op assignment in New York and across the country. Similar to its ancestor course, "Writing Workshop" (WW), which was a one-credit course designed to fit the…

  18. The Impact of Digital Tools on Student Writing and How Writing Is Taught in Schools

    Science.gov (United States)

    Purcell, Kristen; Buchanan, Judy; Friedrich, Linda

    2013-01-01

    A survey of 2,462 Advanced Placement (AP) and National Writing Project (NWP) teachers finds that digital technologies are shaping student writing in myriad ways and have also become helpful tools for teaching writing to middle and high school students. These teachers see the internet and digital technologies such as social networking sites, cell…

  19. Full micromagnetics of recording on patterned media

    International Nuclear Information System (INIS)

    Fidler, J.; Schrefl, T.; Suess, D.; Ertl, O.; Kirschner, M.; Hrkac, G.

    2006-01-01

    Numerical micromagnetics of the bit writing process revealed the theoretical limits for remanence, coercive field, switching behaviour at a short time scale of less than 1 ns for patterned recording media. We discuss our recent results concerning the influence of the granular microstructure on the fast switching processes of typical CoCrPtX, FePt and CoPd thin films used for high density magnetic recording with longitudinal and perpendicular anisotropy. In detail, we will show that the degree of the exchange coupling between neighbouring grains, the grain size and its distribution and the offset between recording head and bit structure finally control the magnetization reversal properties of the individual bits

  20. The Write Brain: How to Educate and Entertain with Learner-Centered Writing

    Science.gov (United States)

    Iverson, Kathleen M.

    2009-01-01

    This article presents a conceptual framework for the writing process to facilitate motivation, learning, retention, and knowledge transfer in readers of expository material. Drawing from four well-developed bodies of knowledge--cognitive science, learning theory, technical communication, and creative writing--the author creates a model that allows…

  1. On Gendered Technologies and Cyborg Writing

    DEFF Research Database (Denmark)

    Muhr, Sara Louise; Rehn, Alf

    2015-01-01

    Since Hélène Cixous introduced it in 1975, the notion of a specifically feminine writing — écriture féminine — has been discussed as a provocative and potentially disruptive form of representation that breaks with masculine and authoritarian modes thereof. However, in this paper we will discuss how......, as the writer — when writing/publishing — is always already embedded in the technologies of the publishing machine, turning (academic) writing into something akin to cyborg writing. We further suggest that an understanding of the cyborg nature of writing can introduce a parallel mode of inquiry, which holds...

  2. Linguistic aspects of writing for professional purposes

    Directory of Open Access Journals (Sweden)

    Greta Përgjegji

    2016-03-01

    Full Text Available Writing for Professional Purposes is considered as a means of communication between professionals who belong to two communities that have different languages, but share the same knowledge or expertise. The article gives a hint on how writing for specific purposes evolved to give rise to the creation of Writing for Professional Purposes. The social, cultural and cognitive aspects are an essential part of Writing for Professional Purposes since the physical act of writing cannot be considered only a result or product of the knowledge the individual possesses but also a social and cultural act. Therefore, the social and cultural aspects of writing explains the specificities and the intricacies of the effects these aspects have on writing for it is considered as an inseparable part of social and cultural groups. On the other hand, the cognitive aspect of writing explains and emphasizes the mental activities of the individual during the decision-making process while he/she is writing planning and editing their material having in mind the audience. On the same line of reasoning, writing for professional purposes in a second language means that the writer has to consider the audience twice; first, there is an audience who shares the same knowledge or expertise and second, the audience does not have the same language. Consequently, writing in another language that is not the first language with a specific jargon as well as a specific grammatical structure brings about a lot of difficulties. Hence, writing in professional contexts in the mother tongue implies only writing in a specialized version of a language already known to the writer, but writing in a target language means that the writer has to learn the target language and the specialized version of that language.

  3. Life Writing After Empire

    DEFF Research Database (Denmark)

    A watershed moment of the twentieth century, the end of empire saw upheavals to global power structures and national identities. However, decolonisation profoundly affected individual subjectivities too. Life Writing After Empire examines how people around the globe have made sense of the post...... in order to understand how individual life writing reflects broader societal changes. From far-flung corners of the former British Empire, people have turned to life writing to manage painful or nostalgic memories, as well as to think about the past and future of the nation anew through the personal...

  4. Writing to Learn and Learning to Write across the Disciplines: Peer-to-Peer Writing in Introductory-Level MOOCs

    Directory of Open Access Journals (Sweden)

    Denise K. Comer

    2014-11-01

    Full Text Available This study aimed to evaluate how peer-to-peer interactions through writing impact student learning in introductory-level massive open online courses (MOOCs across disciplines. This article presents the results of a qualitative coding analysis of peer-to-peer interactions in two introductory level MOOCs: English Composition I: Achieving Expertise and Introduction to Chemistry. Results indicate that peer-to-peer interactions in writing through the forums and through peer assessment enhance learner understanding, link to course learning objectives, and generally contribute positively to the learning environment. Moreover, because forum interactions and peer review occur in written form, our research contributes to open distance learning (ODL scholarship by highlighting the importance of writing to learn as a significant pedagogical practice that should be encouraged more in MOOCs across disciplines.

  5. 21 CFR 20.3 - Certification and authentication of Food and Drug Administration records.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 1 2010-04-01 2010-04-01 false Certification and authentication of Food and Drug... authentication of Food and Drug Administration records. (a) Upon request, the Food and Drug Administration will... or for authentication of records shall be sent in writing to the Freedom of Information Staff (HFI-35...

  6. Writing by Any Other Name

    Science.gov (United States)

    Yancey, Kathleen Blake

    2009-01-01

    People are writing as never before--in blogs and text messages and on MySpace and Facebook and Twitter. Teenagers do a good deal of this writing, and in some composing environments--for example, the text-messaging space of a cell phone--they are ahead of adults in their invention of new writing practices and new genres. At the same time, teenagers…

  7. Signal processing methods for reducing artifacts in microelectrode brain recordings caused by functional electrical stimulation

    Science.gov (United States)

    Young, D.; Willett, F.; Memberg, W. D.; Murphy, B.; Walter, B.; Sweet, J.; Miller, J.; Hochberg, L. R.; Kirsch, R. F.; Ajiboye, A. B.

    2018-04-01

    Objective. Functional electrical stimulation (FES) is a promising technology for restoring movement to paralyzed limbs. Intracortical brain-computer interfaces (iBCIs) have enabled intuitive control over virtual and robotic movements, and more recently over upper extremity FES neuroprostheses. However, electrical stimulation of muscles creates artifacts in intracortical microelectrode recordings that could degrade iBCI performance. Here, we investigate methods for reducing the cortically recorded artifacts that result from peripheral electrical stimulation. Approach. One participant in the BrainGate2 pilot clinical trial had two intracortical microelectrode arrays placed in the motor cortex, and thirty-six stimulating intramuscular electrodes placed in the muscles of the contralateral limb. We characterized intracortically recorded electrical artifacts during both intramuscular and surface stimulation. We compared the performance of three artifact reduction methods: blanking, common average reference (CAR) and linear regression reference (LRR), which creates channel-specific reference signals, composed of weighted sums of other channels. Main results. Electrical artifacts resulting from surface stimulation were 175  ×  larger than baseline neural recordings (which were 110 µV peak-to-peak), while intramuscular stimulation artifacts were only 4  ×  larger. The artifact waveforms were highly consistent across electrodes within each array. Application of LRR reduced artifact magnitudes to less than 10 µV and largely preserved the original neural feature values used for decoding. Unmitigated stimulation artifacts decreased iBCI decoding performance, but performance was almost completely recovered using LRR, which outperformed CAR and blanking and extracted useful neural information during stimulation artifact periods. Significance. The LRR method was effective at reducing electrical artifacts resulting from both intramuscular and surface FES, and

  8. Nudging Students into Writing Creatively (Teaching Ideas).

    Science.gov (United States)

    Perreault, George; And Others

    1996-01-01

    Describes ideas for writing prompts and assignments proposed by three different teachers: (1) writing poems inspired by smells of herbs and spices; (2) writing about past perceptions and feelings after looking at a photograph; and (3) writing a "self-portrait." (TB)

  9. Guidelines for writing an argumentative essay

    OpenAIRE

    Aleksandra Egurnova

    2014-01-01

    The guidelines below are intended for teachers, professors, students, and the public at large who are interested in the issues of English writing culture. They provide a detailed plan for completing the writing task–writing an argumentative essay.

  10. EXPLICIT PLANNING FOR PARAGRAPH WRITING CLASS

    Directory of Open Access Journals (Sweden)

    Lestari Setyowati

    2017-11-01

    Full Text Available The purpose of the study is to improve the students writing ability for paragraph writing class. The subjects of the study were 37 students of English Education Study Program who joined the paragraph writing class. The design of the study was Classroom Action Research with two cycles. Cycle 1 consisted of three meetings, and cycle 2 consisted of two meetings. The types of explicit planning used in the action research were word listing and word mapping with phrases and sentence for detail.  The instruments used were direct writing test, observation, and  documentation of students’ reflective essay. To score the students’ writing, two raters  were asked to rate the composition by using Jacobs ESL Composition profile scoring rubric. The finding shows that the use of explicit planning was able to improve the students’ paragraph writing performance, indicated with the achievement of the criteria of success. The students’ mean improved from cycle 1 (74.62  to cycle2 (76.78. Although explicit planning instruction was able to help the students to write better, data from their self-reflection essay showed that many of the students preferred to use free writing instead of explicit planning instruction.

  11. Longitudinal Relationships of Levels of Language in Writing and between Writing and Reading in Grades 1 to 7

    Science.gov (United States)

    Abbott, Robert D.; Berninger, Virginia W.; Fayol, Michel

    2010-01-01

    Longitudinal structural equation modeling was used to evaluate longitudinal relationships across adjacent grade levels 1 to 7 for levels of language in writing (Model 1, subword letter writing, word spelling, and text composing) or writing and reading (Model 2, subword letter writing and word spelling and reading; Model 3, word spelling and…

  12. Design of a magnetic-tunnel-junction-oriented nonvolatile lookup table circuit with write-operation-minimized data shifting

    Science.gov (United States)

    Suzuki, Daisuke; Hanyu, Takahiro

    2018-04-01

    A magnetic-tunnel-junction (MTJ)-oriented nonvolatile lookup table (LUT) circuit, in which a low-power data-shift function is performed by minimizing the number of write operations in MTJ devices is proposed. The permutation of the configuration memory cell for read/write access is performed as opposed to conventional direct data shifting to minimize the number of write operations, which results in significant write energy savings in the data-shift function. Moreover, the hardware cost of the proposed LUT circuit is small since the selector is shared between read access and write access. In fact, the power consumption in the data-shift function and the transistor count are reduced by 82 and 52%, respectively, compared with those in a conventional static random-access memory-based implementation using a 90 nm CMOS technology.

  13. Writing Assignments in Disguise: Lessons Learned Using Video Projects in the Classroom

    Science.gov (United States)

    Wade, P.; Courtney, A.

    2012-12-01

    This study describes the instructional approach of using student-created video documentaries as projects in an undergraduate non-science majors' Energy Perspectives science course. Four years of teaching this course provided many reflective teaching moments from which we have enhanced our instructional approach to teaching students how to construct a quality Ken Burn's style science video. Fundamental to a good video documentary is the story told via a narrative which involves significant writing, editing and rewriting. Many students primarily associate a video documentary with visual imagery and do not realize the importance of writing in the production of the video. Required components of the student-created video include: 1) select a topic, 2) conduct research, 3) write an outline, 4) write a narrative, 5) construct a project storyboard, 6) shoot or acquire video and photos (from legal sources), 7) record the narrative, 8) construct the video documentary, 9) edit and 10) finalize the project. Two knowledge survey instruments (administered pre- and post) were used for assessment purposes. One survey focused on the skills necessary to research and produce video documentaries and the second survey assessed students' content knowledge acquired from each documentary. This talk will focus on the components necessary for video documentaries and the instructional lessons learned over the years. Additionally, results from both surveys and student reflections of the video project will be shared.

  14. Democracy and Historical Writing

    NARCIS (Netherlands)

    de Baets, Antoon

    2015-01-01

    In this essay, we try to clarify the relationship between democracy and historical writing. The strategy is first exploring the general relationship between democracy and historical awareness, and then, studying the relationship between democracy and historical writing itself to find out whether

  15. Let's Write a Script.

    Science.gov (United States)

    Harding, T. E.

    Some problems of writing scripts for radio and/or television are discussed, with examples provided to illustrate the rules. Writing both fictional scripts and documentaries are considered. Notes are also included to help the freelance writer who wishes to sell his work. (RH)

  16. Teaching life writing texts in Europe : Introduction

    NARCIS (Netherlands)

    Mreijen, Anne-Marie

    2015-01-01

    Although courses on auto/biography and life writing are taught at different universities in Europe, and elements of contemporary life writing issues are addressed in different disciplines like sociology and history, life writing courses, as described in Teaching Life Writing Texts, are certainly not

  17. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  18. Synchronizing the transcranial magnetic pulse with electroencephalographic recordings effectively reduces inter-trial variability of the pulse artefact

    DEFF Research Database (Denmark)

    Tomasevic, Leo; Takemi, Mitsuaki; Siebner, Hartwig Roman

    2017-01-01

    delivered monophasic and biphasic TMS to a melon as head phantom and to four healthy participants and recorded the pulse artefact at 5 kHz with a TMS-compatible EEG system. Pulse delivery was either synchronized or non-synchronized to the clock of the EEG recording system. The effects of synchronization...... were tested at 10 and 20 kHz using the head phantom. We also tested the effect of a soft sheet placed between the stimulation coil and recording electrodes in both human and melon. RESULTS & CONCLUSION: Synchronizing TMS and data acquisition markedly reduced trial-to-trial variability of the pulse...

  19. Impact Evaluation of the National Writing Project's College-Ready Writing Project in High Poverty Rural Districts

    Science.gov (United States)

    Gallagher, H. Alix; Arshan, Nicole; Woodworth, Katrina

    2016-01-01

    Writing is an essential skill for participating in modern American society. Although it is crucial to careers and civic engagement, student writing falls far short of national expectations (College Board, 2004; NCES, 2012; Persky, Daane, & Jin, 2003). The Common Core State Standards (CCSS) seek to increase the rigor of writing instruction…

  20. Writing Activities Embedded in Bioscience Laboratory Courses to Change Students' Attitudes and Enhance Their Scientific Writing

    Science.gov (United States)

    Lee, Susan E.; Woods, Kyra J.; Tonissen, Kathryn F.

    2011-01-01

    We introduced writing activities into a project style third year undergraduate biomolecular science laboratory to assist the students to produce a final report in the form of a journal article. To encourage writing while the experimental work was proceeding, the embedded writing activities required ongoing analysis of experimental data. After…

  1. The Writing Performance of Elementary Students Receiving Strategic and Interactive Writing Instruction

    Science.gov (United States)

    Wolbers, Kimberly A.; Dostal, Hannah M.; Graham, Steve; Cihak, David; Kilpatrick, Jennifer R.; Saulsburry, Rachel

    2015-01-01

    Strategic and Interactive Writing Instruction (SIWI) has led to improved writing and language outcomes among deaf and hard of hearing (DHH) middle grades students. The purpose of this study was to examine the effects of SIWI on the written expression of DHH elementary students across recount/personal narrative, information report, and persuasive…

  2. Computers in writing instruction

    NARCIS (Netherlands)

    Schwartz, Helen J.; van der Geest, Thea; Smit-Kreuzen, Marlies

    1992-01-01

    For computers to be useful in writing instruction, innovations should be valuable for students and feasible for teachers to implement. Research findings yield contradictory results in measuring the effects of different uses of computers in writing, in part because of the methodological complexity of

  3. Writing History in Exile

    NARCIS (Netherlands)

    de Baets, Antoon; Berger, Stefan

    2016-01-01

    WRITING HISTORY IN EXILE * Stefan Berger and Antoon De Baets, Reflections on Exile Historiography 11 * Antoon De Baets, Plutarch’s Thesis : the Contribution of Refugee Historians to Historical Writing (1945-2015) 27 * Peter Burke, Silver Lining : on Some Intellectual Benefits of Exile 39 * Ragnar

  4. Writing for Science Literacy

    Science.gov (United States)

    Chamberlin, Shannon Marie

    Scientific literacy is the foundation on which both California's currently adopted science standards and the recommended new standards for science are based (CDE, 2000; NRC, 2011). The Writing for Science Literacy (WSL) curriculum focuses on a series of writing and discussion tasks aimed at increasing students' scientific literacy. These tasks are based on three teaching and learning constructs: thought and language, scaffolding, and meta-cognition. To this end, WSL is focused on incorporating several strategies from the Rhetorical Approach to Reading, Writing, Listening and Speaking to engage students in activities designed to increase their scientific literacy; their ability to both identify an author's claim and evidence and to develop their own arguments based on a claim and evidence. Students participated in scaffolded activities designed to strengthen their written and oral discourse, hone their rhetorical skills and improve their meta-cognition. These activities required students to participate in both writing and discussion tasks to create meaning and build their science content knowledge. Students who participated in the WSL curriculum increased their written and oral fluency and were able to accurately write an evidence-based conclusion all while increasing their conceptual knowledge. This finding implies that a discourse rich curriculum can lead to an increase in scientific knowledge.

  5. Reader-Centered Technical Writing

    Science.gov (United States)

    Narayanan, M.

    2012-12-01

    Technical writing is an essential part of professional communication and in recent years it has shifted from a genre-based approach. Formerly, technical writing primarily focused on generating templates of documents and sometimes it was creating or reproducing traditional forms with minor modifications and updates. Now, technical writing looks at the situations surrounding the need to write. This involves deep thinking about the goals and objectives of the project on hand. Furthermore, one observes that it is very important for any participatory process to have the full support of management. This support needs to be well understood and believed by employees. Professional writing may be very persuasive in some cases. When presented in the appropriate context, technical writing can persuade a company to improve work conditions ensuring employee safety and timely production. However, one must recognize that lot of professional writing still continues to make use of reports and instruction manuals. Normally, technical and professional writing addresses four aspects. Objective: The need for generating a given professionally written technical document and the goals the document is expected to achieve and accomplish. Clientele: The clientele who will utilize the technical document. This may include the people in the organization. This may also include "unintended readers." Customers: The population that may be affected by the content of the technical document generated. This includes the stakeholders who will be influenced. Environment: The background in which the document is created. Also, the nature of the situation that warranted the generation of the document. Swiss Psychologist Jean Piaget's view of Learning focuses on three aspects. The author likes to extend Jean Piaget's ideas to students, who are asked to prepare and submit Reader-Centered Technical Writing reports and exercises. Assimilation: Writers may benefit specifically, by assimilating a new object into

  6. Dissociation of writing processes: functional magnetic resonance imaging during writing of Japanese ideographic characters.

    Science.gov (United States)

    Matsuo, K; Nakai, T; Kato, C; Moriya, T; Isoda, H; Takehara, Y; Sakahara, H

    2000-06-01

    Dissociation between copying letters and writing to dictation has been reported in the clinical neuropsychological literature. Functional magnetic resonance imaging (fMRI) was conducted in normal volunteers to detect the neurofunctional differences between 'copying Kanji', the Japanese ideographic characters, and 'writing Kanji corresponding to phonological information'. Four tasks were conducted: the copying-Kanji task, the writing-Kanji-corresponding-to-phonogram task, the Kanji-grapheme-puzzle task, and the control task. The right superior parietal lobule was extensively activated during the copying-Kanji task (a model of the copying letters process) and the Kanji-grapheme-puzzle task. These observations suggested that this area was involved in referring the visual stimuli closely related to the ongoing handwriting movements. On the other hand, Broca's area, which is crucial for language production, was extensively activated during the writing-Kanji-corresponding-to-phonogram task (a model of the writing-to-dictation process). The Kanji-grapheme-puzzle task activated the bilateral border portions between the inferior parietal lobule and the occipital lobe, the left premotor area, and the bilateral supplementary motor area (SMA). Since the Kanji-grapheme-puzzle task involved manipulospatial characteristics, these results suggested cooperation between visuospatial and motor executive functions, which may be extensively utilized in demanding visual language processing. The neurofunctional difference between 'copying Kanji' and 'writing Kanji corresponding to phonogram' was efficiently demonstrated by this fMRI experiment.

  7. Teaching Process Writing in an Online Environment

    Science.gov (United States)

    Carolan, Fergal; Kyppö, Anna

    2015-01-01

    This reflective practice paper offers some insights into teaching an interdisciplinary academic writing course aimed at promoting process writing. The study reflects on students' acquisition of writing skills and the teacher's support practices in a digital writing environment. It presents writers' experiences related to various stages of process…

  8. Why Literature Students Should Practise Life Writing

    Science.gov (United States)

    Cardell, Kylie; Douglas, Kate

    2018-01-01

    This article considers our experiences teaching a hybrid literature/creative writing subject called "Life Writing." We consider the value of literature students engaging in creative writing practice--in this instance, the nonfiction subgenre of life writing--as part of their critical literary studies. We argue that in practicing life…

  9. Genre-Based Tasks in Foreign Language Writing: Developing Writers' Genre Awareness, Linguistic Knowledge, and Writing Competence

    Science.gov (United States)

    Yasuda, Sachiko

    2011-01-01

    This study examines how novice foreign language (FL) writers develop their genre awareness, linguistic knowledge, and writing competence in a genre-based writing course that incorporates email-writing tasks. To define genre, the study draws on systemic functional linguistics (SFL) that sees language as a resource for making meaning in a particular…

  10. Demystifying values-affirmation interventions: writing about social belonging is a key to buffering against identity threat.

    Science.gov (United States)

    Shnabel, Nurit; Purdie-Vaughns, Valerie; Cook, Jonathan E; Garcia, Julio; Cohen, Geoffrey L

    2013-05-01

    Two experiments examined for the first time whether the specific content of participant-generated affirmation essays-in particular, writing about social belonging-facilitated an affirmation intervention's ability to reduce identity threat among negatively stereotyped students. Study 1, a field experiment, revealed that seventh graders assigned to a values-affirmation condition wrote about social belonging more than those assigned to a control condition. Writing about belonging, in turn, improved the grade point average (GPA) of Black, but not White students. In Study 2, using a modified "belonging-affirmation" intervention, we directly manipulated writing about social belonging before a math test described as diagnostic of math ability. The more female participants wrote about belonging, the better they performed, while there was no effect of writing about belonging for males. Writing about social belonging improved performance only for members of negatively stereotyped groups. Implications for self-affirmation theory and practice are discussed.

  11. English Language Writing Anxiety among Final Year Engineering Undergraduates in University Putra Malaysia

    Directory of Open Access Journals (Sweden)

    Lau Sing Min

    2014-08-01

    Full Text Available Second Language Writing Anxiety (SLWA is considered one of the most crucial factors affecting all second language learning. This study focused on a group of final year Engineering students’ English Language writing anxiety (N=93 in relation to their gender, race and MUET results. The findings showed that the the male gender, Chinese and MUET band 4 participants faced higher levels of anxiety as compared to the other groups respectively. Somatic anxiety was recorded to be the highest subscale of anxiety faced by most of the participants. The findings of this study can help in making suitable amendments in the engineering programme course structure, especially in determining the suitable English papers to be offered to the students.

  12. Learning to Write and Writing to Learn Social Work Concepts: Application of Writing across the Curriculum Strategies and Techniques to a Course for Undergraduate Social Work Students

    Science.gov (United States)

    Horton, E. Gail; Diaz, Naelys

    2011-01-01

    Although writing is of great importance to effective social work practice, many students entering social work education programs experience serious academic difficulties related to writing effectively and thinking critically. The purpose of this article is to present an introductory social work course that integrates Writing Across the Curriculum…

  13. Source-Based Tasks in Writing Independent and Integrated Essays

    Directory of Open Access Journals (Sweden)

    Javad Gholami

    2017-07-01

    Full Text Available Integrated writing tasks have gained considerable attention in ESL and EFL writing assessment and are frequently needed and used in academic settings and daily life. However, they are very rarely practiced and promoted in writing classes. This paper explored the effects of source-based writing practice on EFL learners’ composing abilities and investigated the probable differences between those tasks and independent writing ones in improving Iranian EFL learners’ essay writing abilities. To this end, a quasi-experimental design was implemented to gauge EFL learners’ writing improvements using a pretest-posttest layout. Twenty female learners taking a TOEFL iBT preparation course were randomly divided into an only-writing group with just independent writing instruction and essay practice, and a hybrid-writing-approach group receiving instruction and practice on independent writing plus source-based essay writing for ten sessions. Based on the findings, the participants with hybrid writing practice outperformed their counterparts in integrated essay tests. Their superior performance was not observed in the case of traditional independent writing tasks. The present study calls for incorporating more source-based writing tasks in writing courses.

  14. Writing proofs in analysis

    CERN Document Server

    Kane, Jonathan M

    2016-01-01

    This is a textbook on proof writing in the area of analysis, balancing a survey of the core concepts of mathematical proof with a tight, rigorous examination of the specific tools needed for an understanding of analysis. Instead of the standard "transition" approach to teaching proofs, wherein students are taught fundamentals of logic, given some common proof strategies such as mathematical induction, and presented with a series of well-written proofs to mimic, this textbook teaches what a student needs to be thinking about when trying to construct a proof. Covering the fundamentals of analysis sufficient for a typical beginning Real Analysis course, it never loses sight of the fact that its primary focus is about proof writing skills. This book aims to give the student precise training in the writing of proofs by explaining exactly what elements make up a correct proof, how one goes about constructing an acceptable proof, and, by learning to recognize a correct proof, how to avoid writing incorrect proofs. T...

  15. 5 nm structures produced by direct laser writing

    International Nuclear Information System (INIS)

    Pavel, E; Jinga, S; Andronescu, E; Vasile, B S; Rotiu, E; Ionescu, L; Mazilu, C

    2011-01-01

    Here we present a new approach to overcome the optical diffraction limit by using novel materials. In the paper, we report experimental results obtained by high-resolution transmission electron microscopy (HRTEM) and optical absorption spectroscopy, for a fluorescent photosensitive glass-ceramic containing rare-earth ions such as samarium (Sm). Using a home built dynamic tester, with a low power laser, we recorded nanostructures having 5 nm line widths. In the line structure, measurements reveal the presence of silver nanocrystals with few nanometre sizes. HRTEM shows that there is a random orientation of the nanocrystals. A writing mechanism with three steps is proposed.

  16. The Writing Staff as Faculty Compost Pile.

    Science.gov (United States)

    Dorenkamp, Angela G.

    Misconceptions about the teaching of writing prevail on many college campuses, partially because writing teachers fail to communicate with their colleagues. It is especially important for writing teachers to let their colleagues know that learning to write is a long term developmental process that needs support and reinforcement from the entire…

  17. Engaging Young Adolescents in School-Based Writing

    Science.gov (United States)

    Yost, Deborah S.; Liang, Ling L.; Vogel, Robert

    2014-01-01

    How might middle school teachers and schools more appropriately engage early adolescent students in the writing process so that they are motivated and engaged to "want" to write and write well? This article introduces "Writers Matter," an approach designed to engage and motivate young adolescents in the writing process,…

  18. More than words: applying the discipline of literary creative writing to the practice of reflective writing in health care education.

    Science.gov (United States)

    Kerr, Lisa

    2010-12-01

    This paper examines definitions and uses of reflective and creative writing in health care education classrooms and professional development settings. A review of articles related to writing in health care reveals that when teaching narrative competence is the goal, creative writing may produce the best outcomes. Ultimately, the paper describes the importance of defining literary creative writing as a distinct form of writing and recommends scholars interested in using literary creative writing to teach narrative competence study pedagogy of the field.

  19. The Teaching of EFL Writing in Indonesia

    Directory of Open Access Journals (Sweden)

    Ariyanti Ariyanti

    2016-12-01

    Full Text Available Writing is one of the most important aspects in English language acquisition. Teaching writing has its own challenges since there are some steps and requirements that teachers should prepare to undertake in the classroom. This article is aimed to discuss teaching and learning writing in the classroom based on theoretical conceptualisation. In addition, curriculum of teaching writing will be another important factor to consider as well as research and practice in teaching writing. Based on comparison to many theoretical concepts from various researchers, it shows that most of Indonesian students still struggle to figure out their problems of grammatical area. The biggest challenge is derived from the difference in cultural backgrounds between the students’ mother tongue and English, so it is possible to know the production of their writing does not ‘sound’ well in appropriate culture of English. Several problems also occur when the teachers have big classes to teach and the result of teaching writing to the students may be defeated. In this case, time also being a big challenge for the teachers to have the students’ writing improve because to accomplish a good composition in English, it needs complex steps such as brainstorming, prewriting, drafting, and editing. However, new techniques in teaching writing are needed to develop the students’ writing outcomes.

  20. Evaluating undergraduate nursing students' self-efficacy and competence in writing: Effects of a writing intensive intervention.

    Science.gov (United States)

    Miller, Louise C; Russell, Cynthia L; Cheng, An-Lin; Skarbek, Anita J

    2015-05-01

    While professional nurses are expected to communicate clearly, these skills are often not explicitly taught in undergraduate nursing education. In this research study, writing self-efficacy and writing competency were evaluated in 52 nontraditional undergraduate baccalaureate completion students in two distance-mediated 16-week capstone courses. The intervention group (n = 44) experienced various genres and modalities of written assignments set in the context of evidence-based nursing practice; the comparison group (n = 8) received usual writing undergraduate curriculum instruction. Self-efficacy, measured by the Post Secondary Writerly Self-Efficacy Scale, indicated significant improvements for all self-efficacy items (all p's = 0.00). Writing competency, assessed in the intervention group using a primary trait scoring rubric (6 + 1 Trait Writing Model(®) of Instruction and Assessment), found significant differences in competency improvement on five of seven items. This pilot study demonstrated writing skills can improve in nontraditional undergraduate students with guided instruction. Further investigation with larger, culturally diverse samples is indicated to validate these results. Copyright © 2014 Elsevier Ltd. All rights reserved.

  1. Digital Recording Technology in the Writing Classroom: Sampling as Citing

    Science.gov (United States)

    Duffy, W. Keith

    2004-01-01

    For the last few years, the author has been implementing a pedagogy that infuses musical composition--specifically the recording of electronic music--into his first-year composition courses. The author and his students have been quite surprised by the theoretical and practical connections that exist between the production of popular electronic…

  2. A CASE STUDY OF COMBINED PEER-TEACHER FEEDBACK ON PARAGRAPH WRITING AT A UNIVERSITY IN THAILAND

    Directory of Open Access Journals (Sweden)

    Nguyen Thi Thuy Loan

    2017-09-01

    Full Text Available Writing in English is challenging for ESL writers, so feedback is crucial in assisting them. Although several studies have been conducted on the effectiveness of peer and teacher-feedback in ESL writing, studies on the combined peer-teacher feedback model tend to be scarce. This study thus reported on the combined feedback model in two paragraph-writing classes of sixty students at a university in Thailand where English is taught as a foreign language, students are reported to be passive in class activities and most writing programs are still taught using the traditional method. Students’ peer comments (both valid and invalid ones, their revisions based on both their peers’ and teacher’s feedback (correct and incorrect revisions and their grades on each paragraph were recorded, and a five-point Likert scale survey and a focus group interview were conducted. The findings indicated its success in terms of students’ positive attitudes towards this feedback model, the usefulness of peer comments, high percentages of feedback incorporations and the high overall writing scores. This paper is thus expected to shed some light on how Thai university students with their passive style of learning English positively react to this interactive activity and partly reflect how in-service teachers adjust feedback strategies in their actual teaching situations.

  3. Moving beyond Journaling to Dialogues in Writing

    Science.gov (United States)

    Hail, Cindy; George, Sue; Hail, John

    2013-01-01

    The last two decades have produced theoretical-based methodology models emphasizing student-centered and learner-controlled writing experiences. During the 1990s, writing evolved into a function of learning. As more was learned about the writing process, it became evident that writing led to clarifying thinking and served as a forum for revealing…

  4. The Word Writing CAFE: Assessing Student Writing for Complexity, Accuracy, and Fluency

    Science.gov (United States)

    Leal, Dorothy J.

    2005-01-01

    The Word Writing CAFE is a new assessment tool designed for teachers to evaluate objectively students' word-writing ability for fluency, accuracy, and complexity. It is designed to be given to the whole class at one time. This article describes the development of the CAFE and provides directions for administering and scoring it. The author also…

  5. Teaching Writing in Economics

    Science.gov (United States)

    Schmeiser, Katherine

    2017-01-01

    In this article, the author provides motivation and a template for integrating and teaching writing in a variety of economics courses: core theory or introductory courses, topic courses, and economic writing/research courses. For each assignment, pedagogical reasoning and syllabus integration are discussed. Additionally, the author shows that…

  6. Writing in Preliterate Children.

    Science.gov (United States)

    Gombert, Jean Emile; Fayol, Michel

    1992-01-01

    Dictated words and pictures by 48 young French children, aged 3 to 6 years, demonstrated that young children have the capacity to produce graphics that exhibit some of the characteristics of writing. Developmental stages in children's recognition that their own efforts were not true writing were identified. (SLD)

  7. Academic Writing : Examples from BUV

    OpenAIRE

    Engdahl, Ingrid

    2016-01-01

    This guide is an introduction to academic writing that describes features of scientific writing that are recommended for students in Teacher Education Programmes and in Child and Youth Studies. It includes a style guide, how to structure your text, and an APA Publication Manual for referencing, as well as guides for writing an outline for a study, advice for serving as opponent(s) and respondent(s) and an agenda for a thesis/examining seminar.

  8. Enhancing Content Knowledge in Essay Writing Classes: A Multimedia Package for Iranian EFL Learners

    Directory of Open Access Journals (Sweden)

    Marziyeh Tahmouresi Majelan

    2014-04-01

    Full Text Available The main objective of this study was to investigate empirically if promoting a multimedia package enhances content knowledge in essay writing of 80 junior English translation students at a University in Karaj, Iran; plus, whether the learners’ writing content improve due to the presence of the multimedia package or not. The multimedia was considered to be a CD, containing recordings both in first language (L1=Farsi and in second language (L2=English along with manipulative and task-based activities. A homogenizing test, the pre-posttests, and the material in a form of a CD (treatment including forty of the most common TOEFL essays both in L1 and L2 plus manipulative tasks to fulfill provided by the researcher, were the instruments in the study.  After 14 weeks, both the experimental and control groups sat for the posttest with exactly the same characteristics of pretest except for the topics. When the collected data was analyzed, a mean difference of t-test along with a paired t-test showed a significant difference between the performance of the control and the experimental groups, regarding the content. Consequently, the statistics proved that enhancing content knowledge by means of a multimedia package containing recordings plus manipulative and task-based activities would improve students’ writing ability while the control group in which a current traditional rhetoric approach was used, the placebo, did not show any statistically significant improvement regarding content.

  9. STRENGTHENING STUDENTS’ LITERACY THROUGH REFLECTIVE ESSAY WRITING: AN IMPLEMENTATION OF WRITING TO READ PROGRAM IN HIGHER EDUCATION

    Directory of Open Access Journals (Sweden)

    Arina Shofiya

    2017-11-01

    Full Text Available Literacy is a condition where a person has capability to read for knowledge, write to share knowledge, and think critically. Students’ literacy is a never-end issue in the field of English Language Teaching. Studies have been carried out to investigate literacy practices in various level of education including higher education. Among the problems of students’ literacy in higher education are the amount of their reading and writing practices and their motivation to read and write. The current paper is intended to share an experience in strengthening students’ literacy at the English Department of State Islamic Institute (Institut Agama Islam Negeri/IAIN Tulungagung, East Java. The preliminary investigation of the present study revealed that many students have low motivation to read. In addition, their comprehension was relatively low as represented in their paper works. Under a Classroom Action Research Design, the present study was conducted to propose writing to read program to strengthen the students’ literacy. In such program, the students were required to write a reflective essay based on the selected topics that they had to read prior to classes. The findings showed that writing reflective essay helped students strengthen their literacy as well as improve their motivation to read and to write because the reading and writing activities were done in a more relax and supportive environment that was at home.

  10. Partnering with Parents in the Writing Classroom

    Science.gov (United States)

    Zurcher, Melinda A.

    2016-01-01

    Writing is a complex act that requires students' concentrated time and effort to master--time and effort that teachers strain to find in a crowded curriculum. Despite this struggle to prioritize writing, students in the 21st century need writing skills to participate in the workplace, academia, economy, and democracy. If writing skills really are…

  11. Expressive writing among Chinese American breast cancer survivors: A randomized controlled trial.

    Science.gov (United States)

    Lu, Qian; Wong, Celia Ching Yee; Gallagher, Matthew W; Tou, Reese Y W; Young, Lucy; Loh, Alice

    2017-04-01

    Despite the significant size of the Asian American population, few studies have been conducted to improve cancer survivorship in this underserved group. Research has demonstrated that expressive writing interventions confer physical and psychological benefits for a variety of populations, including Non-Hispanic White cancer survivors. The study aims to evaluate the health benefits of an expressive writing intervention among Chinese-speaking breast cancer survivors in the U.S. It was hypothesized that expressive writing would increase health-related quality of life (HRQOL). Ninety-six Chinese breast cancer survivors were randomly assigned to 1 of 3 writing conditions: a self-regulation group, an emotional disclosure group, or a cancer-fact group. The self-regulation group wrote about one's deepest feelings and coping efforts in addition to finding benefits from their cancer experience. The emotional disclosure group wrote about one's deepest thoughts and feelings. The cancer-fact group wrote about facts relevant to their cancer experience. HRQOL was assessed by FACT-B at baseline, 1, 3, and 6-month follow-ups. Effect sizes and residual zed change models were used to compare group differences in HRQOL. Contrary to expectations, the cancer-fact group reported the highest level of overall quality of life at the 6-month follow-up. The self-regulation group had higher emotional well-being compared to the emotional disclosure group. The study challenges the implicit assumption that psychosocial interventions validated among Non-Hispanic Whites could be directly generalized to other populations. It suggests that Asians may benefit from writing instructions facilitating more cognitive than emotional processes. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  12. Students’ Attitude on The Use of Facebook And Blog In Writing Class and Their Writing Competence

    Directory of Open Access Journals (Sweden)

    Irfan Rifai

    2010-05-01

    Full Text Available Article aims to investigate the relationship between students’ attitudes on the use of Facebook and blog as learning tools in writing class. Two groups of students were made as experimental and control group. The experimental group used Facebook and blog in as learning tools for thirteen sessions while the control group only used Binusmaya, local multi channel learning. It was assumed that Facebook and blog would be able to help students in three level of writing mastery: the vocabulary, the accuracy and the fluency in writing. Students’ attitudes were gathered through survey and the results compared to their final test scores. The result shows that students’ lack of enthusiasm was in line with students’ level of achievement in writing and that Facebook and blog did not give significant influence on improving students’ writing competence.   

  13. Dream and Creative Writing

    Institute of Scientific and Technical Information of China (English)

    杨公建

    2015-01-01

    Freud asserts that the unconscious will express its suppressed wishes and desires. The unconscious will then redirect andreshape these concealed wishes into acceptable social activities, presenting them in the form of images or symbols in our dreams and/or our writings. Dream is the unconscious which promotes the creative writing.

  14. A Comparison between Homeschooled and Formally Schooled Kindergartners: Children's Early Literacy, Mothers' Beliefs, and Writing Mediation

    Science.gov (United States)

    Aram, Dorit; Meidan, Inbal Cohen; Deitcher, Deborah Bergman

    2016-01-01

    The study characterized children's literacy, mothers' beliefs, and writing mediation of homeschooled compared to formally schooled kindergartners. Participants were 60 children (ages 4-6) and their mothers (30 in homeschooling). At the children's home, we assessed children's literacy, maternal beliefs, and video-recorded mother-child joint writing…

  15. Text-based plagiarism in scientific writing: what Chinese supervisors think about copying and how to reduce it in students' writing.

    Science.gov (United States)

    Li, Yongyan

    2013-06-01

    Text-based plagiarism, or textual copying, typically in the form of replicating or patchwriting sentences in a row from sources, seems to be an issue of growing concern among scientific journal editors. Editors have emphasized that senior authors (typically supervisors of science students) should take the responsibility for educating novices against text-based plagiarism. To address a research gap in the literature as to how scientist supervisors perceive the issue of textual copying and what they do in educating their students, this paper reports an interview study with 14 supervisors at a research-oriented Chinese university. The study throws light on the potentiality of senior authors mentoring novices in English as an Additional Language (EAL) contexts and has implications for the efforts that can be made in the wider scientific community to support scientists in writing against text-based plagiarism.

  16. P.S. Write Soon! Teachers' Notes.

    Science.gov (United States)

    Edwards, Pat

    Prepared to accompany an Australian letter writing guide for students, this teachers' guide provides suggestions for integrating letter writing into the school curriculum, either through regularly scheduled activities during the school year, or through special letter writing units of a few weeks. Topics covered in the guide include: (1) the craft…

  17. National Writing Project. 2011-2012 Report

    Science.gov (United States)

    National Writing Project (NJ1), 2012

    2012-01-01

    This National Writing Project 2011-2012 Report describes how Writing Project teacher-leaders study and share effective practices that enhance student writing and learning, work collaboratively with other educators, design resources, and take on new roles in effecting positive change. It includes a financial summary for years ended September 30 for…

  18. Embodied Writing: Choreographic Composition as Methodology

    Science.gov (United States)

    Ulmer, Jasmine B.

    2015-01-01

    This paper seeks to examine how embodied methodological approaches might inform dance education practice and research. Through a series of examples, this paper explores how choreographic writing might function as an embodied writing methodology. Here, choreographic writing is envisioned as a form of visual word choreography in which words move,…

  19. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, November). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presentation at ICO Fall School 2012, Girona, Spain.

  20. Writing and Related Problems for EFL Students

    Directory of Open Access Journals (Sweden)

    Hassan Edalat

    2008-05-01

    Full Text Available ESL students who write in English may present written material in a rhetorical and organizational mode that reflects the pattern which is valued in their native culture and rhetoric. Considering the violation of English code of writing in the writings of Iranian students, we will notice one common characteristic: They are reluctant (or ignorant of to write a unified paragraph. Their writing consists of one whole page or two. They do not divide their writing into separate paragraphs. The knowledge of the writer on any subject begins and ends as much as the time or space for writing allows with no paragraph separation. The length of sentences is extraordinary, and the position of modifiers does not seem natural according to the code of English sentence pattern. This means that elements transferred from L1 rhetoric result in a production which does not match the English language style and rhetoric, despite the fact that some students lack grammatical competence. As a result, this type of writing is labeled unacceptable, vague or erroneous by English language standards. The focus of this study is to use English major students' writings to identify the elements which violate English language pattern of writing. The sources of errors responsible for non-English language rhetoric will be classified after a short theoretical review in the literature and finally suggestions for the elimination of errors will be presented.

  1. Impact of a Technology-Infused Middle School Writing Program on Sixth-Grade Students' Writing Ability and Engagement

    Science.gov (United States)

    Goldenberg, Lauren; Meade, Terri; Midouhas, Emily; Cooperman, Naomi

    2011-01-01

    Process-oriented approaches are increasingly used in schools to improve writing. One of these approaches, known as the writing workshop model, is challenging for teachers to implement without supports. This quasi-experimental study evaluated the effectiveness of a middle school writing program that incorporates this model along with technological…

  2. Micromagnetic modeling for heat-assisted magnetic recording

    International Nuclear Information System (INIS)

    Li Zhenghua; Wei Dan; Wei Fulin

    2008-01-01

    Heat-assisted magnetic recording (HAMR) is one of the candidate systems beyond the perpendicular recording technology. Here, a micromagnetic model and a heat transfer model are introduced to study the heating and cooling processes in the HAMR media; then, by integration of the SPT head and the laser heating source, the recording performance is simulated and investigated on a single track at an area density of 1 Tb/in 2 . In the HAMR system, the temperature in the medium under the laser wave guide is increased by heating, and decreased by air bearing and heat conduction when the write process really occurred. The target of this study is to find the proper design of the head-laser assembly for optimum recording. It is found that the proper distance between the laser wave guide and the head's main pole rear/front edge is only 41.4/1.4 nm for optimum recording performance

  3. TEACHING WRITING IN ENGLISH AS A FOREIGN LANGUAGE

    Directory of Open Access Journals (Sweden)

    I Made Purna Wijaya

    2015-11-01

    Full Text Available This article aims at describing about teaching writing in English as a foreign Language. The reasons for teaching writing to students of English as a Foreign language include reinforcement, language development, learning style and the most importantly, writing as skill in its own right. Like many other aspects of English language teaching, the type of writing that students should do, will depend on their age, interest and level. These include writing post cards, letters of various kinds, filling in forms such as job applications, writing narrative compositions, report, newspaper and magazine article. The result showed that the students’ success of writing such matters absolutely depend on their motivations.

  4. Genre Analysis and Writing Skill: Improving Iranian EFL Learners Writing Performance through the Tenets of Genre Analysis

    Directory of Open Access Journals (Sweden)

    Nazanin Naderi Kalali

    2015-12-01

    Full Text Available The main thrust of this study was to determine whether a genre-based instruction improve the writing proficiency of Iranian EFL learners. To this end, 30 homogenous Iranian BA learners studying English at Islamic Azad University, Bandar Abbas Branch were selected as the participants of the study through a version of TOEFL test as the proficiency test. The selected participants were 15 females and 15 males who were randomly divided into two groups of experimental and control. The both experimental and control groups were asked to write on a topic determined by the researcher which were considered as the pre-test. The writing of the students were scored using holistic scoring procedure. The subjects received sixteen hours instruction—the experimental group using a genre-based pedagogy and the control group through the traditional methodology which was followed by a post-test—the subjects were, this time, asked to write on the same topic which they were asked to write before instruction. Their post-writings were also scored through the holistic scoring procedures. In analyzing the data, t-test statistic was utilized for comparing the performances of the two groups. It was found that there is statistically significant difference between the writing ability of the participants who go under a genre-based instruction and who don’t. The study, however, didn’t find any significant role for gender. Keywords: genre analysis, writing skill, holistic scoring procedure, pre-test, post-test, t-test

  5. Psychiatric/ psychological forensic report writing.

    Science.gov (United States)

    Young, Gerald

    Approaches to forensic report writing in psychiatry, psychology, and related mental health disciplines have moved from an organization, content, and stylistic framework to considering ethical and other codes, evidentiary standards, and practice considerations. The first part of the article surveys different approaches to forensic report writing, including that of forensic mental health assessment and psychiatric ethics. The second part deals especially with psychological ethical approaches. The American Psychological Association's Ethical Principles and Code of Conduct (2002) provide one set of principles on which to base forensic report writing. The U.S. Federal Rules of Evidence (2014) and related state rules provide another basis. The American Psychological Association's Specialty Guidelines for Forensic Psychology (2013) provide a third source. Some work has expanded the principles in ethics codes; and, in the third part of this article, these additions are applied to forensic report writing. Other work that could help with the question of forensic report writing concerns the 4 Ds in psychological injury assessments (e.g., conduct oneself with Dignity, avoid the adversary Divide, get the needed reliable Data, Determine interpretations and conclusions judiciously). One overarching ethical principle that is especially applicable in forensic report writing is to be comprehensive, scientific, and impartial. As applied to forensic report writing, the overall principle that applies is that the work process and product should reflect integrity in its ethics, law, and science. Four principles that derive from this meta-principle concern: Competency and Communication; Procedure and Protection; Dignity and Distance; and Data Collection and Determination. The standards or rules associated with each of these principles are reviewed. Crown Copyright © 2016. Published by Elsevier Ltd. All rights reserved.

  6. Toward a parallel and cascading model of the writing system: A review of research on writing processes coordination

    OpenAIRE

    Thierry Olive

    2014-01-01

    Efficient coordination of the different writing processes is central to producing good-quality texts, and is a fundamental component of writing skill. In this article, I propose a general theoretical framework for considering how writing processes are coordinated, in which writing processes are concurrently activated with more or less overlap between processes depending on their working memory demands, and with the flow of information cascading from central to peripheral levels of processing....

  7. Language style matching in writing: synchrony in essays, correspondence, and poetry.

    Science.gov (United States)

    Ireland, Molly E; Pennebaker, James W

    2010-09-01

    Each relationship has its own personality. Almost immediately after a social interaction begins, verbal and nonverbal behaviors become synchronized. Even in asocial contexts, individuals tend to produce utterances that match the grammatical structure of sentences they have recently heard or read. Three projects explore language style matching (LSM) in everyday writing tasks and professional writing. LSM is the relative use of 9 function word categories (e.g., articles, personal pronouns) between any 2 texts. In the first project, 2 samples totaling 1,744 college students answered 4 essay questions written in very different styles. Students automatically matched the language style of the target questions. Overall, the LSM metric was internally consistent and reliable across writing tasks. Women, participants of higher socioeconomic status, and students who earned higher test grades matched with targets more than others did. In the second project, 74 participants completed cliffhanger excerpts from popular fiction. Judges' ratings of excerpt-response similarity were related to content matching but not function word matching, as indexed by LSM. Further, participants were not able to intentionally increase style or content matching. In the final project, an archival study tracked the professional writing and personal correspondence of 3 pairs of famous writers across their relationships. Language matching in poetry and letters reflected fluctuations in the relationships of 3 couples: Sigmund Freud and Carl Jung, Elizabeth Barrett and Robert Browning, and Sylvia Plath and Ted Hughes. Implications for using LSM as an implicit marker of social engagement and influence are discussed. (PsycINFO Database Record (c) 2010 APA, all rights reserved).

  8. WRITING ACTIVITIES IN A LITERACY BASED TEACHING

    Directory of Open Access Journals (Sweden)

    Yentri Anggeraini

    2017-12-01

    Full Text Available Literacy brings students to current and future learning, and for participation in the communication, society and workforce. As well as providing access to personal enrichment through literature, culture and social interaction. It provides access to material enrichment through further education, training and skilled employment. One of parts of literacy based teaching is writing. Writing is a principal form of communication, necessary in everyday life, in business, in creativity, in scholarly pursuits; in short, it is not a just tool of living, it is a tool of survival. It is the key activity in fostering language learners` awareness of how purpose audience and context affect the design of texts. In order to help the students to write effectively, the teacher should provide some interesting and useful activities. This paper aims at explaining what the literacy based teaching is and writing activities that can be used a literacy based teaching such as letter writing, journal writing, and creative writing

  9. National Writing Project 2009 Annual Report

    Science.gov (United States)

    National Writing Project (NJ1), 2009

    2009-01-01

    Writing as a tool for thinking, learning, and communicating is crucial to academic and career success as well as to active citizenship in a democracy. This annual report of the National Writing Project features teachers of math, chemistry, art, history, and business who develop their students as writers. These educators employ writing to engage…

  10. Writing Poetry: A Self-Instructional Approach.

    Science.gov (United States)

    Utah Univ., Salt Lake City. Bureau of Educational Research.

    The general design of this book is that of a step-by-step self-instructional program leading toward the writing of poetry. It consists of 156 exercises which lead the student from writing about a picture and poems to kinds of poetry and techniques for writing poetry (alliteration, assonance, consonance, onomatopoeia, metaphor, simile, rhyme, meter…

  11. Materials for Assessing the Writing Skill

    Science.gov (United States)

    Nimehchisalem, Vahid

    2010-01-01

    This paper reviews the issues of concern in writing scale development in English as Second Language (ESL) settings with an intention to provide a useful guide for researchers or writing teachers who wish to develop or adapt valid, reliable and efficient writing scales considering their present assessment situations. With a brief discussion on the…

  12. Cognition and Error in Student Writing

    Science.gov (United States)

    Perrault, S. T.

    2011-01-01

    The author integrates work from cognitive and developmental psychology with studies in writing in order to explain why the quality of student writing sometimes appears to regress to earlier or less proficient levels. Insights from this combined analysis are applied to explain how and why to use specific Writing Across the Curriculum strategies to…

  13. Creating Tension in Writing.

    Science.gov (United States)

    Folta, Bernarr

    This paper discusses the rationale and teaching methods for a six-week unit, for a high school freshman English Class, on perception, semantics, and writing, which places special focus on developing tension in student writing. The first four objectives of the course focus on perception and the next two focus on semantics. The seventh…

  14. The Cybernetic Writing Program.

    Science.gov (United States)

    Lowe, Kelly Fisher

    This paper looks at the role of a Writing Program Administrator, and applies the idea of a cybernetic system to the administration of the program. In this cybernetic model, the Writing Program Administrator (WPA) works as both a problem solver and problem causer, with the responsibility of keeping the program in proper balance. A cybernetic…

  15. P.S. Write Soon!

    Science.gov (United States)

    Edwards, Pat

    Intended for use by students, this illustrated Australian classroom unit was designed to encourage young people to develop a lifelong habit of letter writing. The booklet begins with a letter to children from the Australian "Post," and excerpts from two poems about letter writing. A section on how letters can link the world emphasizes…

  16. Avant-garde femtosecond laser writing

    OpenAIRE

    Kazansky, Peter G.; Beresna, Martynas; Shimotsuma, Yasuhiko; Hirao, Kazuyuki; Svirko, Yuri P.; Aktürk, Selcuk

    2010-01-01

    Recently discovered phenomena of quill and non-reciprocal femtosecond laser writing in glasses and crystals are reviewed. Common beliefs that laser writing does not change when reversing beam scan or propagation direction are challenged.

  17. 5 CFR 1630.11 - Requirements for requests to amend records.

    Science.gov (United States)

    2010-01-01

    ..., investment allocation, interfund transfers, loans, loan repayments, and withdrawals Write to TSP record..., retirement code, address, loan repayments, the amount of participant's contribution, amount of the Government... listed in § 1630.4. The words “Privacy Act—Request to Amend Record” should be written on the letter and...

  18. Student Writing, Teacher Feedback, and Working Online: Launching the Drive to Write Program

    Science.gov (United States)

    Balu, Rekha; Alterman, Emma; Haider, Zeest; Quinn, Kelly

    2018-01-01

    The Drive to Write program was organized by New Visions for Public Schools (a New York City school support network that helps schools with professional development, data infrastructure, leadership training, certification, and more), and New Visions hopes it will lead to a new standard in writing instruction and student learning. New Visions is…

  19. How effective are expressive writing interventions for adolescents? A meta-analytic review.

    Science.gov (United States)

    Travagin, Gabriele; Margola, Davide; Revenson, Tracey A

    2015-03-01

    This meta-analysis evaluated the effects of the expressive writing intervention (EW; Pennebaker & Beall, 1986) among adolescents. Twenty-one independent studies that assessed the efficacy of expressive writing on youth samples aged 10-18 ears were collected and analyzed. Results indicated an overall mean g-effect size that was positive in direction but relatively small (0.127), as well as significant g-effect sizes ranging from 0.107 to 0.246 for the outcome domains of Emotional Distress, Problem Behavior, Social Adjustment, and School Participation. Few significant effects were found within specific outcome domains for putative moderator variables that included characteristics of the participants, intervention instructions, or research design. Studies involving adolescents with high levels of emotional problems at baseline reported larger effects on school performance. Studies that implemented a higher dosage intervention (i.e., greater number and, to some extent, greater spacing of sessions) reported larger effects on somatic complaints. Overall, the findings suggest that expressive writing tends to produce small yet significant improvements on adolescents' well-being. The findings highlight the importance of modifying the traditional expressive writing protocol to enhance its efficacy and reduce potential detrimental effects. At this stage of research the evidence on expressive writing as a viable intervention for adolescents is promising but not decisive. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Electronic Health Record-Driven Workflow for Diagnostic Radiologists.

    Science.gov (United States)

    Geeslin, Matthew G; Gaskin, Cree M

    2016-01-01

    In most settings, radiologists maintain a high-throughput practice in which efficiency is crucial. The conversion from film-based to digital study interpretation and data storage launched the era of PACS-driven workflow, leading to significant gains in speed. The advent of electronic health records improved radiologists' access to patient data; however, many still find this aspect of workflow to be relatively cumbersome. Nevertheless, the ability to guide a diagnostic interpretation with clinical information, beyond that provided in the examination indication, can add significantly to the specificity of a radiologist's interpretation. Responsibilities of the radiologist include, but are not limited to, protocoling examinations, interpreting studies, chart review, peer review, writing notes, placing orders, and communicating with referring providers. Most of the aforementioned activities are not PACS-centric and require a login to one or more additional applications. Consolidation of these tasks for completion through a single interface can simplify workflow, save time, and potentially reduce the incidence of errors. Here, the authors describe diagnostic radiology workflow that leverages the electronic health record to significantly add to a radiologist's ability to be part of the health care team, provide relevant interpretations, and improve efficiency and quality. Copyright © 2016 American College of Radiology. Published by Elsevier Inc. All rights reserved.

  1. Mask-free and programmable patterning of graphene by ultrafast laser direct writing

    International Nuclear Information System (INIS)

    Chen, Hao-Yan; Han, Dongdong; Tian, Ye; Shao, Ruiqiang; Wei, Shu

    2014-01-01

    Graphical abstract: - Highlights: • We present a mask-free and programmable patterning of graphene. • Ultrafast laser can homogeneously reduce graphene oxides into micropatterns. • Desired graphene micropatterns could be created on flexible substrates. • Laser exposure duration shows influence on the conductivity of reduced graphene. • The method holds promise for fabrication and integration of graphene electronics. - Abstract: Reported here is a mask-free and programmable patterning of graphene by using femtosecond laser direct writing on graphene oxide (GO) films. Take advantage of the ultrahigh instantaneous intensity of the femtosecond laser pulse, and especially its nonlinear interactions with materials, the GO could be efficiently reduced under atmospheric condition at room temperature. Moreover, the designability of femtosecond laser direct writing (FsLDW) technique allow making graphene micropatterns arbitrarily according to the preprogrammed structures, which provides the feasibility for rational design, flexible fabrication and integration of graphene-based micro-devices. Raman spectra show that the reduced and patterned region is very homogeneous, which is confirmed by the almost consistent I D /I G ratio. The novel graphene patterning technique would provide a technical support for the development of graphene-based micro-devices for future electronics

  2. Business Writing in Freshman English.

    Science.gov (United States)

    Larmouth, Donald W.

    1980-01-01

    Suggests incorporating business writing into a freshman English course. Outlines three writing and research assignments: a financial status memorandum, a management analysis report, and an evaluation of applicants for a position at a university. (TJ)

  3. Rubrics: Heuristics for Developing Writing Strategies

    Science.gov (United States)

    De La Paz, Susan

    2009-01-01

    Rubrics are an integral part of many writing programs, and they represent elements of good writing in essays, stories, poems, as well as other genres and forms of text. Although it is possible to use rubrics to teach students about the processes underlying effective writing, a more common practice is to use rubrics as a means of assessment, after…

  4. Mentoring disadvantaged nursing students through technical writing workshops.

    Science.gov (United States)

    Johnson, Molly K; Symes, Lene; Bernard, Lillian; Landson, Margie J; Carroll, Theresa L

    2007-01-01

    Recent studies have identified a problematic gap for nursing students between terse clinical writing and formal academic writing. This gap can create a potential barrier to academic and workplace success, especially for disadvantaged nursing students who have not acquired the disciplinary conventions and sophisticated writing required in upper-level nursing courses. The authors demonstrate the need for writing-in-the-discipline activities to enhance the writing skills of nursing students, describe the technical writing workshops they developed to mentor minority and disadvantaged nursing students, and provide recommendations to stimulate educator dialogue across disciplines and institutions.

  5. "SCAFFOLDING" STUDENTS' WRITING IN EFL CLASS: IMPLEMENTING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    Ekaning Dewanti Laksmi

    2006-01-01

    Full Text Available The writing process approach views a writing learner as a creator of text, and hence, he needs to experience what writers actually do as they write, and so do students in EFL writing classes. The approach offers an answer to the need of helping the students develop their writing skill without their having to master the basic fundamental elements of writing, i.e. grammar, prior to attending the writing courses. This article highlights the potential of the process approach-with which students go through a write-rewrite process-in giving students a scaffold to work in a real, live process of how a real writer engages in the process of writing. However, the most important harvest is the fact that students have become more confident in expressing their ideas in writings.

  6. Thermomagnetic writing on deep submicron-patterned TbFe films by nanosecond current pulse

    International Nuclear Information System (INIS)

    You, Long; Kato, Takeshi; Tsunashima, Shigeru; Iwata, Satoshi

    2009-01-01

    This work studies the heating process for deep submicron-patterned TbFe films to be used in a thermally assisted perpendicular magnetic random access memory's writing scheme. The dependence of the heating power density with the current pulse width required for the successful writing was measured in the investigated range of 5-100 ns. In the case of long current pulse, the heat diffuses dominantly into substrate, which resulted in large variation of the required power/energy density with the patterned size. The power/energy densities required for writing increased as the junction area is reduced. While for the short current pulse width, the power/energy densities became rather independent on the size. The required power density for writing 0.38x0.28 μm 2 patterned films using the pulse width of 5 ns is experimentally estimated to be around P=4.7 mW/μm 2 , corresponding to the energy density of E=23 pJ/μm 2 , under an external field of 100 Oe

  7. Computers as medium for mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2011-01-01

    The production of mathematical formalism on state of the art computers is quite different than by pen and paper.  In this paper I examine the question of how different media influence the writing of mathematical signs. The examination is based on an investigation of professional mathematicians' use...... of various media for their writing. A model for describing mathematical writing through turntakings is proposed. The model is applied to the ways mathematicians use computers for writing, and especially it is used to understand how interaction with the computer system LaTeX is different in the case...

  8. Tips for scholarly writing in nursing.

    Science.gov (United States)

    Dexter, P

    2000-01-01

    Professional nurses, and certainly those in academia and nursing service leadership positions, are experiencing an increasing need for writing skills. Among the most important skills required for scholarly writing are those relating to critical thinking. With this in mind, suggestions for scholarly writing in nursing are presented in this article, organized according to Paul's criteria for critical thinking: clarity, precision, specificity, accuracy, relevance, consistency, logicalness, depth, completeness, significance, fairness, and adequacy for purpose. Although becoming proficient in scholarly writing takes time and effort, the rewards in terms of career advancement, professional contributions, and personal satisfaction and enjoyment are considerable.

  9. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    OpenAIRE

    Parastou Gholami Pasand; Eshrat Bazarmaj Haghi

    2013-01-01

    Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product app...

  10. The Writing Book, by Inky Penguin [and] Teacher's Manual.

    Science.gov (United States)

    Padgett, Ron

    Intended for elementary level students, this book presents 12 writing ideas and several suggestions on how students can make a book using their writing. Each writing idea is presented with a brief description (addressed to the student), several examples of student writing, and a blank page on which to write. Writing ideas include freewriting,…

  11. Materials for Assessing the Writing Skill

    Directory of Open Access Journals (Sweden)

    Vahid Nimehchisalem

    2010-07-01

    Full Text Available This paper reviews the issues of concern in writing scale development in English as Second Language (ESL settings with an intention to provide a useful guide for researchers or writing teachers who wish to develop or adapt valid, reliable and efficient writing scales considering their present assessment situations. With a brief discussion on the rationale behind writing scales, the author considers the process of scale development by breaking it into three phases of design, operationalization and administration. The issues discussed in the first phase include analyzing the samples, deciding on the type of scale and ensuring the validity of its design. Phase two encompasses setting the scale criteria, operationalization of definitions, setting a numerical value, assigning an appropriate weight for each trait, accounting for validity and reliability. The final phase comprises recommendations on how a writing scale should be used.

  12. Crossing the Divide Between Writing Cultures

    DEFF Research Database (Denmark)

    Krogh, Ellen

    2018-01-01

    This chapter examines the different writing cultures in secondary and upper secondary Danish schools and investigates the issue of transitioning between these two writing cultures by focussing on the experiences of one adolescent student writer, Sofia. The study elucidates the writing cultures...... and the “possibilities of selfhood” (Ivanič, 1998) experienced by Sofia, and examines her responses to these shifts in her written papers as well as in interviews. A focal point in the shift in subject writing culture is the use of texts in assignments; in the study of Danish as a subject at lower secondary texts...... of two selected “constellations of writing” comprising prompt, student paper and teacher response, combined with interviews, Sofia’s transition between the two writing cultures is explored. The analyses document that Sofia is a proficient writer with extraordinary textual resources who identifies...

  13. Oral Communication and Technical Writing: A Reconsideration of Writing in a Multicultural Era

    Science.gov (United States)

    Cibangu, Sylvain K.

    2009-01-01

    This article investigates the status of orality in the history of technical communication. The article calls for orality as an integral part and driving force of technical writing. The article brings to light the misconceptions that have led to a diminished role of oral communication in technical writing. The article shows the implications of oral…

  14. The Politics of Writing, Writing Politics: Virginia Woolf’s A [Virtual] Room of One’s Own

    Directory of Open Access Journals (Sweden)

    Tegan Zimmerman

    2012-12-01

    Full Text Available This article revisits A Room of One’s Own, Virginia Woolf’s foundational 1929 text on women’s writing. I examine from a feminist materialist perspective the relevance of Woolf’s notion of a “room” in our globalized and technological twenty-first century. I first review Woolf’s position on the material conditions necessary for women writers in her own time and then the applicability of her thinking for contemporary women writers on a global scale. I emphasize that the politics of writing, and in particular writing by women, that Woolf puts forth gives feminists the necessary tools to reevaluate and rethink women’s writing both online and offline. I therefore argue that Woolf’s traditional work on materiality can be updated and developed to further inform what is now, in the twenty-first century, an urgent need for women writers, a feminist philosophy of sexual difference in relation to technology, and an e-feminism of online spaces and women’s online writing.

  15. The Student Writing Toolkit: Enhancing Undergraduate Teaching of Scientific Writing in the Biological Sciences

    Science.gov (United States)

    Dirrigl, Frank J., Jr.; Noe, Mark

    2014-01-01

    Teaching scientific writing in biology classes is challenging for both students and instructors. This article offers and reviews several useful "toolkit" items that improve student writing. These include sentence and paper-length templates, funnelling and compartmentalisation, and preparing compendiums of corrections. In addition,…

  16. The Iranian Academicians' Strategies in Writing English Papers

    Directory of Open Access Journals (Sweden)

    Marziyeh Nekoueizadeh

    2013-05-01

    Full Text Available Academicians are identified with their papers and expertise in writing scholarly articles, either for promotion or for satisfying their prestige. Iranian academic members are expected to win a justifiable stance by the quality and quantity of their publications and presentations. Regrettably through pervious studying about second language writing, any studies haven’t been dedicated to the style of writing articles, which are used by academic members. Former studies on second language writing indicate that style in academic paper writing is most likely ignored. The purpose of this study is to explore the role of mind translation strategy among Iranian academic members for expressing their own opinion through writing second language academic papers. The present paper has based its hypothesis on three levels of strategies, effective in writing academic papers, namely: 1-Do Iranian academicians follow specific strategies in writing their academic papers? 2-What role does translation play as a strategy in their writing academic papers? 3-Do they feel a need for a strategy shift in their academic paper writing? Data elicited based on survey and corpora analysis in form of CBDTS- on micro and macro levels, are put into matrices and their analyses are supportive of academicians’ reliance on different types of mental translation use and their shift toward authentic writing after receiving feedback from their reviewers.

  17. Meta-Cognitive Awareness of Writing Strategy Use among Iranian EFL Learners and Its Impact on Their Writing Performance

    Directory of Open Access Journals (Sweden)

    Muhammad Azizi

    2017-03-01

    Full Text Available It is believed that by improving students’ meta-cognitive awareness of elements of language, learning can be enhanced. Therefore, this study consisted of two main objectives. First, it aimed at examining meta-cognitive awareness of writing strategy use among Iranian EFL learners. Using a Friedman test to check if there was any significant difference among the participants in their use of writing strategies, it was found that the differences among the strategies were not significant. The second objective of the study was to examine the impact of the participants’ meta-cognitive awareness of writing strategy use on their L2 writing performance. This was answered using two statistical techniques, namely Pearson correlation and Multiple Regression. Using Pearson Correlation, it was found that there was a significant relationship between writing performance and all writing strategy categories (planning, monitoring, evaluation, and self-awareness. Moreover, using Multiple Regression, it was found that the p–value was significant only for evaluation strategy category, but not for the rest. That is, it was found that strategy categories such as planning, monitoring, and self-awareness did not predict students’ writing performance. The result of this study responds to the ongoing problems students have in their meta-cognitive awareness of writing strategy use which can contribute to raising proficiency levels in shorter time frames.

  18. Writing a scientific publication for a management journal.

    Science.gov (United States)

    Øvretveit, John

    2008-01-01

    The aim of this paper is to stimulate debate about criteria for assessing the scientific contribution of a piece of management research and to guide and encourage researchers in writing papers for publication. The paper also seeks to reduce the number of papers submitted to journals and reviewers which are really unfinished early drafts or which do provide knowledge which could contribute to reducing suffering. The paper draws on and discusses the difference between practical research for a manager and scientific research, as well as the author's experience as researcher, writer, reviewer, editor, research methods course leader and director of research. The discussion highlights that the author should draft the paper under the suggested headings and fulfil criteria of validity, reliability, supported conclusions, generalisability, ensuring that each section follows on from the other, and that the findings are related to previous research. This is the author's personal view about how to carry out and write research to get published, without discussions of other views. The findings in this paper may provoke more debate about management science and the role of this journal. The guidance may help many researchers publish their management research. The paper links practical guidance with discussion of criteria for scientific contribution in a readable way.

  19. The problems inherent in teaching technical writing and report writing to native Americans

    Science.gov (United States)

    Zukowski/faust, J.

    1981-01-01

    Teaching technical writing to Native Americans contending with a second language and culture is addressed. Learning difficulties arising from differences between native and acquired language and cultural systems are examined. Compartmentalized teaching, which presents the ideals of technical writing in minimal units, and skills development are considered. Rhetorical problems treated include logic of arrangement, selection of support and scope of detail, and time and space. Specific problems selected include the concept of promptness, the contextualization of purpose, interpersonal relationships, wordiness, mixture of registers, and the problem of abstracting. Four inductive procedures for students having writing and perception problems are included. Four sample exercises and a bibliography of 13 references are also included.

  20. Noise Reduction Based on an Fe -Rh Interlayer in Exchange-Coupled Heat-Assisted Recording Media

    Science.gov (United States)

    Vogler, Christoph; Abert, Claas; Bruckner, Florian; Suess, Dieter

    2017-11-01

    High storage density and high data rate are two of the most desired properties of modern hard disk drives. Heat-assisted magnetic recording (HAMR) is believed to achieve both. Recording media, consisting of exchange-coupled grains with a high and a low TC part, were shown to have low dc noise—but increased ac noise—compared to hard magnetic single-phase grains like FePt. We extensively investigate the influence of an Fe -Rh interlayer on the magnetic noise in exchange-coupled grains. We find an optimal grain design that reduces the jitter in the down-track direction by up to 30% and in the off-track direction by up to 50%, depending on the head velocity, compared to the same structures without FeRh. Furthermore, the mechanisms causing this jitter reduction are demonstrated. Additionally, we show that, for short heat pulses and low write temperatures, the switching-time distribution of the analyzed grain structure is reduced by a factor of 4 compared to the same structure without an Fe -Rh layer. This feature could be interesting for HAMR use with a pulsed laser spot and could encourage discussion of this HAMR technique.

  1. The Utility of Writing Assignments in Undergraduate Bioscience

    Science.gov (United States)

    Libarkin, Julie; Ording, Gabriel

    2012-01-01

    We tested the hypothesis that engagement in a few, brief writing assignments in a nonmajors science course can improve student ability to convey critical thought about science. A sample of three papers written by students (n = 30) was coded for presence and accuracy of elements related to scientific writing. Scores for different aspects of scientific writing were significantly correlated, suggesting that students recognized relationships between components of scientific thought. We found that students' ability to write about science topics and state conclusions based on data improved over the course of three writing assignments, while the abilities to state a hypothesis and draw clear connections between human activities and environmental impacts did not improve. Three writing assignments generated significant change in student ability to write scientifically, although our results suggest that three is an insufficient number to generate complete development of scientific writing skills. PMID:22383616

  2. From reading to writing: Evaluating the Writer's Craft as a means of assessing school student writing

    Directory of Open Access Journals (Sweden)

    Pauline Sangster, Graeme Trousdale & Charles Anderson

    2012-06-01

    Full Text Available This article reports on part of a study investigating a new writing assessment, the Writer's Craft, which requires students to read a stimulus passage and then write a continuation adopting the style of the original. The article provides a detailed analysis of stimulus passages employed within this assessment scheme and students' written continuations of these passages. The findings reveal that this is a considerably more challenging assessment writing task than has previously been recognised; and that questions arise concerning the nature of the stimulus passages and the extent to which the assessment criteria captured what the students had achieved in their writing. The implications of these findings are discussed and recommendations are made.

  3. Short-wavelength magnetic recording new methods and analyses

    CERN Document Server

    Ruigrok, JJM

    2013-01-01

    Short-wavelength magnetic recording presents a series of practical solutions to a wide range of problems in the field of magnetic recording. It features many new and original results, all derived from fundamental principles as a result of up-to-date research.A special section is devoted to the playback process, including the calculations of head efficiency and head impedance, derived from new theorems.Features include:A simple and fast method for measuring efficiency; a simple method for the accurate separation of the read and write behaviour of magnetic heads; a new concept - the bandpass hea

  4. Reach Out and Write Someone.

    Science.gov (United States)

    Arnold, Vanessa D.; Roach, Terry D.

    1993-01-01

    Writing letters to elected officials and letters to the editor helps students articulate their thoughts based on sound evidence and valid reasoning, avoiding "sounding off" and emotional appeals. Writing skills, critical thinking, and civic values are reinforced. (SK)

  5. Writing with a Personal Voice.

    Science.gov (United States)

    Rico, Gabriele Lusser

    1985-01-01

    Clustering is a nonlinear brainstorming technique that can encourage children's natural writing ability by helping them draw on their need to make patterns out of their experience. Tips for introducing cluster writing into the classroom are offered. (MT)

  6. Movie-Generated EFL Writing: Discovering the Act of Writing through Visual Literacy Practices

    Science.gov (United States)

    Hekmati, Nargess; Ghahremani Ghajar, Sue-san; Navidinia, Hossein

    2018-01-01

    The present article explores the idea of using movies in EFL classrooms to develop students' writing skill. In this qualitative study, 15 EFL learners were engaged in different writing activities in a contextualized form of movies, meaning that the films acted as text-books, and activities were designed based on the contexts of the films. Taking…

  7. Writing the Trenches: What Students of Technical Writing and Literature Can Learn Together

    Science.gov (United States)

    Baake, Ken; Shelton, Jen

    2017-01-01

    We argue for a course in which students analyze writing about a common topic--in this case World War I--from multiple genres (e.g., poetry and technical manuals). We address the divide between instruction in pragmatic and literary writing and calls to bridge that gap. Students working in disparate areas of English learn the strengths and the…

  8. Quantum-dot based nanothermometry in optical plasmonic recording media

    International Nuclear Information System (INIS)

    Maestro, Laura Martinez; Zhang, Qiming; Li, Xiangping; Gu, Min; Jaque, Daniel

    2014-01-01

    We report on the direct experimental determination of the temperature increment caused by laser irradiation in a optical recording media constituted by a polymeric film in which gold nanorods have been incorporated. The incorporation of CdSe quantum dots in the recording media allowed for single beam thermal reading of the on-focus temperature from a simple analysis of the two-photon excited fluorescence of quantum dots. Experimental results have been compared with numerical simulations revealing an excellent agreement and opening a promising avenue for further understanding and optimization of optical writing processes and media

  9. Voice and Narrative in L1 Writing

    DEFF Research Database (Denmark)

    Krogh, Ellen; Piekut, Anke

    2015-01-01

    This paper investigates issues of voice and narrative in L1 writing. Three branches of research are initial-ly discussed: research on narratives as resources for identity work, research on writer identity and voice as an essential aspect of identity, and research on Bildung in L1 writing. Subsequ...... training of voice and narratives as a resource for academic writing, and that the Bildung potential of L1 writing may be tied to this issue.......This paper investigates issues of voice and narrative in L1 writing. Three branches of research are initial-ly discussed: research on narratives as resources for identity work, research on writer identity and voice as an essential aspect of identity, and research on Bildung in L1 writing...... in lower secondary L1, she found that her previous writing strategies were not rewarded in upper secondary school. In the second empiri-cal study, two upper-secondary exam papers are investigated, with a focus on their approaches to exam genres and their use of narrative resources to address issues...

  10. Writing toward a Scientific Identity: Shifting from Prescriptive to Reflective Writing in Undergraduate Biology

    Science.gov (United States)

    Otfinowski, Rafael; Silva-Opps, Marina

    2015-01-01

    Analytical writing enhances retention of science learning and is integral to student-centered classrooms. Despite this, scientific writing in undergraduate programs is often presented as a series of sentence-level conventions of grammar, syntax, and citation formats, reinforcing students' perceptions of its highly prescriptive nature. The authors…

  11. Cultivating Advanced Technical Writing Skills through a Graduate-Level Course on Writing Research Proposals

    Science.gov (United States)

    McCarthy, Brian D.; Dempsey, Jillian L.

    2017-01-01

    A graduate-level course focused on original research proposals is introduced to address the uneven preparation in technical writing of new chemistry graduate students. This course focuses on writing original research proposals. The general course structure features extensive group discussions, small-group activities, and regular in-class…

  12. Development of the Write Process for Pipeline-Ready Heavy Oil

    Energy Technology Data Exchange (ETDEWEB)

    Lee Brecher; Charles Mones; Frank Guffey

    2009-03-07

    determine the throughput capability of the coker so a scaled design could be developed that maximized feed rate for a given size of reactor. These tests were only partially successful because of equipment problems. A redesigned coker, which addressed the problems, has been build but not operated. A preliminary economic analysis conducted by MEG and an their engineering consultant concluded that the WRITE{trademark} process is a technically feasible method for upgrading bitumen and that it produces SCO that meets pipeline specifications for density. When compared to delayed coking, the industry benchmark for thermal upgrading of bitumen, WRITE{trademark} produced more SCO, less coke, less CO{sub 2} per barrel of bitumen fed, and had lower capital and operating costs. On the other hand, WRITE{trademark}'s lower processing severity yielded crude with higher density and a different product distribution for naphtha, light gas oil and vacuum oil that, taken together, might reduce the value of the SCO. These issues plus the completion of more detailed process evaluation and economics need to be resolved before WRITE{trademark} is deployed as a field-scale pilot.

  13. The craft of scientific writing

    CERN Document Server

    Alley, Michael

    2019-01-01

    The Craft of Scientific Writing uses scores of examples to show the differences between scientific writing that informs and persuades and scientific writing that does not. Focusing on technical papers, dissertations, and reports, this text shows engineers, scientists, and technical professionals the five keys of style that distinguish the best scientific documents: (1) having the details presented in a methodical fashion, (2) having the important details emphasized, (3) having ideas cast into clear and precise sentences, (4) having clear connections between those ideas, and (5) having illustrations that persuade.

  14. "Righting" the Writing Problem.

    Science.gov (United States)

    Shaughnessy, Michael F.; Eastham, Nicholas

    The problem of college students' writing skills or lack thereof is generally agreed upon in academia. One cause is the inordinate amount of multiple choice/true false/fill in the blank type of tests that students take in high school and college. Not only is there is a dearth of actual classes in writing available, few students recognize the need…

  15. Teaching English Medical Writing in a Blended Setting

    Directory of Open Access Journals (Sweden)

    Jafar Asgari Arani

    2012-12-01

    Full Text Available Medical writing activities which may have a context and seem to be engaging may be perceived as demotivating by the students of medicine. This opinion was confirmed by the learners' responses to the open-ended question given to them prior to this study. In their responses students evaluated the writing section of English course negatively. The negative views about the writing course posed a problem to the class teacher. The computer technology and the Internet can easily be integrated into language classroom if activities are designed carefully, and carried out systematically. These attempts brought about a new understanding to teaching and learning: blended learning (BL. The purpose of this research was to investigate students of medicine attitude to blended writing classes. It was conducted with second year learners in the Faculty of Medicine at Kashan University of Medical Sciences. The first reflection aimed at finding out medical students' attitude toward blended writing lessons. Although learners' attitude to writing lessons was negative in the first reflections, they changed into positive in the latter ones. The findings indicated that blended writing class had changed students' perception of writing lessons positively. Therefore, this kind of classes may help students develop a positive attitude towards writing by providing meaningful writing opportunities. Like the student portfolio before it, the weblog faces challenges with practicality and security, but ultimately provides an alternative way to teach and assess authentic writing and reading skills. Blog Assisted Language Learning not only provides teachers with an exciting new way to approach communicative language learning, it also gives the students a new reason to enjoy writing! The paper concludes that Internet tools have the potential to be a transformational technology for teaching and learning writing, and teachers ought to give strong consideration to the setting up their

  16. Urban Revival and College Writing: Writing to Promote Communities

    Directory of Open Access Journals (Sweden)

    Miriam Chirico

    2013-05-01

    Full Text Available Service-learning classes, because they emphasize the creation of product that has value outside the confines of the college classroom, offer students an experience in professional formation, a practice that may prove anathema to the ethos of “service.” The desire to counteract this individualistic attitude has led instructors to promulgate an activist agenda within their classrooms, teaching students to critique hierarchical power structures, redress social inequities, or challenge lines of societal exclusion. And yet, such practitioners repeatedly acknowledge the difficulty of this instructional aim and attest to the students’ inability to envision themselves as advocates for societal change. I hold that this objective of transforming students into activists based on the experience of service-learning classes may not be feasible due the economic dynamic of a college classroom, where students pay tuition for their education and engage in work that is assessed and evaluated. Consequently, rather than create service-learning projects around theoretical positions of dissent and critique, I have designed a service-learning class on the topic of urban revitalization that involves students in promotional and collaborative partnerships with non-profit organizations in town. In other words, by tapping into a pragmatic, national movement such as urban renewal, I have aimed to raise the students’ awareness of how they might become agents of change and how their particular skill set of writing could be of service to the community. Drawing upon my experiences with students in a Business and Professional Writing class, I discuss specific readings and writing assignments in this article, chiefly the writing products that were commissioned by different non-profit groups in town. The discussion examines some of the theoretical implications behind reinforcing college students’ awareness of civic commitment while developing their written and rhetorical

  17. LEARNING CREATIVE WRITING MODEL BASED ON NEUROLINGUISTIC PROGRAMMING

    OpenAIRE

    Rustan, Edhy

    2017-01-01

    The objectives of the study are to determine: (1) condition on learning creative writing at high school students in Makassar, (2) requirement of learning model in creative writing, (3) program planning and design model in ideal creative writing, (4) feasibility of model study based on creative writing in neurolinguistic programming, and (5) the effectiveness of the learning model based on creative writing in neurolinguisticprogramming.The method of this research uses research development of L...

  18. Children's high-level writing skills: development of planning and revising and their contribution to writing quality.

    Science.gov (United States)

    Limpo, Teresa; Alves, Rui A; Fidalgo, Raquel

    2014-06-01

    It is well established that the activity of producing a text is a complex one involving three main cognitive processes: Planning, translating, and revising. Although these processes are crucial in skilled writing, beginning and developing writers seem to struggle with them, mainly with planning and revising. To trace the development of the high-level writing processes of planning and revising, from Grades 4 to 9, and to examine whether these skills predict writing quality in younger and older students (Grades 4-6 vs. 7-9), after controlling for gender, school achievement, age, handwriting fluency, spelling, and text structure. Participants were 381 students from Grades 4 to 9 (age 9-15). Students were asked to plan and write a story and to revise another story by detecting and correcting mechanical and substantive errors. From Grades 4 to 9, we found a growing trend in students' ability to plan and revise despite the observed decreases and stationary periods from Grades 4 to 5 and 6 to 7. Moreover, whereas younger students' planning and revising skills made no contribution to the quality of their writing, in older students, these high-level skills contributed to writing quality above and beyond control predictors. The findings of this study seem to indicate that besides the increase in planning and revising, these skills are not fully operational in school-age children. Indeed, given the contribution of these high-level skills to older students' writing, supplementary instruction and practice should be provided from early on. © 2013 The British Psychological Society.

  19. A Thousand Writers Writing: Seeking Change through the Radical Practice of Writing as a Way of Being

    Science.gov (United States)

    Yagelski, Robert P.

    2009-01-01

    In this frankly utopian essay, Robert Yagelski's theme is the transformative power of writing as an act in and of itself. He makes us reevaluate our motivation and point for teaching writing in schools and asks us to consider an agenda that will quite frankly scare teachers as he explains why we need an ontology of writing. (Contains 6 notes.)

  20. What Is Writing For?: Writing in the First Weeks of School in a Second/Third Grade Classroom.

    Science.gov (United States)

    Florio, Susan; Clark, Christopher M.

    One segment of a year-long descriptive field study of school writing is described in this paper. In particular, the paper examines some of the uses to which writing is put in the first weeks of school in one second/third grade classroom. In doing this, the paper speculates on the writing curriculum in elementary schools and on the realization of…

  1. Student perception of writing in the science classroom

    Science.gov (United States)

    Deakin, Kathleen J.

    This study examines factors that shape four student's perceptions of writing tasks in their science classroom. This qualitative retrospective interview study focuses on four students concurrently enrolled in honors English and honors biology. This research employs a phenomenological perspective on writing, examining whether the writing strategies students acquire in the Language Arts classroom manifest in the content areas. I also adopt Bandura's theoretical perspective on self-efficacy as well as Hillock's notion of writing as inquiry and meaning making. This study concludes that students need ample opportunity to generate content and language that will help reveal a purpose and genre for writing tasks in the content areas. Although all four students approached the writing tasks differently in this study, the tasks set before them were opportunities for replication rather than inquiry Through the case studies of four students as well as current research on content writing, this project works to inform all content area teachers about student perceptions of writing in the content areas.

  2. Variability of writing disorders in Wernicke's aphasia underperforming different writing tasks: A single-case study.

    Science.gov (United States)

    Kozintseva, Elena; Skvortsov, Anatoliy

    2016-03-01

    The aim of our study was to evolve views on writing disorders in Wernicke's agraphia by comparing group data and analysis of a single patient. We showed how a single-case study can be useful in obtaining essential results that can be hidden by averaging group data. Analysis of a single patient proved to be important for resolving contradictions of the "holistic" and "elementaristic" paradigms of psychology and for the development of theoretical knowledge with the example of a writing disorder. The implementation of a holistic approach was undertaken by presenting the tasks differing in functions in which writing had been performed since its appearance in human culture (communicative, mnestic, and regulatory). In spite of the identical composition of involved psychological components, these differences were identified when certain types of errors were analyzed in the single subject. The results are discussed in terms of used writing strategy, resulting in a way of operation of involved components that lead to qualitative and quantitative changes of writing errors within the syndrome of Wernicke's agraphia. © 2016 The Institute of Psychology, Chinese Academy of Sciences and John Wiley & Sons Australia, Ltd.

  3. Intragroup Conflicts during Collaborative Writing in an ESL/EFL Preparatory Programme

    Directory of Open Access Journals (Sweden)

    Santini Pathinathan

    2012-11-01

    Full Text Available This paper seeks to investigate the types of conflicts that occur during collaborative writing among a group of ESL/EFL upper intermediate students in a preparatory programme. It also examines how these conflicts are resolved among the group members. A group consisting of four students was chosen for this study. Audio and video-recordings of collaborative sessions, semi-structured interviews and students’ journal were used in the data collection process. The results of this qualitative study showed that there were two prominent types of conflicts that occurred during the collaboration, namely, substantive conflict and affective conflict. Substantive conflict was found to be useful as the group was able to voice disagreements and consider alternative ideas. However, there was more evidence of affective conflict where the group had misunderstandings and differences due to personal views about group members. The study shows that the success of collaborative writing depends very much on how conflict is handled and resolved among the members.

  4. Read/write performance of perpendicular double-layered cylindrical media

    International Nuclear Information System (INIS)

    Yamada, H.; Shimatsu, T.; Watanabe, I.; Tsuchiyama, R.; Aoi, H.; Muraoka, H.; Nakamura, Y.

    2005-01-01

    A cylindrical magnetic storage system using perpendicular double-layered media has been developed. CoCrTa/CoZrNb deposited on a rotating cylindrical substrate shows perpendicular anisotropy and magnetic properties, which have almost the same characteristics as conventional disk-media. The fundamental read/write characteristics of perpendicular double-layered cylindrical media were measured using a single-pole-type (SPT) writer with a sliding-contact-type slider and a merged giant magneto-resistive (GMR) reader with a one-pad-type slider designed for use with cylindrical media. Preliminary studies for improving the characteristics of the recording layer are also described

  5. Writing on skin: The entangled embodied histories of black labour and livestock registration in the Cape Colony, C. 1860-1909

    Directory of Open Access Journals (Sweden)

    Lance Van Sittert

    Full Text Available It has been suggested that nineteenth-century colonial states in South Africa exercised 'power without knowledge' and that 'archival government' was the product of a post-South African War alliance between the British administration and mining capital in the Transvaal. This argument privileges writing on paper as the only form of archival government. Yet the Cape Colony in the latter half of the nineteenth century used record systems founded instead on writing on skin. Paper registration had failed because there was no reliable way of linking paper identities with the human and animal skins they referred to. Faced with this problem, colonial officials resorted to using the older scheme of writing on the skins of people and animals. The resulting body marks were recorded and the registers or excerpts of registers were distributed in cheap printed form as archives enabling the reliable recognition of men and private property and of pedigree in livestock. This was the recognisable forerunner of twentieth-century registration systems of much greater reach and ambition that transcribed skin mechanically through photography and fingerprinting and so aspired to registering whole populations of people and animals.

  6. Improving Undergraduates’ Argumentative Group Essay Writing through Self-assessment

    Directory of Open Access Journals (Sweden)

    Yong Mei Fung

    2015-10-01

    Full Text Available When writing an argumentative essay, writers develop and evaluate arguments to embody, initiate, or simulate various kinds of interpersonal and textual interaction for reader consideration (Wu & Allison, 2003. This is quite challenging for English as a second language (ESL learners. To improve the quality of their writing, students need to review their draft throughout the writing process. This study aimed to investigate the effect of self-assessment in group writing and how group work improves students’ writing ability. An intact class comprising 22 first-year undergraduates participated in the study.  Data were collected from pre- and post-treatment writing tests, semi-structured interview and reflection entries. The results revealed that self-assessment has a significant effect on students’ writing performance. Group work also enhanced social and cognitive development of the students. This study provides insights into the use of self-assessment in writing class to develop learner autonomy and improve writing ability. Keywords: Argumentative essay, Self-assessment, Learner autonomy, Group writing, ESL learners

  7. The Cognitive Demands of Writing

    NARCIS (Netherlands)

    Torrance, Mark; Jeffery, Gaynor

    1999-01-01

    Writing is a complex activity that places demands on cognitive resources. This volume presents original theory and research exploring the ways in which the sub-components of the writing process (generating and organizing content, producing grammatical sentences, etc.) differ in their cognitive

  8. Analysis on the Difficulties Faced by a Bilingual Child in Reading and Writing

    Directory of Open Access Journals (Sweden)

    Rizki Hardiyanti

    2017-08-01

    Full Text Available Bilingual child ability in two languages is become popular issue in the comparison of those two languages. In this paper, the Indonesian bilingual child has parent, school and course using English actively, then his environment using Bahasa Indonesia. This research was conducted to measure ability and difficulties faced by bilingual child in reading and writing in two languages Bahasa Indonesia- English. This journal applied a qualitative research design. Qualitative research is stated as naturalistic study that has the natural setting, as the direct source of data and the researcher is the key instrument  (Bogdan and Biklen, 1992. To specify the design in this journal, this qualitative method was used to analyze a specific person of Bilingual Child. The data were taken from observation, interview, video recording of the child’s reading the English and Bahasa Indonesia textbook story and written test of the child’s writing the English and Bahasa Indonesia summary of textbook story. In both English and Bahasa Indonesia, the reading difficulties appear related to pronunciation, intonation, expression and word stress and the writing difficulties appear related to relevance, organization, vocabulary and grammar.

  9. Writing-A Torture

    Institute of Scientific and Technical Information of China (English)

    李; 菲

    2000-01-01

    Hey, "writing", are you kidding? Such an abstract, high-sounding, and completely academic title! Who do you think I am, Francis Bacon or William Shakespeare? If I really could elaborate on such a topic easily and clearly, why should I pay so much to sit here and study? I'd have gone and taught at Beijing University or Harvard University (if they accepted me). But, I believe that blue-eyed, big-nosed, blond American writing teacher must have his own reason for hurling such a topic upon me, so I'll try my bes...

  10. Writing with resonance

    DEFF Research Database (Denmark)

    Meier, Ninna; Wegener, Charlotte

    2017-01-01

    In this article, we explore what organization and management scholars can do to write with resonance and to facilitate an emotional, bodily, or in other ways sensory connection between the text and the reader. We propose that resonance can be relevant for organization and management scholars in two......, and thus bring forward the field of research in question. We propose that writing with resonance may be a way to further the impact of academic work by extending the modalities with which our readers can relate to and experience our work....

  11. Writing in Museums: Toward a Rhetoric of Participation

    Science.gov (United States)

    Noy, Chaim

    2015-01-01

    The study takes a situated and material approach to texts and writing practices and examines writing ethnographically as it transpires and displayed in museums. The ethnography highlights the richness and sociality embodied in writing practices as well as the ideological, communal, and ritualistic functions that writing and texts serve in cultural…

  12. Investigating Persuasive Writing by 9-11 Year Olds

    Science.gov (United States)

    Beard, Roger; Burrell, Andrew; Homer, Matt

    2016-01-01

    Within research into children's persuasive writing, relatively little work has been done on the writing of advertisements, how such writing develops in the primary school years and the textual features that help to secure this development. Framed within rhetoric, writing and linguistics, an exploratory study was undertaken in which a standardised…

  13. Exploring Associations among Writing Self-Perceptions, Writing Abilities, and Native Language of English-Spanish Two-Way Immersion Students

    Science.gov (United States)

    Neugebauer, Sabina R.; Howard, Elizabeth R.

    2015-01-01

    The current study, with 409 fourth graders in two-way immersion programs, explored the writing self-perceptions of native English and native Spanish speakers and the relationship between self-perceptions and writing performance. An adapted version of the Writer Self-Perception Scale (WSPS) was administered along with a writing task. Native English…

  14. English language and literature students' perceptions of reflective writing, its effects on engagement in writing and literature

    OpenAIRE

    Uçar, Hakan

    2013-01-01

    Ankara : The Department of Teaching English as a Foreign Language, Bilkent University, 2013. Thesis (Master's) -- Bilkent University, 2013. Includes bibliographical references leaves 122-139. This study investigated the effects of the reflective writing process on English Language and Literature students’ engagement with writing and literature and their demonstrated engagement level in the reflective writing process. This study was conducted over a period of nine weeks with...

  15. The Process of Writing a Text by Using Cooperative Learning El proceso de escribir un texto por medio del uso del aprendizaje cooperativo

    Directory of Open Access Journals (Sweden)

    Alexandra Aldana

    2005-10-01

    Full Text Available This research project was carried out in order to get ninth graders of the departamental school “El Tequendama” involved in their writing tasks and to improve their writing skills, following the process that a professional writer enables students to write cooperatively and reduces their writing anxiety. Cooperative writing enables the participation of students with a mixture of proficiency level, thus providing greater opportunities to make achievements and therefore greater opportunities to be more deeply involved in their writing tasks.Este proyecto de investigación se llevó a cabo con el propósito de lograr que los estudiantes de noveno grado del colegio departamental “El Tequendama” se comprometieran con sus actividades de escritura y mejoraran sus habilidades escriturales. El reproducir el proceso que un escritor realiza permite a los estudiantes escribir cooperativamente y reduce la ansiedad provocada por el acto de escribir. La escritura cooperativa potencia la participación de estudiantes con niveles bajos de dominio, brindándoles mayores oportunidades de lograr mejores resultados y por tanto mayores oportunidades de comprometerse con sus actividades de escritura.

  16. The Writing Conference as Performance.

    Science.gov (United States)

    Newkirk, Thomas

    1995-01-01

    Provides an overview of the conversational roles taken on by students and teachers during college-level writing conferences. Uses the performative theory of Erving Goffman to analyze these role patterns. Illuminates the specific performative demands presented by writing conferences on both students and teachers. (HB)

  17. Teaching the Essential Understanding of Creative Writing

    OpenAIRE

    Kallionpää, Outi

    2010-01-01

    In my Master´s thesis I have researched teaching of creative writing for high school students. I have also created the concept called the Essential Understanding of Creative Writing, which I think is the base and the starting point of teaching creative writing. The term is hypothesis and it roughly means the subjectively understood essence of creative work and writing process, as well as the strengthening the inner motivation and author identity by writer. Collaboration seems to support the E...

  18. Handwriting or Typewriting? The Influence of Pen- or Keyboard-Based Writing Training on Reading and Writing Performance in Preschool Children.

    Science.gov (United States)

    Kiefer, Markus; Schuler, Stefanie; Mayer, Carmen; Trumpp, Natalie M; Hille, Katrin; Sachse, Steffi

    2015-01-01

    Digital writing devices associated with the use of computers, tablet PCs, or mobile phones are increasingly replacing writing by hand. It is, however, controversially discussed how writing modes influence reading and writing performance in children at the start of literacy. On the one hand, the easiness of typing on digital devices may accelerate reading and writing in young children, who have less developed sensory-motor skills. On the other hand, the meaningful coupling between action and perception during handwriting, which establishes sensory-motor memory traces, could facilitate written language acquisition. In order to decide between these theoretical alternatives, for the present study, we developed an intense training program for preschool children attending the German kindergarten with 16 training sessions. Using closely matched letter learning games, eight letters of the German alphabet were trained either by handwriting with a pen on a sheet of paper or by typing on a computer keyboard. Letter recognition, naming, and writing performance as well as word reading and writing performance were assessed. Results did not indicate a superiority of typing training over handwriting training in any of these tasks. In contrast, handwriting training was superior to typing training in word writing, and, as a tendency, in word reading. The results of our study, therefore, support theories of action-perception coupling assuming a facilitatory influence of sensory-motor representations established during handwriting on reading and writing.

  19. An Examination of Student Writing Self-Efficacy across Three Levels of Adult Writing Instruction

    Science.gov (United States)

    Alderman, Rodney L.

    2015-01-01

    Adults in today's society do not possess the necessary writing skills required to be successful in postsecondary education and in employment. Writing is an essential skill for college and the workplace. Society also expects college graduates to be critical thinkers and to utilize higher-order thinking skills. Perceived self-efficacy may impact…

  20. The Effect of Cooperative Writing Activities on Writing Anxieties of Prospective Primary School Teachers

    Science.gov (United States)

    Erdogan, Ozge

    2017-01-01

    The purpose of this research is to determine the effect of cooperative writing activities on the writing anxieties of prospective primary school teachers. The study group of the research is composed of 30 prospective primary school teachers. A mixed method consisting of qualitative and quantitative research methods was used in the collection,…

  1. Executive functions in becoming writing readers and reading writers: note taking and report writing in third and fifth graders.

    Science.gov (United States)

    Altemeier, Leah; Jones, Janine; Abbott, Robert D; Berninger, Virginia W

    2006-01-01

    Results are reported for a study of 2 separate processes of report writing-taking notes while reading source material and composing a report from those notes-and related individual differences in executive functions involved in integrating reading and writing during these writing activities. Third graders (n = 122) and 5th graders (n = 106; overall, 127 girls and 114 boys) completed two reading-writing tasks-read paragraph (mock science text)-write notes and use notes to generate written report, a reading comprehension test, a written expression test, four tests of executive functions (inhibition, verbal fluency, planning, switching attention), and a working memory test. For the read-take notes task, the same combination of variables was best (explained the most variance and each variable added unique variance) for 3rd graders and 5th graders: Wechsler Individual Achievement Test-Second Edition (WIAT-II) Reading Comprehension, Process Assessment of the Learner Test for Reading and Writing (PAL) Copy Task B, WIAT-II Written Expression, and Delis-Kaplan Executive Function System (D-KEFS) Inhibition. For the use notes to write report task, the best combinations of variables depended on grade level: For 3rd graders, WIAT-II Reading Comprehension, WIAT-II Written Expression, D-KEFS Verbal Fluency, and Tower of Hanoi; for 5th graders, WIAT-II Reading Comprehension, D-KEFS Verbal Fluency, WIAT-II Written Expression, and PAL Alphabet Task. These results add to prior research findings that executive functions contribute to the writing development of elementary-grade students and additionally support the hypothesis that executive functions play a role in developing reading-writing connections.

  2. Writing and querying MapReduce views in CouchDB

    CERN Document Server

    Holt, Bradley

    2011-01-01

    If you want to use CouchDB to support real-world applications, you'll need to create MapReduce views that let you query this document-oriented database for meaningful data. With this short and concise ebook, you'll learn how to create a variety of MapReduce views to help you query and aggregate data in CouchDB's large, distributed datasets. You'll get step-by-step instructions and lots of sample code to create and explore several MapReduce views through the course of the book, using an example database you construct. To work with these different views, you'll learn how to use the Futon web a

  3. How to develop and write a case for technical writing

    Science.gov (United States)

    Couture, B.; Goldstein, J.

    1981-01-01

    Case of different sizes and shapes for teaching technical writing to engineers at Wayne State University have been developed. The case approach was adopted for some assignments because sophomores and juniors lacked technical expertise and professional knowledge of the engineering world. Cases were found to be good exercises, providing realistic practice in specific writing tasks or isolating particular skills in the composing process. A special kind of case which narrates the experiences of one technical person engaged in the problem-solving process in a professional rhetorical situation was developed. This type of long, realistic fiction is called a an "holistic" case. Rather than asking students to role-play a character, an holistic case realistically encompasses the whole of the technical writing process. It allows students to experience the total communication act in which the technical task and data are fully integrated into the rhetorical situation and gives an opportunity to perform in a realistic context, using skills and knowledge required in communication on the job. It is believed that the holistic case most fully exploits the advantages of the case method for students of professional communication.

  4. A synthesis of mathematics writing: Assessments, interventions, and surveys

    Directory of Open Access Journals (Sweden)

    Sarah R. Powell

    2017-02-01

    Full Text Available Mathematics standards in the United States describe communication as an essential part of mathematics. One outlet for communication is writing. To understand the mathematics writing of students, we conducted a synthesis to evaluate empirical research about mathematics writing. We identified 29 studies that included a mathematics-writing assessment, intervention, or survey for students in 1st through 12th grade. All studies were published between 1991 and 2015. The majority of assessments required students to write explanations to mathematical problems, and fewer than half scored student responses according to a rubric. Approximately half of the interventions involved the use of mathematics journals as an outlet for mathematics writing. Few intervention studies provided explicit direction on how to write in mathematics, and a small number of investigations provided statistical evidence of intervention efficacy. From the surveys, the majority of students expressed enjoyment when writing in mathematics settings but teachers reported using mathematics writing rarely. Across studies, findings indicate mathematics writing is used for a variety of purposes, but the quality of the studies is variable and more empirical research is needed.

  5. Can Computers Make the Grade in Writing Exams?

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2014-01-01

    Schools are scrambling to prepare students for the writing assessments aligned to the Common Core State Standards. In some states, writing has not been assessed for over a decade. Yet, with the use of computerized grading of the student's writing, many teachers are wondering how to best prepare students for the writing assessments that will…

  6. Handwriting versus Keyboard Writing: Effect on Word Recall

    Directory of Open Access Journals (Sweden)

    Anne Mangen

    2015-10-01

    Full Text Available The objective of this study was to explore effects of writing modality on word recall and recognition. The following three writing modalities were used: handwriting with pen on paper; typewriting on a conventional laptop keyboard; and typewriting on an iPad touch keyboard. Thirty-six females aged 19-54 years participated in a fully counterbalanced within-subjects experimental design. Using a wordlist paradigm, participants were instructed to write down words (one list per writing modality read out loud to them, in the three writing modalities. Memory for words written using handwriting, a conventional keyboard and a virtual iPad keyboard was assessed using oral free recall and recognition. The data was analyzed using non-parametric statistics. Results show that there was an omnibus effect of writing modality and follow-up analyses showed that, for the free recall measure, participants had significantly better free recall of words written in the handwriting condition, compared to both keyboard writing conditions. There was no effect of writing modality in the recognition condition. This indicates that, with respect to aspects of word recall, there may be certain cognitive benefits to handwriting which may not be fully retained in keyboard writing. Cognitive and educational implications of this finding are discussed.

  7. A guide of scientific writing in English

    International Nuclear Information System (INIS)

    Han, Bang Geun

    1987-10-01

    This book introduces reference while writing English paper, how to use letters, punctuation, how to use articles, similar word phrases and verbs used in scientific writings, auxiliary verbs, nouns deeply related to scientific writings, expressions about experiment tools and equipment, expressions of chemicals, how to mark numbers, adjectives and pronouns relevant to numbers, how to make plural form, expressions about multiple, surface area, depth, width, time, period, temperature, humidity. It also adds expressions about sensible assessment, statistics, deviation, signs, abbreviations, and how to write letters in English.

  8. Comprehension and Writing Strategy Training Improves Performance on Content-Specific Source-Based Writing Tasks

    Science.gov (United States)

    Weston-Sementelli, Jennifer L.; Allen, Laura K.; McNamara, Danielle S.

    2018-01-01

    Source-based essays are evaluated both on the quality of the writing and the content appropriate interpretation and use of source material. Hence, composing a high-quality source-based essay (an essay written based on source material) relies on skills related to both reading (the sources) and writing (the essay) skills. As such, source-based…

  9. BACK and DRAW activities for improving writing skills

    Directory of Open Access Journals (Sweden)

    Muhammad Lukman Syafii

    2017-09-01

    Full Text Available This present paper addresses the issue of writing as an important aspect of EFL instruction. Writing as one of the four basic language skills plays a pivotal role in the context of English teaching as a foreign language in Indonesia. Considering these problems, it is important to modify the writing process in such a way to cope the writing. The process of writing the writer means is BACK and DRAW activities. What the writer termed as BACK and DRAW is a set of writing activities involving students’ active participation in the process, their intense learning experience in producing a required text, as well as their interactive work with peers and the teacher. BACK and DRAW stands for Brainstorming, Attaching, Correcting, Keeping, Developing, Revising, Arranging, and Wow! Or Wonderful! Or Well done!. These activities are good ways to improve the writing Ability.

  10. Functional Anatomy of Writing with the Dominant Hand

    Science.gov (United States)

    Najee-ullah, Muslimah ‘Ali; Hallett, Mark

    2013-01-01

    While writing performed by any body part is similar in style, indicating a common program, writing with the dominant hand is particularly skilled. We hypothesized that this skill utilizes a special motor network supplementing the motor equivalence areas. Using functional magnetic resonance imaging in 13 normal subjects, we studied nine conditions: writing, zigzagging and tapping, each with the right hand, left hand and right foot. We identified brain regions activated with the right (dominant) hand writing task, exceeding the activation common to right-hand use and the writing program, both identified without right-hand writing itself. Right-hand writing significantly differed from the other tasks. First, we observed stronger activations in the left dorsal prefrontal cortex, left intraparietal sulcus and right cerebellum. Second, the left anterior putamen was required to initiate all the tested tasks, but only showed sustained activation during the right-hand writing condition. Lastly, an exploratory analysis showed clusters in the left ventral premotor cortex and inferior and superior parietal cortices were only significantly active for right-hand writing. The increased activation with right-hand writing cannot be ascribed to increased effort, since this is a well-practiced task much easier to perform than some of the other tasks studied. Because parietal-premotor connections code for particular skills, it would seem that the parietal and premotor regions, together with basal ganglia-sustained activation likely underlie the special skill of handwriting with the dominant hand. PMID:23844132

  11. Functional anatomy of writing with the dominant hand.

    Science.gov (United States)

    Horovitz, Silvina G; Gallea, Cecile; Najee-Ullah, Muslimah 'ali; Hallett, Mark

    2013-01-01

    While writing performed by any body part is similar in style, indicating a common program, writing with the dominant hand is particularly skilled. We hypothesized that this skill utilizes a special motor network supplementing the motor equivalence areas. Using functional magnetic resonance imaging in 13 normal subjects, we studied nine conditions: writing, zigzagging and tapping, each with the right hand, left hand and right foot. We identified brain regions activated with the right (dominant) hand writing task, exceeding the activation common to right-hand use and the writing program, both identified without right-hand writing itself. Right-hand writing significantly differed from the other tasks. First, we observed stronger activations in the left dorsal prefrontal cortex, left intraparietal sulcus and right cerebellum. Second, the left anterior putamen was required to initiate all the tested tasks, but only showed sustained activation during the right-hand writing condition. Lastly, an exploratory analysis showed clusters in the left ventral premotor cortex and inferior and superior parietal cortices were only significantly active for right-hand writing. The increased activation with right-hand writing cannot be ascribed to increased effort, since this is a well-practiced task much easier to perform than some of the other tasks studied. Because parietal-premotor connections code for particular skills, it would seem that the parietal and premotor regions, together with basal ganglia-sustained activation likely underlie the special skill of handwriting with the dominant hand.

  12. How specialized are writing-specific brain regions? An fMRI study of writing, drawing and oral spelling.

    Science.gov (United States)

    Planton, Samuel; Longcamp, Marieke; Péran, Patrice; Démonet, Jean-François; Jucla, Mélanie

    2017-03-01

    Several brain imaging studies identified brain regions that are consistently involved in writing tasks; the left premotor and superior parietal cortices have been associated with the peripheral components of writing performance as opposed to other regions that support the central, orthographic components. Based on a meta-analysis by Planton, Jucla, Roux, and Demonet (2013), we focused on five such writing areas and questioned the task-specificity and hemispheric lateralization profile of the brain response in an functional magnetic resonance imaging (fMRI) experiment where 16 right-handed participants wrote down, spelled out orally object names, and drew shapes from object pictures. All writing-related areas were activated by drawing, and some of them by oral spelling, thus questioning their specialization for written production. The graphemic/motor frontal area (GMFA), a subpart of the superior premotor cortex close to Exner's area (Roux et al., 2009), was the only area with a writing-specific lateralization profile, that is, clear left lateralization during handwriting, and bilateral activity during drawing. Furthermore, the relative lateralization and levels of activation in the superior parietal cortex, ventral premotor cortex, ventral occipitotemporal cortex and right cerebellum across the three tasks brought out new evidence regarding their respective contributions to the writing processes. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. Writing Self-Efficacy and Written Communication Skills

    Science.gov (United States)

    Mascle, Deanna DeBrine

    2013-01-01

    Writing is an essential professional skill. The goal of writing instruction in business communication classes is to develop the skills and knowledge necessary to successfully meet future writing challenges. However, many writers struggle to transfer skills and knowledge from one context to another. The primary reason for this struggle is that…

  14. Computer Support for the Rhythms of Writing.

    Science.gov (United States)

    Sharples, Mike

    1994-01-01

    Suggests that writing is a rhythmic activity. Claims that the combined effect of rapidly switching between composing and revising is to set up complex cycles of engagement and reflection that may disrupt the flow of composition. Describes "Writer's Assistant," a writing environment designed to study computer support for writing processes. Proposes…

  15. Essentials of Basic Writing Pedagogy for Librarians

    Science.gov (United States)

    King, Reabeka

    2012-01-01

    There is an ongoing paradigm shift in librarianship that prompts the application of pedagogy throughout our professional practice. In light of the special attention to basic writing development in community college curricula, this article provides an overview of basic writing pedagogy. It discusses the overall college-level writing and research…

  16. Fostering Topic Knowledge: Essential for Academic Writing

    Science.gov (United States)

    Proske, Antje; Kapp, Felix

    2013-01-01

    Several researchers emphasize the role of the writer's topic knowledge for writing. In academic writing topic knowledge is often constructed by studying source texts. One possibility to support that essential phase of the writing process is to provide interactive learning questions which facilitate the construction of an adequate situation…

  17. 40 CFR 1502.8 - Writing.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 32 2010-07-01 2010-07-01 false Writing. 1502.8 Section 1502.8 Protection of Environment COUNCIL ON ENVIRONMENTAL QUALITY ENVIRONMENTAL IMPACT STATEMENT § 1502.8 Writing. Environmental impact statements shall be written in plain language and may use appropriate graphics so that...

  18. Pilot project of atomic energy technology record

    International Nuclear Information System (INIS)

    Song, K. C.; Kim, Y. I.; Kim, Y. G.

    2011-12-01

    Project of the Atomic Energy Technology Record is the project that summarizes and records in each category as a whole summary from the background to the performance at all fields of nuclear science technology which researched and developed at KAERI. This project includes Data and Document Management System(DDMS) that will be the system to collect, organize and preserve various records occurred in each research and development process. To achieve these goals, many problems should be solved to establish technology records process, such as issues about investigation status of technology records in KAERI, understanding and collection records, set-up project system and selection target field, definition standards and range of target records. This is a research report on the arrangement of research contents and results about pilot project which records whole nuclear technology researched and developed at KAERI in each category. Section 2 summarizes the overview of this pilot project and the current status of technology records in domestic and overseas, and from Section 3 to Section 6 summarize contents and results which performed in this project. Section 3 summarizes making TOC(Table of Content) and technology records, Section 4 summarizes sectoral templates, Section 5 summarizes writing detailed plan of technology records, and Section 6 summarizes Standard Document Numbering System(SDNS). Conclusions of this report are described in Section 7

  19. Evaluation of Candidate Teachers Related to the Weblog Writing Process

    Science.gov (United States)

    Çelik, Tugba; Demirgünes, Sercan

    2016-01-01

    Weblogs offer a new writing and reading environment. Most people in the education process may improve their writing skills and achieve new perspectives related to writing via weblogs. In this study the changes that weblog writing process created in undergraduates'/candidate teachers' minds regarding writing are revealed. The weblog writing process…

  20. States, Traits, and Dispositions: The Impact of Emotion on Writing Development and Writing Transfer across College Courses and Beyond

    Science.gov (United States)

    Driscoll, Dana Lynn; Powell, Roger

    2016-01-01

    Drawing from a five-year longitudinal data set following thirteen college writers through undergraduate writing and beyond, we explore the impact of students' emotions and emotional dispositions on their ability to transfer writing knowledge and on their overall writing development. Participants experienced a range of emotions concerning their…