WorldWideScience

Sample records for vapour deposited cvd

  1. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  2. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  3. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  4. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  5. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  6. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  7. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  8. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  9. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  10. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  11. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  12. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    Science.gov (United States)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.

    2017-07-01

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

  13. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  14. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  15. Long distance spin communication in chemical vapour deposited graphene

    Science.gov (United States)

    Kamalakar, M. Venkata; Groenveld, Christiaan; Dankert, André; Dash, Saroj P.

    2015-04-01

    Graphene is an ideal medium for long-distance spin communication in future spintronic technologies. So far, the prospect is limited by the smaller sizes of exfoliated graphene flakes and lower spin transport properties of large-area chemical vapour-deposited (CVD) graphene. Here we demonstrate a high spintronic performance in CVD graphene on SiO2/Si substrate at room temperature. We show pure spin transport and precession over long channel lengths extending up to 16 μm with a spin lifetime of 1.2 ns and a spin diffusion length ~6 μm at room temperature. These spin parameters are up to six times higher than previous reports and highest at room temperature for any form of pristine graphene on industrial standard SiO2/Si substrates. Our detailed investigation reinforces the observed performance in CVD graphene over wafer scale and opens up new prospects for the development of lateral spin-based memory and logic applications.

  16. Modelling and analysis of CVD processes for ceramic membrane preparation

    NARCIS (Netherlands)

    Brinkman, H.W.; Cao, G.Z.; Meijerink, J.; de Vries, Karel Jan; Burggraaf, Anthonie

    1993-01-01

    A mathematical model is presented that describes the modified chemical vapour deposition (CVD) process (which takes place in advance of the electrochemical vapour deposition (EVD) process) to deposit ZrO2 inside porous media for the preparation and modification of ceramic membranes. The isobaric

  17. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  18. Probing the Gas-Phase Dynamics of Graphene Chemical Vapour Deposition using in-situ UV Absorption Spectroscopy

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay; Mackenzie, David; Luo, Birong

    2017-01-01

    The processes governing multilayer nucleation in the chemical vapour deposition (CVD) of graphene are important for obtaining high-quality monolayer sheets, but remain poorly understood. Here we show that higher-order carbon species in the gas-phase play a major role in multilayer nucleation...

  19. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  20. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  1. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  2. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  3. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  4. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  5. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  6. The thermodynamic approach to boron chemical vapour deposition based on a computer minimization of the total Gibbs free energy

    International Nuclear Information System (INIS)

    Naslain, R.; Thebault, J.; Hagenmuller, P.; Bernard, C.

    1979-01-01

    A thermodynamic approach based on the minimization of the total Gibbs free energy of the system is used to study the chemical vapour deposition (CVD) of boron from BCl 3 -H 2 or BBr 3 -H 2 mixtures on various types of substrates (at 1000 < T< 1900 K and 1 atm). In this approach it is assumed that states close to equilibrium are reached in the boron CVD apparatus. (Auth.)

  7. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  8. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  9. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  10. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  11. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  12. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  13. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  14. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    Science.gov (United States)

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A.; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  15. Direct fabrication of a W-C SNS Josephson junction using focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Dai, Jun; Kometani, Reo; Ishihara, Sunao; Warisawa, Shin’ichi; Onomitsu, Koji; Krockenberger, Yoshiharu; Yamaguchi, Hiroshi

    2014-01-01

    A tungsten-carbide (W-C) superconductor/normal metal/superconductor (SNS) Josephson junction has been fabricated using focused-ion-beam chemical vapour deposition (FIB-CVD). Under certain process conditions, the component ratio has been tuned from W: C: Ga = 26%: 66%: 8% in the superconducting wires to W: C: Ga = 14%: 79%: 7% in the metallic junction. The critical current density at 2.5 K in the SNS Josephson junction is 1/3 of that in W-C superconducting nanowire. Also, a Fraunhofer-like oscillation of critical current in the junction with four periods is observed. FIB-CVD opens avenues for novel functional superconducting nanodevices. (paper)

  16. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  17. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  18. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  19. The effect of alkaline doped catalysts on the CVD synthesis of carbon nanotubes

    DEFF Research Database (Denmark)

    Nemeth, Krisztian; Nemeth, Zoltan; Fejes, Dora

    2011-01-01

    The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount o...... of the dopant alkali compounds varied from 1 to 5%. As prepared CNTs were characterized by transmission electron microscopy (TEM), X‐ray diffraction (XRD) and Raman microscopy. Results revealed that both carbon yield and deposit could be increased over doped catalysts.......The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount...

  20. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  1. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  2. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  3. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  4. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  5. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  6. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  7. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  8. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  9. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  10. Zinc oxide nanostructures by chemical vapour deposition as anodes for Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Laurenti, M., E-mail: marco.laurenti@iit.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Garino, N. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Porro, S.; Fontana, M. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Gerbaldi, C., E-mail: claudio.gerbaldi@polito.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy)

    2015-08-15

    Highlights: • ZnO nanostructures are grown by simple chemical vapour deposition. • Polycrystalline nanostructured porous thin film is obtained. • Film exhibits stable specific capacity (∼400 mA h g{sup −1}) after prolonged cycling. • CVD-grown ZnO nanostructures show promising prospects as Li-ion battery anode. - Abstract: ZnO nanostructures are grown by a simple chemical vapour deposition method directly on a stainless steel disc current collector and successfully tested in lithium cells. The structural/morphological characterization points out the presence of well-defined polycrystalline nanostructures having different shapes and a preferential orientation along the c-axis direction. In addition, the high active surface of the ZnO nanostructures, which accounts for a large electrode/electrolyte contact area, and the complete wetting with the electrolyte solution are considered to be responsible for the good electrical transport properties and the adequate electrochemical behaviour, as confirmed by cyclic voltammetry and galvanostatic charge/discharge cycling. Indeed, despite no binder or conducting additives are used, when galvanostatically tested in lithium cells, after an initial decay, the ZnO nanostructures can provide a rather stable specific capacity approaching 70 μA h cm{sup −2} (i.e., around 400 mA h g{sup −1}) after prolonged cycling at 1 C, with very high Coulombic efficiency and an overall capacity retention exceeding 62%.

  11. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  12. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  13. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  14. Synthesis of carbon nanostructures from high density polyethylene (HDPE) and polyethylene terephthalate (PET) waste by chemical vapour deposition

    Science.gov (United States)

    Hatta, M. N. M.; Hashim, M. S.; Hussin, R.; Aida, S.; Kamdi, Z.; Ainuddin, AR; Yunos, MZ

    2017-10-01

    In this study, carbon nanostructures were synthesized from High Density Polyethylene (HDPE) and Polyethylene terephthalate (PET) waste by single-stage chemical vapour deposition (CVD) method. In CVD, iron was used as catalyst and pyrolitic of carbon source was conducted at temperature 700, 800 and 900°C for 30 minutes. Argon gas was used as carrier gas with flow at 90 sccm. The synthesized carbon nanostructures were characterized by FESEM, EDS and calculation of carbon yield (%). FESEM micrograph shows that the carbon nanostructures were only grown as nanofilament when synthesized from PET waste. The synthesization of carbon nanostructure at 700°C was produced smooth and the smallest diameter nanofilament compared to others. The carbon yield of synthesized carbon nanostructures from PET was lower from HDPE. Furthermore, the carbon yield is recorded to increase with increasing of reaction temperature for all samples. Elemental study by EDS analysis were carried out and the formation of carbon nanostructures was confirmed after CVD process. Utilization of polymer waste to produce carbon nanostructures is beneficial to ensure that the carbon nanotechnology will be sustained in future.

  15. Expanding Thermal Plasma Chemical Vapour Deposition of ZnO:Al Layers for CIGS Solar Cells

    Directory of Open Access Journals (Sweden)

    K. Sharma

    2014-01-01

    Full Text Available Aluminium-doped zinc oxide (ZnO:Al grown by expanding thermal plasma chemical vapour deposition (ETP-CVD has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing ZnO:Al on CIGS solar cell stacks, one should be aware that high substrate temperature processing (i.e., >200°C can damage the crucial underlying layers/interfaces (such as CIGS/CdS and CdS/i-ZnO. In this paper, the potential of adopting ETP-CVD ZnO:Al in CIGS solar cells is assessed: the effect of substrate temperature during film deposition on both the electrical properties of the ZnO:Al and the eventual performance of the CIGS solar cells was investigated. For ZnO:Al films grown using the high thermal budget (HTB condition, lower resistivities, ρ, were achievable (~5 × 10−4 Ω·cm than those grown using the low thermal budget (LTB conditions (~2 × 10−3 Ω·cm, whereas higher CIGS conversion efficiencies were obtained for the LTB condition (up to 10.9% than for the HTB condition (up to 9.0%. Whereas such temperature-dependence of CIGS device parameters has previously been linked with chemical migration between individual layers, we demonstrate that in this case it is primarily attributed to the prevalence of shunt currents.

  16. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  17. Optimization of CVD parameters for long ZnO NWs grown on ITO

    Indian Academy of Sciences (India)

    The optimization of chemical vapour deposition (CVD) parameters for long and vertically aligned (VA) ZnO nanowires (NWs) were investigated. Typical ZnO NWs as a single crystal grown on indium tin oxide (ITO)-coated glass substrate were successfully synthesized. First, the conducted side of ITO–glass substrate was ...

  18. Preparation and physical properties of vapour-deposited carbon-carbon composites

    International Nuclear Information System (INIS)

    Loll, Philippe

    1976-01-01

    In its first part, this research thesis reports a bibliographical study on methods of preparation of various types of vapour-deposited (CVD) carbons, and the author notices that only structure and texture properties of these macroscopically homogeneous pyro-carbons have been studied in detail. For a better understanding of the behaviour of carbon-carbon composites, this thesis thus reports the study of the relationships between physical properties, macroscopic texture and microscopic structure. A densification installation and methods of characterisation have been developed. The fabrication process and its installation are presented (oven with its temperature and gas rate controls, study of its thermal gradient, substrate, heat treatments), and the study and characterisation of carbon-carbon composites are reported: structure and texture properties (studied by optic and scanning electronic microscopy, density measurements, and X-ray diffraction), physical properties (electronic paramagnetic resonance, static magnetism, electric and thermal conductivity). In the last part, the author comments and discusses the obtained results: conditions of preparation, existence, physical properties of the different observed microstructures [fr

  19. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  20. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  1. Computation of flow and thermal fields in a model CVD reactor

    Indian Academy of Sciences (India)

    Mixing of coaxial jets within a tube in the presence of blockage has been numerically studied. This configuration is encountered during the modelling of flow and heat transfer in CVD (chemical vapour deposition) reactors. For the conditions prevailing in the reactor, the Reynolds numbers are low and flow can be taken to be ...

  2. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  3. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  4. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  5. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  6. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  7. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  8. Electrografting and morphological studies of chemical vapour deposition grown graphene sheets modified by electroreduction of aryldiazonium salts

    International Nuclear Information System (INIS)

    Mooste, Marek; Kibena, Elo; Kozlova, Jekaterina; Marandi, Margus; Matisen, Leonard; Niilisk, Ahti; Sammelselg, Väino; Tammeveski, Kaido

    2015-01-01

    Highlights: • CVD-grown graphene sheets were electrografted with various aryldiazonium salts • Redox grafting was applied to form thick nitrophenyl films • The reduction of the released radicals was in evidence during the redox grafting • Multilayer formation on CVD graphene was confirmed by XPS and AFM measurements • Thickness of different aryl layers on CVD graphene varied from few to 30 nm - Abstract: This work focuses on investigating the electrografting of chemical vapour deposition (CVD) graphene electrodes grown onto Ni foil (Ni/Gra) with different diazonium salts (including azobenzene diazonium tetrafluoroborate, Fast Garnet GBC sulphate salt, Fast Black K salt, 4-bromobenzene diazonium tetrafluoroborate and 4-nitrobenzenediazonium tetrafluoroborate). Various grafting conditions (e.g. “normal” electrografting in the narrow potential range and redox grafting in the wider potential range) were used. The electrochemical grafting behaviour was similar for all diazonium compounds used, except for the 4-nitrobenzenediazonium tetrafluoroborate when redox grafting was applied. The X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy results confirmed the presence of the corresponding aryl layers on Ni/Gra surfaces. The formation of multilayers on Ni/Gra substrates was in evidence since the thickness of different aryl layers varied from few to 30 nm depending on the modification procedures as well as the diazonium compounds used and the XPS analysis revealed a peak at about 400 eV for all aryl-modified Ni/Gra samples suggesting the multilayer formation also through azo linkages

  9. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  10. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  11. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  12. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  13. Preparación de tamices moleculares de carbono por CVD

    OpenAIRE

    Manso, R.; Pajares, J. A.; Albiniak, A.; Broniek, E.; Siemieniewska, T.

    2001-01-01

    Carbon molecular sieves (CMS) have been prepared by chemical vapour deposition (CVD) of carbon from the pyrolysis of benzene molecules on activated carbon surfaces. The pyrolysis of benzene at temperatures in the range 650-850 ºC restricts the accessibility of the micropores due to the creation of constrictions on the microporous network. Temperatures higher than 850 ºC (temperature of carbonisation) add difficulties due to decomposition and sinterization processes. Low flows of nitrogen (30 ...

  14. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  15. Effect of mixture ratios and nitrogen carrier gas flow rates on the morphology of carbon nanotube structures grown by CVD

    CSIR Research Space (South Africa)

    Malgas, GF

    2008-02-01

    Full Text Available This paper reports on the growth of carbon nanotubes (CNTs) by thermal Chemical Vapour Deposition (CVD) and investigates the effects of nitrogen carrier gas flow rates and mixture ratios on the morphology of CNTs on a silicon substrate by vaporizing...

  16. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  17. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  18. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  19. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  20. Microstructural development in physical vapour-deposited partially stabilized zirconia thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Sohn, Y. H. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Biederman, R.R. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Sisson, R.D. Jr. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States))

    1994-10-01

    The effects of processing parameters of physical vapour deposition on the microstructure of partially stabilized zirconia (PSZ) thermal barrier coatings have been experimentally investigated. Emphasis has been placed on the crystallographic texture of the PSZ coatings and the microstructure of the top surface of the PSZ coatings as well as the metal-ceramic interface. The variations in the deposition chamber temperature, substrate thickness, substrate rotation and vapour incidence angle resulted in the observation of significant differences in the crystallographic texture and microstructure of the PSZ coatings. ((orig.))

  1. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  2. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  3. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  4. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  5. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  6. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented.

  7. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    International Nuclear Information System (INIS)

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented

  8. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  9. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  10. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  11. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  12. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  13. Installation for vacuum vapour deposition of nickel, more particularly for manufacturing neutron guides

    International Nuclear Information System (INIS)

    Samuel, F.

    1986-01-01

    The present invention proposes an installation for vacuum vapour deposition of Ni of the type including in a vacuum chamber a device for heating a mass of at least one Ni isotope to be evaporated, and a surface to be covered with deposited Ni facing the heater, is claimed, in which the heater includes a ribbon of W conformed in a middle part into a container in which is placed a refractory crucible in which is placed the Ni to be evaporated, and adapted to be connected at two terminal zones to an electrical circuit. The crucible is Al203. The invention finds an application in neutron guide fabrication, more particularly for Ni58 vapour deposition on the surfaces of the neutron guide [fr

  14. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  15. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  16. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  17. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  18. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  19. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition; Sistema RTP: uma tecnica poderosa para o monitoramento da formacao de nanotubos de carbono durante o processo por deposicao de vapor quimico

    Energy Technology Data Exchange (ETDEWEB)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero, E-mail: rochel@ufmg.b [Universidade Federal de Minas Gerais (DQ/UFMG), Belo Horizonte, MG (Brazil). Dept. de Quimica; Sapag, Karim [Universidade Nacional de San Luis (Argentina). Lab. de Ciencias de Superficies y Medios Porosos

    2010-07-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al{sub 2}O{sub 3} and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  20. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  1. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  2. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  3. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  4. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  5. Mechanical characteristics of ultra-long horizontal nanocantilevers grown by real-time feedback control on focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Guo, Dengji; Warisawa, Shin’ichi; Ishihara, Sunao; Kometani, Reo

    2015-01-01

    Focused-ion-beam chemical vapour deposition (FIB-CVD) has been repeatedly proved to be a useful tool for the growth of three-dimensional (3D) micro- and nano-structures. The strategy of real-time feedback control on FIB-CVD was previously proposed and experimentally demonstrated to be effective for growing ultra-long horizontal nanocantilevers. To fabricate various nanoelectromechanical systems that consist of such types of nanocantilever structures, the mechanical characteristics of ultra-long horizontal nanocantilevers should be investigated. In this study, nanocantilevers with an overhang length of up to 35 μm were grown by using a 30 kV Ga + FIB, a beam current of 0.50 pA and phenanthrene (C 14 H 10 ) as the gas source to deposit a diamond-like carbon structure. The Young’s modulus of each nanocantilever was measured by bending the nanocantilever with a nanopillar whose Young’s modulus was known. The average density of each nanocantilever was calculated from the Young’s modulus and the measured resonant frequency. We found that the mechanical characteristics of each nanocantilever depended on the length of the nanocantilever if the strategy of real-time feedback control was applied in fabrication. The Young’s moduli and the averaged densities of the nanocantilevers with a length of 11 to 34 μm were found to be 86 to 254 GPa and 1950 to 5750 kg m −3 , respectively. With the increase of the overhang length, the Young’s modulus and the average density were found to gradually increase. (paper)

  6. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  7. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  8. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  9. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  10. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  11. Fabrication of nanostructured clay-carbon nanotube hybrid nanofiller by chemical vapour deposition

    International Nuclear Information System (INIS)

    Manikandan, Dhanagopal; Mangalaraja, Ramalinga Viswanathan; Siddheswaran, Rajendran; Avila, Ricardo E.; Ananthakumar, Solaiappan

    2012-01-01

    Growth of multiwalled carbon nanotube (CNT) assemblies by chemical vapour decomposition (CVD) technique was achieved through decomposition of acetylene using iron impregnated montmorillonite (MM) catalysts. Various amounts of iron loaded montmorillonite catalysts were prepared by wet impregnation method and calcined at 450 °C. The catalysts were subjected to X-ray diffraction (XRD) and surface area analyses. Acetylene decomposition at a feed ratio of N 2 :H 2 :C 2 H 2 = 1:1:0.18 was conducted in the presence of iron impregnated montmorillonite catalysts in the CVD reactor for the growth of CNT structures. The role of Fe-activated clay catalyst on the formation of CNT structures has been systematically examined at various temperatures and correlated with the morphological features of CNTs. Catalyst assisted acetylene decomposition results the formation of different carbon nanostructures such as nanotubes, nanofibres and nanoflakes. These clay-CNT products were characterised for their morphological, thermal, qualitative and quantitative analyses. The morphological variations of CNT assemblies reveal Fe-montmorillonite catalysts have high selectivity at given reaction conditions. Thermogravimetric and Raman spectral analyses prove that the CNTs contain a good crystallanity and less structural defects.

  12. Fabrication of nanostructured clay-carbon nanotube hybrid nanofiller by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Manikandan, Dhanagopal, E-mail: dmani_cat@yahoo.co.in [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Mangalaraja, Ramalinga Viswanathan, E-mail: mangal@udec.cl [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Siddheswaran, Rajendran [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Avila, Ricardo E. [Personal Dosimetry Section, Chilean Nuclear Energy Commission, Santiago (Chile); Ananthakumar, Solaiappan [Materials and Minerals Division, National Institute for Interdisciplinary Science and Technology (NIIST), Trivandrum, Kerala (India)

    2012-03-01

    Growth of multiwalled carbon nanotube (CNT) assemblies by chemical vapour decomposition (CVD) technique was achieved through decomposition of acetylene using iron impregnated montmorillonite (MM) catalysts. Various amounts of iron loaded montmorillonite catalysts were prepared by wet impregnation method and calcined at 450 Degree-Sign C. The catalysts were subjected to X-ray diffraction (XRD) and surface area analyses. Acetylene decomposition at a feed ratio of N{sub 2}:H{sub 2}:C{sub 2}H{sub 2} = 1:1:0.18 was conducted in the presence of iron impregnated montmorillonite catalysts in the CVD reactor for the growth of CNT structures. The role of Fe-activated clay catalyst on the formation of CNT structures has been systematically examined at various temperatures and correlated with the morphological features of CNTs. Catalyst assisted acetylene decomposition results the formation of different carbon nanostructures such as nanotubes, nanofibres and nanoflakes. These clay-CNT products were characterised for their morphological, thermal, qualitative and quantitative analyses. The morphological variations of CNT assemblies reveal Fe-montmorillonite catalysts have high selectivity at given reaction conditions. Thermogravimetric and Raman spectral analyses prove that the CNTs contain a good crystallanity and less structural defects.

  13. Development of a new process for deposition of metallic vapours and ions

    International Nuclear Information System (INIS)

    Gabrielli, O. de.

    1989-01-01

    Surface treatment processes by deposition, enabling surface properties to be altered without altering the volume, are making rapid progress in industry. The description of these processes has led us to consider the role and the importance of methods using plasmas. The new plasma source we have developed is the subject of this experimental research: it is the basis of the deposition process (metallic ion and vapour deposition). The specifications and preliminary results enable us to compare this process with others in use. Fast deposition rates and excellent adhesion are the two main characteristics of this process [fr

  14. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  15. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  16. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    Science.gov (United States)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  17. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  18. Influence of tungsten on the carbon nanotubes growth by CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Escobar, Mariano [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina); LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina)], E-mail: mescobar@qi.fcen.uba.ar; Rubiolo, Gerardo H. [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Unidad de Actividad Materiales, CNEA, Av. Gral. Paz 1499, San Martin (1650), Bs As (Argentina); Moreno, M. Sergio [Centro Atomico Bariloche, (8400) S.C. de Bariloche, Rio Negro (Argentina); Goyanes, Silvia [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Candal, Roberto [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina)

    2009-06-24

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO{sub 2} up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  19. Influence of tungsten on the carbon nanotubes growth by CVD process

    International Nuclear Information System (INIS)

    Escobar, Mariano; Rubiolo, Gerardo H.; Moreno, M. Sergio; Goyanes, Silvia; Candal, Roberto

    2009-01-01

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO 2 up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  20. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  1. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  2. Sistema RTP: uma técnica poderosa para o monitoramento da formação de nanotubos de carbono durante o processo por deposição de vapor químico TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    Juliana Cristina Tristão

    2010-01-01

    Full Text Available In this work, a TPR (Temperature Programmed Reduction system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition, The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al2O3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields.

  3. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  4. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  5. Selective tungsten deposition in a batch cold wall CVD system

    International Nuclear Information System (INIS)

    Chow, R.; Kang, S.; Harshbarger, W.R.; Susoeff, M.

    1987-01-01

    Selective deposition of tungsten offers many advantages for VLSI technology. The process can be used as a planarization technique for multilevel interconnect technology, it can be used to fill contacts and to provide a barrier layer between Al and Si materials, and the selective W process might be used as a self-aligned technology to provide low resistance layers on source/drain and gate conductors. Recent publications have indicate that cold wall CVD systems provide advantages for development of selective W process. Genus has investigated selective W deposition processing, and we have developed a selective W deposition process for the Genus 8402 multifilm deposition system. This paper describes the Genus 8402 system and the selective W process developed in this reactor. To further develop selective W technology, Genus has signed an agreement with General Electric establishing a joint development program. As a part of this program, the authors characterized the selective W process for encroachment, Si consumption and degrees of selectivity on various dielectrics. The status of this development activity and process characterization is reviewed in this paper

  6. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  7. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  8. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  9. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  10. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  11. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  12. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  13. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  14. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  15. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  16. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  17. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  18. Direct synthesis of solid and hollow carbon nanospheres over NaCl crystals using acetylene by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chandra Kishore, S.; Anandhakumar, S.; Sasidharan, M., E-mail: sasidharan.m@res.srmuniv.ac.in

    2017-04-01

    Highlights: • Hollow and solid carbon nanospheres were synthesized by CVD method. • NaCl was used as template for direct growth of carbon nanospheres. • Separation of NaCl from the mixture is made easy by dissolving in water. • The hollow carbon nanospheres exhibit high specific capacity in Li-ion batteries than the graphite anodes. - Abstract: Carbon nanospheres (CNS) with hollow and solid morphologies have been synthesised by a simple chemical vapour deposition method using acetylene as a carbon precursor. Sodium chloride (NaCl) powder as a template was used for the direct growth of CNS via facile and low-cost approach. The effect of various temperatures (500 °C, 600 °C and 700 °C) and acetylene flow rates were investigated to study the structural evolution on the carbon products. The purified CNS thus obtained was characterized by various physicochemical techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and cyclicvoltametry. The synthesised hollow nanospheres were investigated as anode materials for Li-ion batteries. After 25 cycles of repeated charge/discharge cycles, the discharge and charge capacities were found to be 574 mAh/g and 570 mAh/g, respectively which are significantly higher than the commercial graphite samples.

  19. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  20. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  1. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  2. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  3. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  4. Chemical vapour deposition of silicon under reduced pressure in a hot-wall reactor: Equilibrium and kinetics

    International Nuclear Information System (INIS)

    Langlais, F.; Hottier, F.; Cadoret, R.

    1982-01-01

    Silicon chemical vapour deposition (SiH 2 Cl 2 /H 2 system), under reduced pressure conditions, in a hot-wall reactor, is presented. The vapour phase composition is assessed by evaluating two distinct equilibria. The homogeneous equilibrium , which assumes that the vapour phase is not in equilibrium with solid silicon, is thought to give an adequate description of the vapour phase in the case of low pressure, high gas velocities, good temperature homogeneity conditions. A comparison with heterogeneous equilibrium enables us to calculate the supersaturation so evidencing a highly irreversible growth system. The experimental determination of the growth rates reveals two distinct temperature ranges: below 1000 0 C, polycrystalline films are usually obtained with a thermally activated growth rate (+40 kcal mole -1 ) and a reaction order, with respect to the predominant species SiCl 2 , close to one; above 1000 0 C, the films are always monocrystalline and their growth rate exhibits a much lower or even negative activation energy, the reaction order in SiCl 2 remaining about one. (orig.)

  5. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  6. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  7. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  8. The Chemical Vapour Deposition of Tantalum - in long narrow channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki

    protective layers of tantalum because of the process’ ability to coat complex geometries and its relative ease to control. This work focuses on studying the CVD of tantalum in long narrow channels with the view that the knowledge gained during the project can be used to optimise the commercial coating...... and that there is a major change in morphology between 850 – 900 °C. The effects of system pressure and precursor partial pressure are also studied, and were found to have relevance to the tantalum distribution along the substrates but little effect on the structural morphology of the deposited layer. In the implemented...

  9. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  10. Effects of the ion-solid interaction in glow discharge vapour deposition polymerization of pyromellitic dianhydride

    International Nuclear Information System (INIS)

    Maggioni, G.; Carturan, S.; Rigato, V.; Pieri, U.

    2000-01-01

    Low energy He ion bombardment of pyromellitic dianhydride monomer used in glow discharge vapour deposition polymerization (GDVDP) of polyimide coatings and its effects on the film deposition process have been studied. The sublimation of the monomer molecules and the simultaneous formation of a damaged, carbon-rich surface layer on the target are discussed from a theoretical point of view based on simulations of the ion-solid interaction. Optical emission and mass spectrometry have been used to analyse the species emitted from the target. In order to study the time evolution of the PMDA target damage, the deposition rate of monomer molecules has been monitored. FT-IR spectroscopy has been used to determine the molecular damaging of the target monomer and deposited films

  11. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  12. Deposition uniformity, particle nucleation and the optimum conditions for CVD in multi-wafer furnaces

    Energy Technology Data Exchange (ETDEWEB)

    Griffiths, S.K.; Nilson, R.H.

    1996-06-01

    A second-order perturbation solution describing the radial transport of a reactive species and concurrent deposition on wafer surfaces is derived for use in optimizing CVD process conditions. The result is applicable to a variety of deposition reactions and accounts for both diffusive and advective transport, as well as both ordinary and Knudsen diffusion. Based on the first-order approximation, the deposition rate is maximized subject to a constraint on the radial uniformity of the deposition rate. For a fixed reactant mole fraction, the optimum pressure and optimum temperature are obtained using the method of Lagrange multipliers. This yields a weak one-sided maximum; deposition rates fall as pressures are reduced but remain nearly constant at all pressures above the optimum value. The deposition rate is also maximized subject to dual constraints on the uniformity and particle nucleation rate. In this case, the optimum pressure, optimum temperature and optimum reactant fraction are similarly obtained, and the resulting maximum deposition rate is well defined. These results are also applicable to CVI processes used in composites manufacturing.

  13. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  14. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  15. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  16. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  17. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  18. The exchange reaction between deuterium and water vapour on platinum deposited over a hydrophobic support

    International Nuclear Information System (INIS)

    Itsuo, Iida; Junko, Kato; Kenzi, Tamuru

    1977-01-01

    Isotope exchange reaction between deuterium gas and water vapour at room temperature and below on platinum deposited on hydrophobic supports such as polytetrafluoroethylene (PTFE) or Porapak Q (copolymer of styrene and divinylbenzene) was studied and the results were compared with those of the exchange reaction on platinum over hydrophilic support such as alumina. It was demonstrated that the exchange reaction at temperatures below the boiling point of water is markedly retarded by the multilayer adsorption of water over the platinum catalyst deposited on hydrophilic support, whereas the platinum catalyst on hydrophobic support exhibited a high catalytic activity, being not retarded by the water, forming no multilayer of adsorbed water over platinum surface. Therefore in the case of the hydrogen isotope exchange reaction on platinum over hydrophobic support, the chemical exchange rate can be measured even under a saturated vapour pressure of water. The surface area of platinum was estimated by hydrogen chemisorption and hydrogen titration and specific activities of the catalyst were calculated at both room temperature and freezing point of water, which revealed that the specific rate of this reaction does not differ so much over various supports. (orig.) [de

  19. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  20. Influence of composition and structure on the mechanical properties of BCN coatings deposited by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Stoeckel, S.; Weise, K.; Dietrich, D.; Thamm, T.; Braun, M.; Cremer, R.; Neuschuetz, D.; Marx, G

    2002-12-02

    BCN films were deposited by isothermal chemical vapour deposition from gaseous mixtures of trimethylborazine, toluene and ammonia. The films were analysed with respect to chemical state, composition, morphology and microstructure on the one side oxidation behaviour and hardness on the other side. X-ray spectroscopy (WDX), Raman and infrared spectroscopy, differential thermal analysis, X-ray diffraction and transmission electron spectroscopy were employed for film characterization. A microhardness of maximum 20 GPa was achieved, affected by carbon content, by the way of its incorporation into the hexagonal turbostratic lattice as well as by the crystallite size and its texture.

  1. Influence of composition and structure on the mechanical properties of BCN coatings deposited by thermal CVD

    International Nuclear Information System (INIS)

    Stoeckel, S.; Weise, K.; Dietrich, D.; Thamm, T.; Braun, M.; Cremer, R.; Neuschuetz, D.; Marx, G.

    2002-01-01

    BCN films were deposited by isothermal chemical vapour deposition from gaseous mixtures of trimethylborazine, toluene and ammonia. The films were analysed with respect to chemical state, composition, morphology and microstructure on the one side oxidation behaviour and hardness on the other side. X-ray spectroscopy (WDX), Raman and infrared spectroscopy, differential thermal analysis, X-ray diffraction and transmission electron spectroscopy were employed for film characterization. A microhardness of maximum 20 GPa was achieved, affected by carbon content, by the way of its incorporation into the hexagonal turbostratic lattice as well as by the crystallite size and its texture

  2. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Yongzhong [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Chen, Jian, E-mail: wuhangzs@163.com [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Fu, Qingshan [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Li, Binghong [China Rubber Group Carbon Black Industry Research and Design Institute, 643000 Zigong, Sichuan (China); Zhang, Huazhi; Gong, Yong [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China)

    2015-01-01

    Graphical abstract: - Highlights: • HCNFs were synthesized by one-step CVD using cupric tartrate as a catalyst at temperature below 500 °C. • The synthesis of HCNFs is highly temperature-dependent at the synthesis temperature of 280–480 °C. • The addition of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system. - Abstract: Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry–differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C{sub 4}H{sub 4}CuO{sub 6} → Cu reaction occurs at ∼250–310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100–400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5–1 μm and fiber diameter of 100–200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  3. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    International Nuclear Information System (INIS)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Graphical abstract: - Highlights: • HCNFs were synthesized by one-step CVD using cupric tartrate as a catalyst at temperature below 500 °C. • The synthesis of HCNFs is highly temperature-dependent at the synthesis temperature of 280–480 °C. • The addition of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system. - Abstract: Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry–differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C 4 H 4 CuO 6 → Cu reaction occurs at ∼250–310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100–400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5–1 μm and fiber diameter of 100–200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system

  4. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  5. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  6. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  7. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  8. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  9. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  10. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  11. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  12. Vapour trap development and operational experience

    International Nuclear Information System (INIS)

    Jansing, W.; Kirchner, G.; Menck, J.

    1977-01-01

    Sodium aerosols have the unpleasant characteristic that they deposit at places with low temperature level. This effect can be utilized when sodium aerosols are to be trapped at places which are determined beforehand. Thus vapour traps were developed which can filter sodium vapour from the cover gas. By this means the necessity was eliminated to heat all gas lines and gas systems with trace heaters just as all sodium lines are heated. It was of special interest for the INTERATOM to develop vapour traps which must not be changed or cleaned after a certain limited operating period. The vapour traps were supposed to enable maintenance free operation, i.e. they were to operate 'self cleaning'

  13. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  14. A Fast CVD Diamond Beam Loss Monitor for LHC

    CERN Document Server

    Griesmayer, E; Dobos, D; Effinger, E; Pernegger, H

    2011-01-01

    Chemical Vapour Deposition (CVD) diamond detectors were installed in the collimation area of the CERN LHC to study their feasibility as Fast Beam Loss Monitors in a high-radiation environment. The detectors were configured with a fast, radiation-hard pre-amplifier with a bandwidth of 2 GHz. The readout was via an oscilloscope with a bandwidth of 1 GHz and a sampling rate of 5 GSPS. Despite the 250 m cable run from the detectors to the oscilloscope, single MIPs were resolved with a 2 ns rise time, a pulse width of 10 ns and a time resolution of less than 1 ns. Two modes of operation were applied. For the analysis of unexpected beam aborts, the loss profile was recorded in a 1 ms buffer and, for nominal operation, the histogram of the time structure of the losses was recorded in synchronism with the LHC period of 89.2 μs. Measurements during the LHC start-up (February to December 2010) are presented. The Diamond Monitors gave an unprecedented insight into the time structure of the beam losses resolving the 400...

  15. Chemical vapour deposition of freestanding sub-60 nm graphene gyroids

    Science.gov (United States)

    Cebo, Tomasz; Aria, Adrianus I.; Dolan, James A.; Weatherup, Robert S.; Nakanishi, Kenichi; Kidambi, Piran R.; Divitini, Giorgio; Ducati, Caterina; Steiner, Ullrich; Hofmann, Stephan

    2017-12-01

    The direct chemical vapour deposition of freestanding graphene gyroids with controlled sub-60 nm unit cell sizes is demonstrated. Three-dimensional (3D) nickel templates were fabricated through electrodeposition into a selectively voided triblock terpolymer. The high temperature instability of sub-micron unit cell structures was effectively addressed through the early introduction of the carbon precursor, which stabilizes the metallized gyroidal templates. The as-grown graphene gyroids are self-supporting and can be transferred onto a variety of substrates. Furthermore, they represent the smallest free standing periodic graphene 3D structures yet produced with a pore size of tens of nm, as analysed by electron microscopy and optical spectroscopy. We discuss generality of our methodology for the synthesis of other types of nanoscale, 3D graphene assemblies, and the transferability of this approach to other 2D materials.

  16. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  17. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  18. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  19. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  20. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  1. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  2. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  3. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  4. The practical use and application of Monte-Carlo studies in physical vapour deposition technology

    International Nuclear Information System (INIS)

    Elsing, R.

    1991-01-01

    Most of the theory of physical vapour deposition processes has been well researched. There has, however, been little attempt to exploit the theoretical results of this research for practical development of these technologies. This paper develops a computer model for the magnetron sputter ion plating process, in which known physical laws are combined with geometry models of the kind used in computer-aided design technology. The model enables deposition rates and incidence angle distributions to be described for any desired substrate geometry and configuration, taking into account the dominant process parameters. Deposition rates and incidence angle distributions are computed for various film materials and process parameters and are compared with measured values, indicating excellent agreement. A final example shows the dependence of film thickness distribution at the bottom of a slot on a notched component on the geometrical nature of the workpiece itself and on its positioning in the process space. (orig.)

  5. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    Science.gov (United States)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-02-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H.

  6. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    International Nuclear Information System (INIS)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-01-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H 2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H

  7. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  8. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  9. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  10. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  11. Aluminum-silicon co-deposition by FB-CVD on austenitic stainless steel AISI 316

    International Nuclear Information System (INIS)

    Marulanda, J L; Perez, F J; Remolina-Millán, A

    2013-01-01

    Aluminum-silicon coatings were deposited on stainless steel AISI 316 in the temperature range of 540 to 560°C by CVD-FBR. It was used a fluidized bed with 2.5% silicon and 7.5% aluminum powder and 90% inert (alumina). This bed was fluidized with Ar and as an activator a mixture of HCl/H2 in ratios of 1/10 to 1/16. Furthermore, the deposition time of the coatings was varied between 45 minutes to 1.5 hours, with a 50% active gas, neutral gases 50%. Thermodynamic simulation was conducted with the Thermocalc software to get the possible compositions and amount of material deposited for the chosen conditions. The coatings presented the follow compounds FeAl 2 Si, FeAl 2 and Fe 2 Al 5 . Aluminum-silicon coatings were heat treated to improve its mechanical properties and its behavior against oxidation for the inter diffusion of the alloying elements. The heat treatment causes the aluminum diffuse into the substrate and the iron diffuse into coating surface. This leads to the transformation of the above compounds in FeAl, Al 2 FeSi, Cr 3 Si, AlFeNi and AlCrFe

  12. Modelling water vapour permeability through atomic layer deposition coated photovoltaic barrier defects

    Energy Technology Data Exchange (ETDEWEB)

    Elrawemi, Mohamed, E-mail: Mohamed.elrawemi@hud.ac.uk [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Blunt, Liam; Fleming, Leigh [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Bird, David, E-mail: David.Bird@uk-cpi.com [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Robbins, David [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Sweeney, Francis [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom)

    2014-11-03

    Transparent barrier films such as Al{sub 2}O{sub 3} used for prevention of oxygen and/or water vapour permeation are the subject of increasing research interest when used for the encapsulation of flexible photovoltaic modules. However, the existence of micro-scale defects in the barrier surface topography has been shown to have the potential to facilitate water vapour ingress, thereby reducing cell efficiency and causing internal electrical shorts. Previous work has shown that small defects (≤ 3 μm lateral dimension) were less significant in determining water vapour ingress. In contrast, larger defects (≥ 3 μm lateral dimension) seem to be more detrimental to the barrier functionality. Experimental results based on surface topography segmentation analysis and a model presented in this paper will be used to test the hypothesis that the major contributing defects to water vapour transmission rate are small numbers of large defects. The model highlighted in this study has the potential to be used for gaining a better understanding of photovoltaic module efficiency and performance. - Highlights: • A model of water vapour permeation through barrier defects is presented. • The effect of the defects on the water vapour permeability is investigated. • Defect density correlates with water vapour permeability. • Large defects may dominate the permeation properties of the barrier film.

  13. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  14. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    International Nuclear Information System (INIS)

    Boncel, Slawomir; Koziol, Krzysztof K.K.

    2014-01-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I D /I G ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs

  15. Crystalline gamma-Al2O3 physical vapour deposition-coating for steel thixoforging tools.

    Science.gov (United States)

    Bobzin, K; Hirt, G; Bagcivan, N; Khizhnyakova, L; Ewering, M

    2011-10-01

    The process of thixoforming, which has been part of many researches during the last decades, combines the advantages of forging and casting for the shaping of metallic components. But due to the high temperatures of semi-solid steel alloys high demands on the tools are requested. To resists the thermal and mechanical loads (wear, friction, thermal and thermomechanical fatigue) protecting thin films are necessary. In this regard crystalline gamma-Al2O3 deposited via Physical Vapour Deposition (PVD) is a promising candidate: It exhibits high thermal stability, high oxidation resistance and high hot hardness. In the present work the application of a (Ti, Al)N/gamma-Al2O3 coating deposited by means of Magnetron Sputter Ion Plating in an industrial coating unit is presented. The coating was analysed by means of Rockwell test, nanoindentation, and Scanning Electron Microscopy (SEM). The coated tool was tested in thixoforging experiments with steel grade X210CrW12 (AlSI D6). The surface of the coated dies was examined with Scanning Electron Microscope (SEM) after 22, 42, 90 and 170 forging cycles.

  16. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  17. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  18. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  19. Nanoparticulate cerium dioxide and cerium dioxide-titanium dioxide composite thin films on glass by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Qureshi, Uzma; Dunnill, Charles W.; Parkin, Ivan P.

    2009-01-01

    Two series of composite thin films were deposited on glass by aerosol assisted chemical vapour deposition (AACVD)-nanoparticulate cerium dioxide and nanoparticulate cerium dioxide embedded in a titanium dioxide matrix. The films were analysed by a range of techniques including UV-visible absorption spectroscopy, X-ray diffraction, scanning electron microscopy and energy dispersive analysis by X-rays. The AACVD prepared films showed the functional properties of photocatalysis and super-hydrophilicity. The CeO 2 nanoparticle thin films displaying photocatalysis and photo-induced hydrophilicity almost comparable to that of anatase titania.

  20. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  1. Atmospheric pressure chemical vapour deposition of vanadium arsenide thin films via the reaction of VCl4 or VOCl3 with tBuAsH2

    International Nuclear Information System (INIS)

    Thomas, Tegan; Blackman, Christopher S.; Parkin, Ivan P.; Carmalt, Claire J.

    2013-01-01

    Thin films of vanadium arsenide were deposited via the dual-source atmospheric pressure chemical vapour deposition reactions of VCl 4 or VOCl 3 with t BuAsH 2 . Using the vanadium precursor VCl 4 , films were deposited at substrate temperatures of 550–600 °C, which were black-gold in appearance and were found to be metal-rich with high levels of chlorine incorporation. The use of VOCl 3 as the vanadium source resulted in films being deposited between 450 and 600 °C and, unlike when using VCl 4 , were silver in appearance. The films deposited using VOCl 3 demonstrated vanadium to arsenic ratios close to 1:1, and negligible chlorine incorporation. Films deposited using either vanadium precursor were identified as VAs using powder X-ray diffraction and possessed borderline metallic/semiconductor resistivities. - Highlights: • Formation of VAs films via atmospheric pressure chemical vapour deposition. • Films formed using VCl 4 or VOCl 3 and t BuAsH 2 . • Powder X-ray diffraction showed that crystalline VAs films were deposited. • Films from VOCl 3 had a V:As ratio close to 1 with negligible Cl incorporation. • Films were silver and possessed borderline metallic/semiconductor resistivities

  2. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  3. Synthesis and characterization of Bi.sub.1-x./sub.Nd.sub.x./sub.FeO.sub.3./sub. thin films deposited using a high throughput physical vapour deposition technique

    Czech Academy of Sciences Publication Activity Database

    Darby, M.S.B.; Karpinsky, D.V.; Pokorný, Jan; Guerin, D.; Kholkin, A. L.; Miao, S.; Haydn, B.E.; Reaney, I. M.

    2013-01-01

    Roč. 531, MAR (2013), s. 56-60 ISSN 0040-6090 Institutional support: RVO:68378271 Keywords : bismuth ferrite * piezoelectric * neodymium doped * high throughput * combinatorial * physical vapour deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.867, year: 2013 http://www.sciencedirect.com/science/article/pii/S004060901201646X

  4. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  5. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  6. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  7. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    Energy Technology Data Exchange (ETDEWEB)

    Boncel, Slawomir, E-mail: slawomir.boncel@polsl.pl [Department of Organic Chemistry, Biochemistry and Biotechnology, Silesian University of Technology, Krzywoustego 4, 44-100 Gliwice (Poland); Koziol, Krzysztof K.K., E-mail: kk292@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, CB3 0FS Cambridge (United Kingdom)

    2014-05-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I{sub D}/I{sub G} ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs.

  8. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  9. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  10. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  11. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  12. CVD transfer-free graphene for sensing applications.

    Science.gov (United States)

    Schiattarella, Chiara; Vollebregt, Sten; Polichetti, Tiziana; Alfano, Brigida; Massera, Ettore; Miglietta, Maria Lucia; Di Francia, Girolamo; Sarro, Pasqualina Maria

    2017-01-01

    The sp 2 carbon-based allotropes have been extensively exploited for the realization of gas sensors in the recent years because of their high conductivity and large specific surface area. A study on graphene that was synthetized by means of a novel transfer-free fabrication approach and is employed as sensing material is herein presented. Multilayer graphene was deposited by chemical vapour deposition (CVD) mediated by CMOS-compatible Mo. The utilized technique takes advantage of the absence of damage or contamination of the synthesized graphene, because there is no need for the transfer onto a substrate. Moreover, a proper pre-patterning of the Mo catalyst allows one to obtain graphene films with different shapes and dimensions. The sensing properties of the material have been investigated by exposing the devices to NO 2 , NH 3 and CO, which have been selected because they are well-known hazardous substances. The concentration ranges have been chosen according to the conventional monitoring of these gases. The measurements have been carried out in humid N 2 environment, setting the flow rate at 500 sccm, the temperature at 25 °C and the relative humidity (RH) at 50%. An increase of the conductance response has been recorded upon exposure towards NO 2 , whereas a decrease of the signal has been detected towards NH 3 . The material appears totally insensitive towards CO. Finally, the sensing selectivity has been proven by evaluating and comparing the degree of adsorption and the interaction energies for NO 2 and NH 3 on graphene. The direct-growth approach for the synthesis of graphene opens a promising path towards diverse applicative scenarios, including the straightforward integration in electronic devices.

  13. CVD transfer-free graphene for sensing applications

    Directory of Open Access Journals (Sweden)

    Chiara Schiattarella

    2017-05-01

    Full Text Available The sp2 carbon-based allotropes have been extensively exploited for the realization of gas sensors in the recent years because of their high conductivity and large specific surface area. A study on graphene that was synthetized by means of a novel transfer-free fabrication approach and is employed as sensing material is herein presented. Multilayer graphene was deposited by chemical vapour deposition (CVD mediated by CMOS-compatible Mo. The utilized technique takes advantage of the absence of damage or contamination of the synthesized graphene, because there is no need for the transfer onto a substrate. Moreover, a proper pre-patterning of the Mo catalyst allows one to obtain graphene films with different shapes and dimensions. The sensing properties of the material have been investigated by exposing the devices to NO2, NH3 and CO, which have been selected because they are well-known hazardous substances. The concentration ranges have been chosen according to the conventional monitoring of these gases. The measurements have been carried out in humid N2 environment, setting the flow rate at 500 sccm, the temperature at 25 °C and the relative humidity (RH at 50%. An increase of the conductance response has been recorded upon exposure towards NO2, whereas a decrease of the signal has been detected towards NH3. The material appears totally insensitive towards CO. Finally, the sensing selectivity has been proven by evaluating and comparing the degree of adsorption and the interaction energies for NO2 and NH3 on graphene. The direct-growth approach for the synthesis of graphene opens a promising path towards diverse applicative scenarios, including the straightforward integration in electronic devices.

  14. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  15. Visible and IR photoluminescence of c-FeSi@a-Si core-shell nano-fibres produced by vapour transport

    CSIR Research Space (South Africa)

    Thabethe, S

    2013-11-01

    Full Text Available The procedures for the synthesis of amorphous e-FeSi/Si core–shell nanofibres by vapour transport in a CVD configuration are reported. Crystallite studies by the Williamson-Hall method show the sizes to be typically about 8.0 nm which agrees...

  16. Raman Spectroscopic Study of Carbon Nanotubes Prepared Using Fe/ZnO-Palm Olein-Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Syazwan Afif Mohd Zobir

    2012-01-01

    Full Text Available Multiwalled carbon nanotubes (MWCNTs were synthesized using Fe/ZnO catalyst by a dual-furnace thermal chemical vapor deposition (CVD method at 800–1000°C using nitrogen gas with a constant flow rate of 150 sccm/min as a gas carrier. Palm olein (PO, ferrocene in the presence of 0.05 M zinc nitrate, and a p-type silicon wafer were used as carbon source, catalyst precursor, and sample target, respectively. D, G, and G′ bands were observed at 1336–1364, 1559–1680, and 2667–2682 cm-1, respectively. Carbon nanotubes (CNTs with the highest degree of crystallinity were obtained at around 8000°C, and the smallest diameter of about 2 nm was deposited on the silicon substrate at 1000°C.

  17. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  18. Thermodynamic study of CVD-ZrO2 phase diagrams

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Vargas-Garcia, J.R.; Dominguez-Crespo, M.A.; Romero-Serrano, J.A.

    2009-01-01

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO 2 ) from zirconium acetylacetonate Zr(acac) 4 has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp o , ΔH o and S o for Zr(acac) 4 have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO 2 can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO 2 and the other one corresponds to a mix of monoclinic phase of ZrO 2 and graphite carbon.

  19. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  20. Parametric optimization during machining of AISI 304 Austenitic Stainless Steel using CVD coated DURATOMIC cutting insert

    Directory of Open Access Journals (Sweden)

    M. Kaladhar

    2012-08-01

    Full Text Available In this work, Taguchi method is applied to determine the optimum process parameters for turning of AISI 304 austenitic stainless steel on CNC lathe. A Chemical vapour deposition (CVD coated cemented carbide cutting insert is used which is produced by DuratomicTM technology of 0.4 and 0.8 mm nose radii. The tests are conducted at four levels of Cutting speed, feed and depth of cut. The influence of these parameters are investigated on the surface roughness and material removal rate (MRR. The Analysis Of Variance (ANOVA is also used to analyze the influence of cutting parameters during machining. The results revealed that cutting speed significantly (46.05% affected the machined surface roughness values followed by nose radius (23.7%. The influence of the depth of cut (61.31% in affecting material removal rate (MRR is significantly large. The cutting speed (20.40% is the next significant factor. Optimal range and optimal level of parameters are also predicted for responses.

  1. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation

    Energy Technology Data Exchange (ETDEWEB)

    Ciambelli, P; Sarno, M; Leone, C; Sannino, D [Department of Chemical and Food Engineering, University of Salerno, I-84084 Fisciano (Italy); Arurault, L; Fontorbes, S; Datas, L; Lenormand, P; Le Blond Du Plouy, S, E-mail: msarno@unisa.it, E-mail: arurault@chimie.ups-tlse.fr [Universite de Toulouse, CIRIMAT, UPS/INPT/CNRS, LCMIE, F-31062 Toulouse Cedex 9 (France)

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  2. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  3. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  4. CVD synthesis of carbon nanotubes using a finely dispersed cobalt catalyst and their use in double layer electrochemical capacitors

    International Nuclear Information System (INIS)

    Chatterjee, A.K.; Sharon, Maheshwar; Banerjee, Rangan; Neumann-Spallart, Michael

    2003-01-01

    Carbon nanotubes (CNT) were obtained by chemical vapour deposition (CVD), decomposing turpentine oil over finely dispersed Co metal as a catalyst at 675 deg. C. Scanning electron microscope (SEM) and transmission electron microscope (TEM) images reveal that the nanotubes are densely packed and of 10-50 nm in diameter. The XRD pattern of purified CNT shows that they are graphitic in nature. Resistivity measurements of these CNT indicate that they are highly conducting. Hall measurements of CNT reveal that electrons are the majority carriers with a carrier concentration of 1.35x10 20 cm -3 . Cyclic voltammetry (CV) and constant current charging/discharging was used to characterise the behaviour of electrochemical double layer capacitors of purified CNT with H 2 SO 4 . For CNT/2 M H 2 SO 4 /CNT, a capacitance of 12 F g -1 (based on the weight of the active material) was obtained

  5. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Plate, Paul, E-mail: paul.plate@helmholtz-berlin.de; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Bartsch, Peter [Beuth Hochschule für Technik Berlin, Fachbereich VIII Maschinenbau, Veranstaltungstechnik, Verfahrenstechnik (Germany); Fiechter, Sebastian; Lux-Steiner, Martha Ch. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Fischer, Christian-Herbert [Freie Universität Berlin, Institute of Chemistry and Biochemistry (Germany)

    2017-04-15

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  6. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    International Nuclear Information System (INIS)

    Liu, Yang; Plate, Paul; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina; Bartsch, Peter; Fiechter, Sebastian; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2017-01-01

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  7. Capacitive behavior of Ag doped V2O5 grown by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Vernardou, D.; Marathianou, I.; Katsarakis, N.; Koudoumas, E.; Kazadojev, I.I.; O’Brien, S.; Pemble, M.E.; Povey, I.M.

    2016-01-01

    The growth of silver doped vanadium pentoxide was performed by aerosol assisted chemical vapour deposition and found to be optimal at 450° C. Additionally, an increase in crystallinity and a change in preferred orientation of V 2 O 5 was observed upon increasing the silver content. Silver incorporation also resulted in morphological changes in the thin films from rod to pellet-like structures. For higher silver content films the amount of incorporated charge increased and reversibility and repeatability was demonstrated for 500 cycles. Electrochemical impedance spectroscopy determined that the transfer and diffusion of Li+ ions through the cathode-electrolyte interface was assisted by silver loading, hence, enhancing the capacitive performance.

  8. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  9. Translation Effects in Fluorine Doped Tin Oxide Thin Film Properties by Atmospheric Pressure Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Mohammad Afzaal

    2016-10-01

    Full Text Available In this work, the impact of translation rates in fluorine doped tin oxide (FTO thin films using atmospheric pressure chemical vapour deposition (APCVD were studied. We demonstrated that by adjusting the translation speeds of the susceptor, the growth rates of the FTO films varied and hence many of the film properties were modified. X-ray powder diffraction showed an increased preferred orientation along the (200 plane at higher translation rates, although with no actual change in the particle sizes. A reduction in dopant level resulted in decreased particle sizes and a much greater degree of (200 preferred orientation. For low dopant concentration levels, atomic force microscope (AFM studies showed a reduction in roughness (and lower optical haze with increased translation rate and decreased growth rates. Electrical measurements concluded that the resistivity, carrier concentration, and mobility of films were dependent on the level of fluorine dopant, the translation rate and hence the growth rates of the deposited films.

  10. Raman Spectroscopic Study of Carbon Nano tubes Prepared Using Fe/ZnO-Palm Olein-Chemical Vapour Deposition Syazwan

    International Nuclear Information System (INIS)

    Zobir, A.M.; Abdullah, S.; Rusop, M.; Abdullah, S.; Abu Bakar, S.; Zainal, Z.; Sarijo, S.H.; Rusop, M.

    2012-01-01

    Multi walled carbon nano tubes (MWCNTs) were synthesized using Fe/ZnO catalyst by a dual-furnace thermal chemical vapor deposition (CVD) method at 800-1000 degree C using nitrogen gas with a constant flow rate of 150 sccm/min as a gas carrier. Palm olein (PO), ferrocene in the presence of 0.05 M zinc nitrate, and a p-type silicon wafer were used as carbon source, catalyst precursor, and sample target, respectively. D, G, and G' bands were observed at 1336-1364, 1559-1680, and 2667-2682 cm -1 , respectively. Carbon nano tubes (CNTs) with the highest degree of crystallinity were obtained at around 8000 degree C, and the smallest diameter of about 2 nm was deposited on the silicon substrate at 1000 degree C.

  11. The vapour phase deposition of boron on titanium by the reaction between gaseous boron trichloride and titanium metal. Final report

    International Nuclear Information System (INIS)

    Cameron, D.J.; Shelton, R.A.J.

    1965-03-01

    The reaction, between boron trichloride vapour and titanium has been investigated in the temperature range 200 - 1350 deg. C. It has been found that an initial reaction leads to the formation of titanium tetrachloride and the deposition of boron on titanium, but that except for reactions between 900 and 1000 deg. C, the system is complicated by the formation of lower titanium chlorides due to secondary reactions between the titanium and titanium tetrachloride

  12. Fission product vapour - aerosol interactions in the containment: simulant fuel studies

    International Nuclear Information System (INIS)

    Beard, A.M.; Benson, C.G.; Bowsher, B.R.

    1988-12-01

    Experiments have been conducted in the Falcon facility to study the interaction of fission product vapours released from simulant fuel samples with control rod aerosols. The aerosols generated from both the control rod and fuel sample were chemically distinct and had different deposition characteristics. Extensive interaction was observed between the fission product vapours and the control rod aerosol. The two dominant mechanisms were condensation of the vapours onto the aerosol, and chemical reactions between the two components; sorption phenomena were believed to be only of secondary importance. The interaction of fission product vapours and reactor materials aerosols could have a major impact on the transport characteristics of the radioactive emission from a degrading core. (author)

  13. Atomic layer deposition of Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/TiO{sub 2} barrier coatings to reduce the water vapour permeability of polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Ahmadzada, Tamkin, E-mail: tahm4852@uni.sydney.edu.au [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia); McKenzie, David R.; James, Natalie L.; Yin, Yongbai [School of Physics, University of Sydney, NSW 2006 (Australia); Li, Qing [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia)

    2015-09-30

    We demonstrate significantly enhanced barrier properties of polyetheretherketone (PEEK) against water vapour penetration by depositing Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/TiO{sub 2} nanofilms grown by atomic layer deposition (ALD). Nanoindentation analysis revealed good adhesion strength of a bilayer Al{sub 2}O{sub 3}/TiO{sub 2} coating to PEEK, while the single layer Al{sub 2}O{sub 3} coating displayed flaking and delamination. We identified three critical design parameters for achieving the optimum barrier properties of ALD Al{sub 2}O{sub 3}/TiO{sub 2} coatings on PEEK. These are a minimum total thickness dependent on the required water vapour transmission rate, the use of an Al{sub 2}O{sub 3}/TiO{sub 2} bilayer coating and the application of the coating to both sides of the PEEK film. Using these design parameters, we achieved a reduction in moisture permeability of PEEK of over two orders of magnitude while maintaining good adhesion strength of the polymer–thin film system. - Highlights: • Atomic layer deposition of Al{sub 2}O{sub 3}/TiO{sub 2} coatings reduced water vapour permeability. • Bilayer coatings reduced the permeability more than single layer coatings. • Bilayer coatings displayed higher adhesion strength than the single layer coatings. • Double-sided coatings performed better than single-sided coatings. • Correlation was found between total thickness and reduced water vapour permeability.

  14. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  15. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  16. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  17. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  18. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Diamond like carbon coatings deposited by microwave plasma CVD: XPS and ellipsometric studies ... Diamond-like carbon (DLC) films were deposited by microwave assisted chemical vapour deposition system using d.c. bias voltage ranging from –100 V to –300 V. These films were characterized by X-ray photoelectron ...

  19. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  20. Facet-dependent study of efficient growth of graphene on copper

    Indian Academy of Sciences (India)

    The growth of graphene by chemical vapour deposition (CVD) on copper is the most promising scalable method for high-quality graphene. The use of ethanol, an economic and safe precursor, for the fast growth of graphene on copper by a home-built CVD set-up was analysed. Full coverage of uniform single-layer ...

  1. CVD of solid oxides in porous substrates for ceramic membrane modification

    NARCIS (Netherlands)

    Lin, Y.S.; Lin, Y.S.; Burggraaf, Anthonie; Burggraaf, A.J.

    1992-01-01

    The deposition of yttria-doped zirconia has been experimented systematically in various types of porous ceramic substrates by a modified chemical vapor deposition (CVD) process operating in an opposing reactant geometry using water vapor and corresponding metal chloride vapors as reactants. The

  2. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  3. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  4. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  5. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  6. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  7. Investigation of chemical vapour deposition diamond detectors by X-ray micro-beam induced current and X-ray micro-beam induced luminescence techniques

    International Nuclear Information System (INIS)

    Olivero, P.; Manfredotti, C.; Vittone, E.; Fizzotti, F.; Paolini, C.; Lo Giudice, A.; Barrett, R.; Tucoulou, R.

    2004-01-01

    Tracking detectors have become an important ingredient in high-energy physics experiments. In order to survive the harsh detection environment of the large hadron collider (LHC), trackers need to have special properties. They must be radiation hard, provide fast collection of charge, be as thin as possible and remove heat from readout electronics. The unique properties of diamond allow it to fulfill these requirements. In this work we present an investigation of the charge transport and luminescence properties of 'detector grade' artificial chemical vapour deposition (CVD) diamond devices developed within the CERN RD42 collaboration, performed by means of X-ray micro-beam induced current collection (XBICC) and X-ray micro-beam induced luminescence (XBIL) techniques. XBICC technique allows quantitative estimates of the transport parameters of the material to be evaluated and mapped with micrometric spatial resolution. In particular, the high resolution and sensitivity of the technique has allowed a quantitative study of the inhomogeneity of the charge transport parameter defined as the product of mobility and lifetime for both electron and holes. XBIL represents a technique complementary to ion beam induced luminescence (IBIL), which has already been used by our group, since X-ray energy loss profile in the material is different from that of MeV ions. X-ray induced luminescence maps have been performed simultaneously with induced photocurrent maps, to correlate charge transport and induced luminescence properties of diamond. Simultaneous XBICC and XBIL maps exhibit features of partial complementarity that have been interpreted on the basis of considerations on radiative and non-radiative recombination processes which compete with charge transport efficiency

  8. Thermodynamic study of CVD-ZrO{sub 2} phase diagrams

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atorresh@ipn.m [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Vargas-Garcia, J.R. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico); Dominguez-Crespo, M.A. [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Romero-Serrano, J.A. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico)

    2009-08-26

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO{sub 2}) from zirconium acetylacetonate Zr(acac){sub 4} has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp{sup o}, DELTAH{sup o} and S{sup o} for Zr(acac){sub 4} have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO{sub 2} can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO{sub 2} and the other one corresponds to a mix of monoclinic phase of ZrO{sub 2} and graphite carbon.

  9. Surface polish of PLA parts in FDM using dichloromethane vapour

    Directory of Open Access Journals (Sweden)

    Jin Yifan

    2017-01-01

    Full Text Available Fused deposition modelling has become one of the most diffused rapid prototyping techniques, which is widely used to fabricate prototypes. However, further application of this technology is severely limited by poor surface roughness. Thus it is necessary to adopt some operations to improve surface quality. Chemical finishing is typically employed to finish parts in fused deposition modelling (FDM. The purpose of this paper is to decrease the surface roughness for polylactic acid (PLA parts in FDM. The chemical reaction mechanism during the treating process is analysed. Then NaOH solution and dichloromethane vapour are used to treat FDM specimens respectively. A 3D laser microscope has been applied to assess the effects in terms of surface topography and roughness. The experimental results show that treatment using dichloromethane vapour performs much better than NaOH solution. Compared with the untreated group, surface roughness obtained through vapour treatment decreases by 88 per cent. This research has been conducted to provide a better method to treat PLA parts using chemical reagents.

  10. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  11. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  12. Photoluminescence study of novel phosphorus-doped ZnO nanotetrapods synthesized by chemical vapour deposition

    International Nuclear Information System (INIS)

    Yu Dongqi; Hu Lizhong; Qiao Shuangshuang; Zhang Heqiu; Fu Qiang; Chen Xi; Sun Kaitong; Len, Song-En Andy; Len, L K

    2009-01-01

    Novel phosphorus-doped and undoped single crystal ZnO nanotetrapods were fabricated on sapphire by a simple chemical vapour deposition method, using phosphorus pentoxide (P 2 O 5 ) as the dopant source. The optical properties of the samples were investigated by photoluminescence (PL) spectroscopy. Low-temperature PL measurements of phosphorus-doped and undoped samples were compared, and the results indicated a decrease in deep level defects due to the incorporation of a phosphorus acceptor dopant. The PL spectrum of the phosphorus-doped sample at 10 K exhibited several acceptor-bound exciton related emission peaks. The effect of phosphorus doping on the optical characteristics of the samples was investigated by excitation intensity and temperature dependent PL spectra. The acceptor-binding energies of the phosphorus dopant were estimated to be about 120 meV, in good agreement with the corresponding theoretical and experimental values in phosphorus-doped ZnO films and nanowires.

  13. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  14. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  15. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  16. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  17. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  18. Neutron diffraction and thermal studies of amorphous CS2 realised by low-temperature vapour deposition

    International Nuclear Information System (INIS)

    Yamamuro, O.; Matsuo, T.; Onoda-Yamamuro, N.; Takeda, K.; Munemura, H.; Tanaka, S.; Misawa, M.

    2003-01-01

    We have succeeded in preparing amorphous carbon disulphide (CS 2 ) by depositing its vapour on a cold substrate at 10 K. Complete formation of the amorphous state has been confirmed by neutron diffraction and differential thermal analysis (DTA). The amorphous sample crystallized at ca. 70 K, which is lower than the hypothetical glass transition temperature (92 K) estimated from the DTA data of the (CS 2 ) x (S 2 Cl 2 ) 1-x binary mixture. CS 2 , a symmetric linear tri-atomic molecule, is the simplest of the amorphized molecular substances whose structural and thermal information has been reported so far. Comparison of the static structure factors S(Q) has shown that the orientational correlation of CS 2 molecules may be much stronger in the amorphous state than in the liquid state at higher temperature. (authors)

  19. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  20. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  2. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  3. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  4. Effects of heavy metal adsorption on silicene

    KAUST Repository

    Kaloni, Thaneshwor P.; Schwingenschlö gl, Udo

    2014-01-01

    the case. (© 2014 WILEY-VCH Verlag GmbH &Co. KGaA, Weinheim) Carbon nanotube flexible sponge was manufactured as high performance electromagnetic shielding material. Chemical vapour deposition (CVD) synthesized sponges with extreme light weight show

  5. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  6. MEDUSA: The ExoMars experiment for in-situ monitoring of dust and water vapour

    Science.gov (United States)

    Colangeli, L.; Lopez-Moreno, J. J.; Nørnberg, P.; Della Corte, V.; Esposito, F.; Mazzotta Epifani, E.; Merrison, J.; Molfese, C.; Palumbo, P.; Rodriguez-Gomez, J. F.; Rotundi, A.; Visconti, G.; Zarnecki, J. C.; The International Medusa Team

    2009-07-01

    Dust and water vapour are fundamental components of the Martian atmosphere. In view of tracing the past environmental conditions on Mars, that possibly favoured the appearing of life forms, it is important to study the present climate and its evolution. Here dust and water vapour have (and have had) strong influence. Of major scientific interest is the quantity and physical, chemical and electrical properties of dust and the abundance of water vapour dispersed in the atmosphere and their exchange with the surface. Moreover, in view of the exploration of the planet with automated systems and in the future by manned missions, it is of primary importance to analyse the hazards linked to these environmental factors. The Martian Environmental Dust Systematic Analyser (MEDUSA) experiment, included in the scientific payload of the ESA ExoMars mission, accommodates a complement of sensors, based on optical detection and cumulative mass deposition, that aims to study dust and water vapour in the lower Martian atmosphere. The goals are to study, for the first time, in-situ and quantitatively, physical properties of the airborne dust, including the cumulative dust mass flux, the dust deposition rate, the physical and electrification properties, the size distribution of sampled particles and the atmospheric water vapour abundance versus time.

  7. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  8. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  9. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    International Nuclear Information System (INIS)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-01-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the Unreal TM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures

  10. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    Science.gov (United States)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-12-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the UnrealTM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures.

  11. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  12. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  13. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  14. Al-Mn CVD-FBR coating on P92 steel as protection against steam oxidation at 650 °C: TGA-MS study

    Science.gov (United States)

    Castañeda, S. I.; Pérez, F. J.

    2018-02-01

    The initial stages oxidation of the P92 ferritic/martensitic steel with and without Al-Mn coating at 650 °C in Ar+40%H2O for 240 h were investigated by mass spectrometry (MS) and thermogravimetric analysis (TGA). TGA-MS measurements were conducted in a closed steam loop. An Al-Mn coating was deposited on P92 steel at 580 °C for 2 h by chemical vapour deposition in a fluidized bed reactor (CVD-FBR). The coating as-deposited was treated in the same reactor at 700 °C in Ar for 2h, in order to produce aluminide phases that form the protective alumina layer (Al2O3) during oxidation. MS measurements at 650 °C of the Al-Mn/P92 sample for 200 h indicated the presence of (Al-Mn-Cr-Fe-O) volatile species of small intensity. Uncoated P92 steel oxidized under the same steam oxidation conditions emitted greater intensities of volatile species of Cr, Fe and Mo in comparison with intensities from coated steel. TGA measurements verified that the mass gained by the coated sample was up to 300 times lower than for uncoated P92 steel. The morphology, composition and structure of samples by Scanning Electron Microscopy SEM, Backscattered Electron (BSE) detection, X-ray Energy Dispersive Spectrometry (EDAX) and X-ray Diffraction (XRD) are described.

  15. Stress and charge transfer in uniaxially strained CVD graphene

    Czech Academy of Sciences Publication Activity Database

    Bouša, Milan; Anagnostopoulos, G. C.; del Corro, Elena; Drogowska, Karolina; Pekárek, J.; Kalbáč, Martin; Parthenios, J.; Papagelis, K.; Galiotis, C.; Frank, Otakar

    2016-01-01

    Roč. 253, č. 12 (2016), s. 2355-2361 ISSN 0370-1972 R&D Projects: GA ČR GA14-15357S; GA MŠk LL1301 Institutional support: RVO:61388955 Keywords : chemical vapour deposition * graphene * Raman spectroscopy Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.674, year: 2016

  16. A theoretical study of absorption equilibria in silicon CVD

    NARCIS (Netherlands)

    Gardeniers, Johannes G.E.; Giling, L.J.; de Jong, F.; van der Eerden, J.P.

    1990-01-01

    As part of a theoretical study of adsorption processes in the chemical vapour deposition of silicon, thermochemical data are derived for the adsorption of Si-H species on the Si(111) and the dimer-reconstructed Si(001)-(2 x 1) surfaces. Essential contributions to the heats of adsorption appear to be

  17. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  18. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  19. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  20. Journal of Chemical Sciences | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Chemical vapour deposition (CVD); boron carbide nitride nanotubes (BCNTs); BCNT-modified electrode; NO electrooxidation. ... Key Laboratory of Functional Inorganic Material Chemistry, Ministry of Education, Key Laboratory of Material Chemistry, School of Chemistry and Chemical Engineering, Heilongjiang University, ...

  1. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  2. CVD grown 2D MoS{sub 2} layers: A photoluminescence and fluorescence lifetime imaging study

    Energy Technology Data Exchange (ETDEWEB)

    Oezden, Ayberk; Madenoglu, Buesra [Department of Materials Science and Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Sar, Hueseyin; Ay, Feridun; Perkgoez, Nihan Kosku [Department of Electrical and Electronics Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Yeltik, Aydan [Department of Physics, UNAM Institute of Materials Science and Nanotechnology, Bilkent University, Ankara (Turkey); Sevik, Cem [Department of Mechanical Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey)

    2016-11-15

    In this letter, we report on the fluorescence lifetime imaging and accompanying photoluminescence properties of a chemical vapour deposition (CVD) grown atomically thin material, MoS{sub 2}. μ-Raman, μ-photoluminescence (PL) and fluorescence lifetime imaging microscopy (FLIM) are utilized to probe the fluorescence lifetime and photoluminescence properties of individual flakes of MoS{sub 2} films. Usage of these three techniques allows identification of the grown layers, grain boundaries, structural defects and their relative effects on the PL and fluorescence lifetime spectra. Our investigation on individual monolayer flakes reveals a clear increase of the fluorescence lifetime from 0.3 ns to 0.45 ns at the edges with respect to interior region. On the other hand, investigation of the film layer reveals quenching of PL intensity and lifetime at the grain boundaries. These results could be important for applications where the activity of edges is important such as in photocatalytic water splitting. Finally, it has been demonstrated that PL mapping and FLIM are viable techniques for the investigation of the grain-boundaries. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  4. Preparación de tamices moleculares de carbono por CVD

    Directory of Open Access Journals (Sweden)

    Manso, R.

    2001-02-01

    Full Text Available Carbon molecular sieves (CMS have been prepared by chemical vapour deposition (CVD of carbon from the pyrolysis of benzene molecules on activated carbon surfaces. The pyrolysis of benzene at temperatures in the range 650-850 ºC restricts the accessibility of the micropores due to the creation of constrictions on the microporous network. Temperatures higher than 850 ºC (temperature of carbonisation add difficulties due to decomposition and sinterization processes. Low flows of nitrogen (30 mL min-1 and high benzene content (13 % produce a more uniform carbon deposition and wider micropore size distributions. The CVD process on carbons activated to different burn-offs, using temperatures below 850 ºC, flows of 150 mL min-1 and benzene content of 1 %, gives rise to microporous materials which exhibit micropore volumes around 0,25 cm3 g-1 and narrow micropore size distributions: below 0,33 nm, between 0,33-0,41 nm or between 0,41-0,54 nm. The burn-off of the activated carbon has a relative little influence on the textural properties of the CMS that mainly depend on the degree of filling originated by the carbon deposition.

    Se han preparado tamices moleculares de carbono (CMS mediante el depósito de átomos de carbono, por pirólisis de benceno, sobre la superficie de carbones activados. La pirólisis de benceno a temperaturas comprendidas entre 650-850 ºC genera el cierre progresivo de los microporos debido a la creación de constricciones en la red microporosa que limitan la accesibilidad de determinadas moléculas. El uso de temperaturas superiores a la temperatura de carbonización del precursor (850 ºC introduce complicaciones debido a la descomposición y sinterización parcial del sólido. Flujos bajos de nitrógeno (30 mL min-1 con alto contenido en benceno (13 % producen un depósito homogéneo a lo largo de las paredes y los materiales presentan distribuciones microporosas mas anchas. El depósito de carbono sobre carbones

  5. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  6. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  7. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  9. Diamond-coated probe head for measurements in the deep SOL and beyond

    DEFF Research Database (Denmark)

    Schrittwieser, R.; Xu, G. S.; Yan, Ning

    We have tested two cylindrical graphite probe heads coated by a layer of electrically isolating UNCD (Ultra Nano-Crystalline Diamond) using a CVD (Chemical Vapour Deposition) method. The probe heads were mounted on the reciprocating probe manipulator of the Experimental Advanced Superconducting T...

  10. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  11. Synthesis of suspended carbon nanotubes on silicon inverse-opal structures by laser-assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Shi, J; Lu, Y F; Wang, H; Yi, K J; Lin, Y S; Zhang, R; Liou, S H

    2006-01-01

    Suspended single-walled carbon nanotubes (SWNTs) have been synthesized on Si inverse-opal structures by laser-assisted chemical vapour deposition (LCVD). A CW CO 2 laser at 10.6 μm was used to directly irradiate the substrates during the LCVD process. At a laser power density of 14.3 MW m -2 , suspended SWNT networks were found predominantly rooted at the sharp edges in the Si inverse-opal structures. Raman spectroscopy indicated that the SWNT networks were composed of high-quality defect-free SWNTs with an average diameter of 1.3 nm. At a lower laser power density (6.4 MW m -2 ), multi-walled carbon nanotubes (MWNTs) were grown on the entire surface of the substrates. The preference for the synthesis of SWNTs or MWNTs was attributed to the difference in the catalyst sizes as well as the growth temperature in the LCVD process

  12. Neutron diffraction and thermal studies of amorphous CS{sub 2} realised by low-temperature vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamuro, O.; Matsuo, T. [Osaka Univ., Dept. of Chemistry, Graduate School of Sciences (Japan); Onoda-Yamamuro, N. [Tokyo Denki Univ., College of Sciences and Technology (Japan); Takeda, K. [Naruto Univ., Dept. of Chemistry, Tokushima (Japan); Munemura, H.; Tanaka, S.; Misawa, M. [Niigata Univ. (Japan). Faculty of Science

    2003-08-01

    We have succeeded in preparing amorphous carbon disulphide (CS{sub 2}) by depositing its vapour on a cold substrate at 10 K. Complete formation of the amorphous state has been confirmed by neutron diffraction and differential thermal analysis (DTA). The amorphous sample crystallized at ca. 70 K, which is lower than the hypothetical glass transition temperature (92 K) estimated from the DTA data of the (CS{sub 2}){sub x}(S{sub 2}Cl{sub 2}){sub 1-x} binary mixture. CS{sub 2}, a symmetric linear tri-atomic molecule, is the simplest of the amorphized molecular substances whose structural and thermal information has been reported so far. Comparison of the static structure factors S(Q) has shown that the orientational correlation of CS{sub 2} molecules may be much stronger in the amorphous state than in the liquid state at higher temperature. (authors)

  13. Dispersion of gold nanoclusters in TMBPA-polycarbonate by a combination of thermal embedding and vapour-induced crystallization

    International Nuclear Information System (INIS)

    Kruse, J; Dolgner, K; Greve, H; Zaporojtchenko, V; Faupel, F

    2006-01-01

    Gold nanoclusters can be dispersed into the surface of a bisphenol-A polycarbonate film by acetone vapour induced crystallization, an effect which has been demonstrated in a previous publication of our group. Gold nanoclusters were deposited by physical vapour deposition on an amorphous thin film of polycarbonate. After vapour induced crystallization these clusters were detected by depth profiling to be embedded into the surface, with a concentration maximum in a depth of approximately 100 nm. In this work, we replaced the BPA by the modified tetramethyl bisphenol-A polycarbonate, which shows a slower crystallization kinetics. A strong enhancement of the dispersion depth has been achieved by thermal pre-embedding of the clusters into the surface. Surface analysis by means of atomic force microscopy reflects the rearrangement of polymer material in the course of crystallization

  14. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  15. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  16. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  17. Development of Fe-AI CVD coatings as tritium permeation barrier

    International Nuclear Information System (INIS)

    Chabrol, C.; Schuster, F.; Le Marois, G.; Serra, E.

    1998-01-01

    A specific method of pack-cementation has been developed in order to perform a CVD deposition of Fe-Al alloys on a martensitic steel at a temperature which respects its mechanical properties ( 2 Al 5 intermetallic phases thanks to a low pressure deposition and using a special cement containing Fe and Al. These coatings coated with an Al 2 O 3 top layer drastically reduce the permeation rate of deuterium with regards to the uncoated substrate. (authors)

  18. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  19. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  20. Application of aromatization catalyst in synthesis of carbon nanotubes

    Indian Academy of Sciences (India)

    In a typical chemical vapour deposition (CVD) process for synthesizing carbon nanotubes (CNTs), it was found that the aromatization catalysts could promote effectively the formation of CNT. The essence of this phenomenon was attributed to the fact that the aromatization catalyst can accelerate the ...

  1. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  2. Anti corrosion layer for stainless steel in molten carbonate fuel cell - comprises phase vapour deposition of titanium nitride, aluminium nitride or chromium nitride layer then oxidising layer in molten carbonate electrolyte

    DEFF Research Database (Denmark)

    2000-01-01

    Forming an anticorrosion protective layer on a stainless steel surface used in a molten carbonate fuel cell (MCFC) - comprises the phase vapour deposition (PVD) of a layer comprising at least one of titanium nitride, aluminium nitride or chromium nitride and then forming a protective layer in situ...

  3. Microstructure Evolution of Electron Beam Physical Vapour Deposited Ni-23.5Cr-2.66Co-1.44Al Superalloy Sheet During Annealing at 600 °C

    Directory of Open Access Journals (Sweden)

    Li Mingwei

    2013-02-01

    Full Text Available Microstructure evolution of electron beam physical vapour deposited (EB-PVD Ni‑23.5Cr‑2.66Co‑1.44Al superalloy sheet during annealing at 600 °C was investigated. The results showed that the as-deposited alloy was composed of only g phase. After annealing at 600 °C, the locations of diffraction peaks were still the same. The (220 diffraction peak of the deposition side increased with annealing time. The sheet on deposited side had a tendency toward forming (220 texture during post-annealing. No obvious texture was observed at as-deposited and annealed sheet at 600 °C in substrate side. The count and size of "voids" decreased with time. The size of grains increased obviously with annealing time. The ultimate tensile strength of EB-PVD Ni-23.5Cr-2.66Co-1.44Al alloy sheet increased from 641 MPa to 829 MPa after annealing at 600 °C for 30 hours.

  4. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  5. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  6. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  7. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  8. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  9. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  10. AFM Morphology Study of Si1-Y GeY:H Films Deposited by LF PE CVD from Silane-Germane with Different

    International Nuclear Information System (INIS)

    Sanchez, L; Kosarev, A

    2005-01-01

    The morphology of Si 1-Y Ge Y :H films in the range of Y=0.23 to 0.9 has been studied by AFM. The films were deposited by Low Frequency (LF) PE CVD at substrate temperature T s =300 C and discharge frequency f=110 kHz from silane+germane mixture with and without, Ar and H 2 dilution. The films were deposited on silicon and glass substrates. AFM images were taken and analyzed for 2 x 2 mm 2 area. All the images demonstrated ''grain'' like structure, which was characterized by the height distribution function F(H) average roughness , standard height deviation Rq, lateral correlation length L c area distribution function F(s), mean grain area , diameter distribution function F(d), and mean grain diameter . The roughness of the films monotonically increases with Y for all dilutions, but more significantly in the films deposited without dilution. L c continuously grows with Y in the films deposited without dilution, while more complex behavior L c (Y) is observed in the films deposited with H- or Ar dilution. The sharpness of F(H) characterized by curtosis γ depends on dilution and the sharpest F(H) are for the films deposited with Ar (γ=5.30,Y=0.23) and without dilution (γ=4.3, Y=0.45). Isothermal annealing caused increase of , L c in the films deposited with H- and Ar dilutions, while in the films prepared without dilution the behavior was more complex, depending on the substrates. Significant narrowing of the height distribution was observed in the films deposited with H dilution or without dilution

  11. CVD Graphene/Ni Interface Evolution in Sulfuric Electrolyte

    DEFF Research Database (Denmark)

    Yivlialin, Rossella; Bussetti, Gianlorenzo; Duò, Lamberto

    2018-01-01

    Systems comprising single and multilayer graphene deposited on metals and immersed in acid environments have been investigated, with the aim of elucidating the mechanisms involved, for instance, in hydrogen production or metal protection from corrosion. In this work, a relevant system, namely...... chemical vapor deposited (CVD) multilayer graphene/Ni (MLGr/Ni), is studied when immersed in a diluted sulfuric electrolyte. The MLGr/Ni electrochemical and morphological properties are studied in situ and interpreted in light of the highly oriented pyrolytic graphite (HOPG) electrode behavior, when...... immersed in the same electrolyte. Following this interpretative framework, the dominant role of the Ni substrate in hydrogen production is clarified....

  12. An economic CVD technique for pure SnO 2 thin films deposition

    Indian Academy of Sciences (India)

    A modified new method of CVD for formation of pure layers of tin oxide films was developed. This method is very simple and inexpensive and produces films with good electrical properties. The effect of substrate temperature on the sheet resistance, resistivity, mobility, carrier concentration and transparency of the films has ...

  13. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  14. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  15. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  16. The Seasonal Cycle of Water Vapour on Mars from Assimilation of Thermal Emission Spectrometer Data

    Science.gov (United States)

    Steele, Liam J.; Lewis, Stephen R.; Patel, Manish R.; Montmessin, Franck; Forget, Francois; Smith, Michael D.

    2014-01-01

    We present for the first time an assimilation of Thermal Emission Spectrometer (TES) water vapour column data into a Mars global climate model (MGCM). We discuss the seasonal cycle of water vapour, the processes responsible for the observed water vapour distribution, and the cross-hemispheric water transport. The assimilation scheme is shown to be robust in producing consistent reanalyses, and the global water vapour column error is reduced to around 2-4 pr micron depending on season. Wave activity is shown to play an important role in the water vapour distribution, with topographically steered flows around the Hellas and Argyre basins acting to increase transport in these regions in all seasons. At high northern latitudes, zonal wavenumber 1 and 2 stationary waves during northern summer are responsible for spreading the sublimed water vapour away from the pole. Transport by the zonal wavenumber 2 waves occurs primarily to the west of Tharsis and Arabia Terra and, combined with the effects of western boundary currents, this leads to peak water vapour column abundances here as observed by numerous spacecraft. A net transport of water to the northern hemisphere over the course of one Mars year is calculated, primarily because of the large northwards flux of water vapour which occurs during the local dust storm around L(sub S) = 240-260deg. Finally, outlying frost deposits that surround the north polar cap are shown to be important in creating the peak water vapour column abundances observed during northern summer.

  17. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  18. Tritium gas and tritiated water vapour behaviour in the environment from releases into the atmosphere from fusion reactors

    International Nuclear Information System (INIS)

    Velarde, Marta; Perlado, Manuel

    2001-01-01

    The diffusion of tritium from fusion reactors follows different ways according to the present chemical form, tritium gas or tritiated water vapour. The atmospheric conditions, speed and direction of the wind, rain intensity or stability class, are key factors in the dry and wet deposition. The obtained results demonstrate that the wet deposition is critical for the incorporation of the tritiated water vapour to the natural biological chain. However, the dry deposition is the factor that influences in the tritium gas form. The conversion of HT into HTO in the soil is rapid (1-7 days), and 20% of HT deposited in the soil is reemitted to the atmosphere in the form HTO, while the rest incorporates into the biological cycle. The rain factor accelerates the incorporation of tritium to the ground, the superficial waters and the underground waters

  19. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  20. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  1. Diagramas de fase CVD para la preparación de películas de iridio

    Directory of Open Access Journals (Sweden)

    Hernández-Pérez, M. A.

    2002-02-01

    Full Text Available Chemical vapor deposition (CVD phase diagrams for the preparation of iridium films were calculated using Gibbs free energy minimization method. Iridium acetylacetonate (Ir(acac3 was used as the precursor compound. Two gaseous mixtures were analyzed: Ir(acac3-O2-Ar and Ir(acac3-Ar. The deposition temperatures were explored from 300 to 800 °C, total pressures from 13.3 to 13.332 Pa and partial pressures of Ir(acac3 gas and O2 gas from 0.001 to 1.000 Pa. The Ir-CVD diagrams predicted that without Oj gas in the gaseous mixture, the solid films consist of two solid phases: Ir+C. In contrast, with addition of O2 to the gaseous mixture, the Ir-CVD diagrams revealed different domains of condensed phases which include IrO2, IrO2+Ir, Ir and Ir+C. These diagrams allow one to establish the total pressures and temperatures required to obtain a given film composition. The results predicted by the Ir-CVD diagrams are in good agreement with those experimentally obtained.

    Se calcularon los diagramas de fase CVD (Chemical Vapor Deposition para la preparación de películas de iridio empleando el método de minimización de la energía libre de Gibbs. Como precursor se utilizó acetilacetonato de iridio (Ir(acac3. Se analizaron las mezclas gaseosas Ir(acac3-O2Ar e Ir(acac3-Ar. Las temperaturas de depósito se exploraron desde 300 hasta 800 °C, las presiones totales de 13,3 a 13.332 Pa y las presiones parciales de los gases Ir(acac3 y O2 desde 0,001 hasta 1.000 Pa. Los diagramas Ir-CVD predicen que sin O2 en la mezcla gaseosa, las películas constan de las fases sólidas Ir+C. En contraste, con adición de O2 los diagramas Ir-CVD revelan diferentes dominios de fases sólidas que incluyen IrO2, IrO2+Ir, Ir e Ir+C. Estos diagramas permiten establecer

  2. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  3. AFM Morphology Study of Si1-Y GeY:H Films Deposited by LF PE CVD from Silane-Germane with Different

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, L; Kosarev, A

    2005-03-28

    The morphology of Si{sub 1-Y} Ge{sub Y}:H films in the range of Y=0.23 to 0.9 has been studied by AFM. The films were deposited by Low Frequency (LF) PE CVD at substrate temperature T{sub s}=300 C and discharge frequency f=110 kHz from silane+germane mixture with and without, Ar and H{sub 2} dilution. The films were deposited on silicon and glass substrates. AFM images were taken and analyzed for 2 x 2 mm{sup 2} area. All the images demonstrated ''grain'' like structure, which was characterized by the height distribution function F(H) average roughness , standard height deviation Rq, lateral correlation length L{sub c} area distribution function F(s), mean grain area , diameter distribution function F(d), and mean grain diameter . The roughness of the films monotonically increases with Y for all dilutions, but more significantly in the films deposited without dilution. L{sub c} continuously grows with Y in the films deposited without dilution, while more complex behavior L{sub c}(Y) is observed in the films deposited with H- or Ar dilution. The sharpness of F(H) characterized by curtosis {gamma} depends on dilution and the sharpest F(H) are for the films deposited with Ar ({gamma}=5.30,Y=0.23) and without dilution ({gamma}=4.3, Y=0.45). Isothermal annealing caused increase of , L{sub c} in the films deposited with H- and Ar dilutions, while in the films prepared without dilution the behavior was more complex, depending on the substrates. Significant narrowing of the height distribution was observed in the films deposited with H dilution or without dilution.

  4. The optical properties of transferred graphene and the dielectrics grown on it obtained by ellipsometry

    Science.gov (United States)

    Kasikov, Aarne; Kahro, Tauno; Matisen, Leonard; Kodu, Margus; Tarre, Aivar; Seemen, Helina; Alles, Harry

    2018-04-01

    Graphene layers grown by chemical vapour deposition (CVD) method and transferred from Cu-foils to the oxidized Si-substrates were investigated by spectroscopic ellipsometry (SE), Raman and X-Ray Photoelectron Spectroscopy (XPS) methods. The optical properties of transferred CVD graphene layers do not always correspond to the ones of the exfoliated graphene due to the contamination from the chemicals used in the transfer process. However, the real thickness and the mean properties of the transferred CVD graphene layers can be found using ellipsometry if a real thickness of the SiO2 layer is taken into account. The pulsed laser deposition (PLD) and atomic layer deposition (ALD) methods were used to grow dielectric layers on the transferred graphene and the obtained structures were characterized using optical methods. The approach demonstrated in this work could be useful for the characterization of various materials grown on graphene.

  5. Fe3−δO4/MgO/Co magnetic tunnel junctions synthesized by full in situ atomic layer and chemical vapour deposition

    International Nuclear Information System (INIS)

    Mantovan, R; Vangelista, S; Kutrzeba-Kotowska, B; Lamperti, A; Fanciulli, M; Manca, N; Pellegrino, L

    2014-01-01

    Fe 3−δ O 4 /MgO/Co magnetic tunnel junctions (MTJs) are synthesized on top of ∼1 inch Si/SiO 2 substrates by conducting a full in situ chemical vapour and atomic layer deposition process with no vacuum break. Tunnel magnetoresistance up to 6% is measured at room temperature, increasing to 12.5% at 120 K. Our results demonstrate the possibility of using full-chemical processes to synthesize functional MTJs, and this could provide a path towards the use of cost-effective methods to produce magnetic devices on a large scale. (fast track communication)

  6. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  7. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  8. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  9. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  10. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  11. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  12. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  13. Amorphous silicon solar cells on nano-imprinted commodity paper without sacrificing efficiency

    NARCIS (Netherlands)

    Werf, van der C.H.M.; Budel, T.; Dorenkamper, M.S.; Zhang, D.; Soppe, W.; de Neve, H.; Schropp, R.E.I.

    2015-01-01

    Paper is a cheap substrate which is in principle compatible with the process temperature applied in the plasma enhanced chemical vapour deposition (PECVD) and hot wire CVD (HWCVD) of thin film silicon solar cells. The main drawback of paper for this application is the porosity due to its fibre like

  14. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  15. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  16. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  17. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  18. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  19. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  20. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    In this study, few-layered graphene (FLG) has been synthesized using the chemical vapour deposition (CVD) method with the aid of a novel Ni–Ce–Fe trimetallic catalyst. Carbon dioxide was used as the carbon source in the present work. The obtained graphene was characterized by Raman spectroscopy, and the results ...

  1. Monitoring structural defects and crystallinity of carbon nanotubes

    Indian Academy of Sciences (India)

    We report the influence of catalyst formulation and reaction temperature on the formation of carbon nanotube (CNT) thin films by the chemical vapour deposition (CVD) method. Thin films of CNTs were grown on Fe–Mo/Al2O3-coated silicon wafer by thermal decomposition of methane at different temperatures ranging from ...

  2. The effect of percentage carbonon the CVD coating of plain carbon ...

    African Journals Online (AJOL)

    Two steels En 3 and En 39 were given a TiC-TiN CVD coating in the carburized and uncarburized conditions. The continuity of the coatings and their adherance to the substrate were examined. The thickness of the deposited coatings were also measured, their adherence to the substrate and their thickness was off ected by ...

  3. Laser-Directed CVD 3D Printing System for Refractory Metal Propulsion Hardware, Phase II, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In this work, Ultramet is developing a three-dimensional (3D) laser-directed chemical vapor deposition (CVD) additive manufacturing system to build free-form...

  4. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    International Nuclear Information System (INIS)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-01

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasive and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.

  5. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  6. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  7. Low temperature photoresponse of monolayer tungsten disulphide

    Directory of Open Access Journals (Sweden)

    Bingchen Cao

    2014-11-01

    Full Text Available High photoresponse can be achieved in monolayers of transition metal dichalcogenides. However, the response times are inconveniently limited by defects. Here, we report low temperature photoresponse of monolayer tungsten disulphide prepared by exfoliation and chemical vapour deposition (CVD method. The exfoliated device exhibits n-type behaviour; while the CVD device exhibits intrinsic behaviour. In off state, the CVD device has four times larger ratio of photoresponse for laser on/off and photoresponse decay–rise times are 0.1 s (limited by our setup, while the exfoliated device has few seconds. These findings are discussed in terms of charge trapping and localization.

  8. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    Science.gov (United States)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  9. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    Energy Technology Data Exchange (ETDEWEB)

    Cauzid, J. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, BP 220, 38043 Grenoble Cedex (France)], E-mail: jean.cauzid@esrf.fr; Philippot, P. [Geobiosphere Actuelle et Primitive, Institut de Physique du Globe de Paris, CNRS and Universite Denis Diderot, Case 89, 4 place Jussieu, 75252 Paris Cedex 05 (France); Bleuet, P. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, BP 220, 38043 Grenoble Cedex (France); Simionovici, A. [Laboratoire de Geophysique Interne et Tectonophysique, BP 53, 38041 Grenoble Cedex 9 (France); Somogyi, A. [Synchrotron Soleil, DiffAbs beamline, Saint-Aubin, BP 48, 91192 Gif-sur-Yvette Cedex (France); Golosio, B. [Instituto di Matematica e Fisica, Universita di Sassari, 2 via Vienna, 07100 Sassari (Italy)

    2007-08-15

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  10. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    Science.gov (United States)

    Cauzid, J.; Philippot, P.; Bleuet, P.; Simionovici, A.; Somogyi, A.; Golosio, B.

    2007-08-01

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  11. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  12. Chemical vapour deposition at atmospheric pressure of graphene on molybdenum foil: Effect of annealing time on characteristics and corrosion stability of graphene coatings

    International Nuclear Information System (INIS)

    Naghdi, Samira; Jevremović, Ivana; Mišković-Stanković, Vesna; Rhee, Kyong Yop

    2016-01-01

    Highlights: • Atmospheric pressure chemical vapor deposition of graphene on molybdenum foils. • Quality and domain size of graphene layers increased with longer annealing times. • The number of graphene layers decreased with longer annealing times. • Graphene coatings on molybdenum foils exhibited corrosion inhibitive properties. - Abstract: In this work, the effect of pre-annealing of Mo substrate on the quality of graphene layers grown by chemical vapour deposition was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy. Moreover, different electrochemical techniques were employed to investigate the corrosion stability of the graphene coated Mo in 0.1 M NaCl. Longer annealing time resulted in less defective graphene coatings with fewer layers. Graphene coating on the annealed Mo provided better protection against corrosion during the initial exposure times, while after prolonged exposure times, both graphene coatings on annealed and non-annealed Mo exhibited nearly the same corrosion inhibitive properties.

  13. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  14. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  15. Surface engineering of artificial heart valve disks using nanostructured thin films deposited by chemical vapour deposition and sol-gel methods.

    Science.gov (United States)

    Jackson, M J; Robinson, G M; Ali, N; Kousar, Y; Mei, S; Gracio, J; Taylor, H; Ahmed, W

    2006-01-01

    Pyrolytic carbon (PyC) is widely used in manufacturing commercial artificial heart valve disks (HVD). Although PyC is commonly used in HVD, it is not the best material for this application since its blood compatibility is not ideal for prolonged clinical use. As a result thrombosis often occurs and the patients are required to take anti-coagulation drugs on a regular basis in order to minimize the formation of thrombosis. However, anti-coagulation therapy gives rise to some detrimental side effects in patients. Therefore, it is extremely urgent that newer and more technically advanced materials with better surface and bulk properties are developed. In this paper, we report the mechanical properties of PyC-HVD, i.e. strength, wear resistance and coefficient of friction. The strength of the material was assessed using Brinell indentation tests. Furthermore, wear resistance and coefficient of friction values were obtained from pin-on-disk testing. The micro-structural properties of PyC were characterized using XRD, Raman spectroscopy and SEM analysis. Also in this paper we report the preparation of freestanding nanocrystalline diamond films (FSND) using the time-modulated chemical vapour deposition (TMCVD) process. Furthermore, the sol-gel technique was used to uniformly coat PyC-HVD with dense, nanocrystalline-titanium oxide (nc-TiO2) coatings. The as-grown nc-TiO2 coatings were characterized for microstructure using SEM and XRD analysis.

  16. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  17. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  18. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  19. Vapour pressures and enthalpies of vapourization of a series of the linear aliphatic nitriles

    International Nuclear Information System (INIS)

    Emel'yanenko, Vladimir N.; Verevkin, Sergey P.; Koutek, Bohumir; Doubsky, Jan

    2005-01-01

    Vapour pressures and the molar enthalpies of vapourization ΔlgHm-bar of the linear aliphatic nitriles C 7 -C 17 have been determined by the transpiration method. Kovat's indices of these compounds were measured by capillary gas-chromatography. A linear correlation of enthalpies of vapourization ΔlgHm-bar at T=298.15 K of the nitriles studied with the Kovats indices has been found

  20. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A.; Gonon, P.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  1. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A A; Gonon, P; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  2. The role of the gas species on the formation of carbon nanotubes during thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    Ohashi, Fumitaka; Chen, Guan Yow; Stolojan, Vlad; Silva, S Ravi P

    2008-01-01

    In this paper, we investigate the several roles that hydrogen plays in the catalytic growth of carbon nanotubes from the point of view of gas species, catalyst activation and subsequent interaction with the carbon nanotubes. Carbon nanotubes and nanofibres were grown by thermal chemical vapour deposition, using methane and a mixture of hydrogen and helium, for a range of growth temperatures and pre-treatment procedures. Long, straight carbon nanotubes were obtained at 900 deg. C, and although the growth yield increases with the growth temperature, the growth shifts from nanotubes to nanofibres. By introducing a helium purge as part of the pre-treatment procedure, we change the gas chemistry by altering the hydrogen concentration in the initial reaction stage. This simple change in the process resulted in a clear difference in the yield and the structure of the carbon nanofibres produced. We find that the hydrogen concentration in the initial reaction stage significantly affects the morphology of carbon fibres. Although hydrogen keeps the catalyst activated and increases the yield, it prevents the formation of graphitic nanotubes.

  3. Polymer Adsorption on Graphite and CVD Graphene Surfaces Studied by Surface-Specific Vibrational Spectroscopy.

    Science.gov (United States)

    Su, Yudan; Han, Hui-Ling; Cai, Qun; Wu, Qiong; Xie, Mingxiu; Chen, Daoyong; Geng, Baisong; Zhang, Yuanbo; Wang, Feng; Shen, Y R; Tian, Chuanshan

    2015-10-14

    Sum-frequency vibrational spectroscopy was employed to probe polymer contaminants on chemical vapor deposition (CVD) graphene and to study alkane and polyethylene (PE) adsorption on graphite. In comparing the spectra from the two surfaces, it was found that the contaminants on CVD graphene must be long-chain alkane or PE-like molecules. PE adsorption from solution on the honeycomb surface results in a self-assembled ordered monolayer with the C-C skeleton plane perpendicular to the surface and an adsorption free energy of ∼42 kJ/mol for PE(H(CH2CH2)nH) with n ≈ 60. Such large adsorption energy is responsible for the easy contamination of CVD graphene by impurity in the polymer during standard transfer processes. Contamination can be minimized with the use of purified polymers free of PE-like impurities.

  4. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    Science.gov (United States)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry-differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C4H4CuO6 → Cu reaction occurs at ∼250-310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100-400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5-1 μm and fiber diameter of 100-200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  5. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  6. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  7. Transport properties of field effect transistors with randomly networked single walled carbon nanotubes grown by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Kim, Un Jeong; Park, Wanjun

    2009-01-01

    The transport properties of randomly networked single walled carbon nanotube (SWNT) transistors with different channel lengths of L c = 2-10 μm were investigated. Randomly networked SWNTs were directly grown for the two different densities of ρ ∼ 25 μm -2 and ρ ∼ 50 μm -2 by water plasma enhanced chemical vapour deposition. The field effect transport is governed mainly by formation of the current paths that is related to the nanotube density. On the other hand, the off-state conductivity deviates from linear dependence for both nanotube density and channel length. The field effect mobility of holes is estimated as 4-13 cm 2 V -1 s -1 for the nanotube transistors based on the simple MOS theory. The mobility is increased for the higher density without meaningful dependence on the channel lengths.

  8. The characteristics of photo-CVD SiO{sub 2} and its application on SiC MIS UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.H.; Chang, C.S.; Chang, S.J.; Su, Y.K.; Chiou, Y.Z.; Liu, S.H.; Huang, B.R

    2003-07-15

    SiO{sub 2} layers were deposited onto SiC by photo-chemical vapor deposition (photo-CVD) using deuterium (D{sub 2}) lamp as the excitation source. For the photo-SiO{sub 2} deposited 500 deg. C, interface state density (D{sub it}) was estimated to be 5.66x10{sup 11} cm{sup -2} eV{sup -1}. With an applied electric field of 4 MV cm{sup -1}, it was found that the leakage current was only 3.15x10{sup -8} A cm{sup -2} for the photo-CVD SiO{sub 2} layer prepared at 500 deg. C. It was also found that photo-SiO{sub 2} could effectively suppress dark current of SiC-based photodetectors (PDs). It was found that we could reduce dark current of SiC-based PDs by about three orders of magnitude by the insertion of a 5 nm-thick photo-CVD SiO{sub 2} film in between Indium-tin-oxide (ITO) contact and the underneath SiC. Photocurrent to dark current ratio of ITO/SiO{sub 2}/SiC MIS PDs was also found to be much larger than that of conventional ITO/SiC Schottky barrier PDs.

  9. Estimation of magnetic relaxation property for CVD processed YBCO-coated conductors

    International Nuclear Information System (INIS)

    Takahashi, Y.; Kiuchi, M.; Otabe, E.S.; Matsushita, T.; Shikimachi, K.; Watanabe, T.; Kashima, N.; Nagaya, S.

    2010-01-01

    Ion Beam Assist Deposition/Chemical Vapor Deposition(IBAD/CVD)-processed YBCO-coated conductors with high critical current density J c at high magnetic fields are expected to be applied to superconducting equipments such as superconducting magnetic energy storage (SMES). For application to superconducting magnet in SMES one of the most important properties for superconductors is the relaxation property of superconducting current. In this paper, the relaxation property is investigated for IBAD/CVD-processed YBCO-coated conductors of the superconducting layer in the range of 0.18-0.90 μm. This property can be quantitatively characterized by the apparent pinning potential, U 0 *. It is found that U 0 * takes a smaller value due to the two-dimensional pinning mechanism at high magnetic fields for conductor with thinner superconducting layer. Although U 0 * decreases with increasing thickness at low magnetic fields at 20 K, it increases at high magnetic fields. The results are theoretically explained by the model of the flux creep and flow based on the dimensionality of flux pinning. Scaling analysis is examined for the dependence of U 0 * on the magnetic field, temperature and the layer thickness.

  10. Review on properties, dispersion and toxicology of carbon nanotubes

    International Nuclear Information System (INIS)

    Saeed, K.

    2010-01-01

    Carbon nanotubes (CNTs) have the most intensely studied nano structures because of their unique properties. There are two types of carbon nanotubes CNTs, single-walled carbon nanotubes (SWNTs) and multi-walled carbon nanotubes (MWNTs), prepared by chemical-vapour deposition (CVD), plasma enhanced chemical-vapour deposition, thermal chemical vapour deposition, Vapour phase growth, Arc discharge and Lasser ablation. Both single-walled carbon nanotubes (SWNTs) and multi-walled carbon nanotubes (MWNTs) possess high mechanical and electrical conductivity, ultra-light weight, high aspect ratio and have excellent chemical and thermal stabilities. They also possess semi- and metallic-conductive properties depending upon their chirality. This review focuses on progress toward functionalization (not only dispersed nano tube but also dramatically improve their solubility), preparation and purification, composites and the toxicity of the carbon nanotubes (CNTs). The functional groups attached to carbon nanotubes (CNTs) should react with polymers and improve the mechanical properties of the nano composites. Carbon nanotubes (CNTs) has significant application in pharmaceutical field such as drug delivery and nano medicine, but the available literature also suggests that carbon nanotubes (CNTs) may have unusual toxicity and have more adverse effects than the same mass of nano size carbon and quartz. (author)

  11. Continuous measurements of isotopic composition of water vapour on the East Antarctic Plateau

    Directory of Open Access Journals (Sweden)

    M. Casado

    2016-07-01

    Full Text Available Water stable isotopes in central Antarctic ice cores are critical to quantify past temperature changes. Accurate temperature reconstructions require one to understand the processes controlling surface snow isotopic composition. Isotopic fractionation processes occurring in the atmosphere and controlling snowfall isotopic composition are well understood theoretically and implemented in atmospheric models. However, post-deposition processes are poorly documented and understood. To quantitatively interpret the isotopic composition of water archived in ice cores, it is thus essential to study the continuum between surface water vapour, precipitation, surface snow and buried snow. Here, we target the isotopic composition of water vapour at Concordia Station, where the oldest EPICA Dome C ice cores have been retrieved. While snowfall and surface snow sampling is routinely performed, accurate measurements of surface water vapour are challenging in such cold and dry conditions. New developments in infrared spectroscopy enable now the measurement of isotopic composition in water vapour traces. Two infrared spectrometers have been deployed at Concordia, allowing continuous, in situ measurements for 1 month in December 2014–January 2015. Comparison of the results from infrared spectroscopy with laboratory measurements of discrete samples trapped using cryogenic sampling validates the relevance of the method to measure isotopic composition in dry conditions. We observe very large diurnal cycles in isotopic composition well correlated with temperature diurnal cycles. Identification of different behaviours of isotopic composition in the water vapour associated with turbulent or stratified regime indicates a strong impact of meteorological processes in local vapour/snow interaction. Even if the vapour isotopic composition seems to be, at least part of the time, at equilibrium with the local snow, the slope of δD against δ18O prevents us from identifying

  12. Lithium storage properties of multiwall carbon nanotubes prepared by CVD

    International Nuclear Information System (INIS)

    Ahn, J.-O.; Andong National University,; Wang, G.X.; Liu, H.K.; Dou, S.X.

    2003-01-01

    Full text: Multiwall carbon nanotubes (MWCNTs) were synthesised by chemical vapour deposition (CVD) method using acetylene gas. The XRD pattern of as prepared carbon nanotubes showed that the d 002 value is 3.44 Angstroms. The morphology and microstructure of carbon nanotubes were characterized by HRTEM. Most of carbon nanotubes are entangled together to form bundles or ropes. The diameter of the carbon nanotubes is in the range of 10 ∼ 20 nm. There is a small amount of amorphous carbon particles presented in the sample. However, the yield of carbon nanotubes is more than 95%. Electrochemical properties of carbon nanotubes were characterised via a variety of electrochemical testing techniques. The result of CV test showed that the Li insertion potential is quite low, which is very close to O V versus Li + /Li reference electrode, whereas the potential for Li de-intercalation is in the range of 0.2-0.4 V. There exists a slight voltage hysteresis between Li intercalation and Li de-intercalation, which is similar to the other carbonaceous materials. The intensity of redox peaks of carbon nanotubes decrease with scanning cycle, indicating that the reversible Li insertion capacity gradually decreases. The carbon nanotubes electrode demonstrated a reversible lithium storage capacity of 340 mAh/g with good cyclability at moderate current density. Further improvement of Li storage capacity is possible by opening the end of carbon nanotubes to allow lithium insertion into inner graphene sheet of carbon nanotubes. The kinetic properties of lithium insertion in carbon nanotube electrodes were characterised by a.c. impedance measurements. It was found that the lithium diffusion coefficient d Li decreases with an increase of Li ion concentration in carbon nanotube host

  13. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  14. Materiales porosos basados en mezclas de fibras y polvos cerámicos para aplicaciones refractarias.

    OpenAIRE

    Vázquez Martínez, L. (Lexuri); Sanchez-Moreno, J.M.(José Manuel)

    2016-01-01

    In the present thesis two new ceramic materials of high porosity (>85 % in volume) have been developed for the application in combustion boilers. Primarily, materials for its use as gas burners based on highly pure silica fibres (>95 % wt. of silica, called “High silica” HS) and linked together by a coating of silicon carbide deposited by chemical vapour deposition (CVD) from methyltrichlorosilane (MTS) as a precursor were developed. These materials are proposed as an alternative for the a...

  15. Fast method for reactor and feature scale coupling in ALD and CVD

    Science.gov (United States)

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  16. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  17. Clean and polymer-free transfer of CVD-grown graphene films on hexagonal boron nitride substrates

    Science.gov (United States)

    Fujihara, Miho; Ogawa, Shun; Yoshimura, Shintaro; Inoue, Ryosuke; Maniwa, Yutaka; Taniguchi, Takashi; Watanabe, Kenji; Shinohara, Hisanori; Miyata, Yasumitsu

    2017-05-01

    This report describes the development of a solution-assisted, polymer-free transfer method and the characterization of chemical vapor deposition (CVD)-grown graphene on hexagonal boron nitride. Raman analysis reveals that polymer-free samples have small variations in G- and 2D-mode Raman frequencies and are minimally affected by charge doping as observed for clean exfoliated graphene. Electrical measurements indicate that charge doping, hysteresis, and carrier scattering are suppressed in polymer-free samples. The results demonstrate that this method provides a simple and effective way to prepare clean heterostructures of CVD-grown, large-area graphene and other two-dimensional materials.

  18. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  19. The Liquid Vapour Interface

    DEFF Research Database (Denmark)

    Als-Nielsen, Jens Aage

    1985-01-01

    In this short review we are concerned with the density variation across the liquid-vapour interface, i.e. from the bulk density of the liquid to the essentially zero density of the vapour phase. This density variation can in principle be determined from the deviation of the reflectivity from...

  20. Carbon nanotubes overgrown and ingrown with nanocrystalline diamonddeposited by different CVD plasma systems

    Czech Academy of Sciences Publication Activity Database

    Varga, Marián; Vretenár, V.; Ižák, Tibor; Skákalová, V.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2413-2419 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(CZ) 7AMB14SK037 Institutional support: RVO:68378271 Keywords : carbon nanotubes * chemical vapour deposition * composites * gas composition * nanocrystalline diamond Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  1. From MEMS to nanomachine

    International Nuclear Information System (INIS)

    Esashi, Masayoshi; Ono, Takahito

    2005-01-01

    Practically applicable microelectromechanical systems (MEMS) and nanomachines have been developed by applying dry processes. Deep reactive ion etching (RIE) of silicon and its applications to an electrostatically levitated rotational gyroscope, a fibre optic blood pressure sensor and in micro-actuated probes are described. High density electrical feedthrough in glass is made using deep RIE of glass and electroplating of metal. Multi-probe data storage system has been developed using the high density electrical feedthrough in glass. Chemical vapour deposition (CVD) of different materials have been developed for MEMS applications; trench-refill using SiO 2 CVD, microstructures using Silicon carbide CVD for glass mold press and selective CVD of carbon nanotube for electron field emitter. Multi-column electron beam lithography system has been developed using the electron field emitter. (topical review)

  2. Evaluation of freestanding boron-doped diamond grown by chemical vapour deposition as substrates for vertical power electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Issaoui, R.; Achard, J.; Tallaire, A.; Silva, F.; Gicquel, A. [LSPM-CNRS (formerly LIMHP), Universite Paris 13, 99, Avenue Jean-Baptiste Clement, 93430 Villetaneuse (France); Bisaro, R.; Servet, B.; Garry, G. [Thales Research and Technology France, Campus de Polytechnique, 1 Avenue Augustin Fresnel, F-91767 Palaiseau Cedex (France); Barjon, J. [GEMaC-CNRS, Universite de Versailles Saint Quentin Batiment Fermat, 45 Avenue des Etats-Unis, 78035 Versailles Cedex (France)

    2012-03-19

    In this study, 4 x 4 mm{sup 2} freestanding boron-doped diamond single crystals with thickness up to 260 {mu}m have been fabricated by plasma assisted chemical vapour deposition. The boron concentrations measured by secondary ion mass spectroscopy were 10{sup 18} to 10{sup 20} cm{sup -3} which is in a good agreement with the values calculated from Fourier transform infrared spectroscopy analysis, thus indicating that almost all incorporated boron is electrically active. The dependence of lattice parameters and crystal mosaicity on boron concentrations have also been extracted from high resolution x-ray diffraction experiments on (004) planes. The widths of x-ray rocking curves have globally shown the high quality of the material despite a substantial broadening of the peak, indicating a decrease of structural quality with increasing boron doping levels. Finally, the suitability of these crystals for the development of vertical power electronic devices has been confirmed by four-point probe measurements from which electrical resistivities as low as 0.26 {Omega} cm have been obtained.

  3. Development of a new thin film technology for PV systems: Open-system CCSVT process for production of ZnSe/CuGaSe{sub 2} hetero diodes. Final report; Entwicklung einer neuen Duennschichttechnologie fuer die Photovoltaik: CCSVT-Verfahren im offenen System zur Herstellung von ZnSe/CuGaSe{sub 2}-Heterodioden. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Lux-Steiner, M.C.; Jaeger-Waldau, A.

    2000-07-01

    A new technology for fast, large-surface deposition of high-quality semiconducting thin films for PV systems. The new technology, CSVT (close-space vapour transport), is based on a combination of VPE (vapour phase epitaxy) in open systems and CVT (chemical vapour phase transport) in closed systems. The two-source CVD experiments with two different transport gases, i.e. Cu{sub 2}Se with iodine and Ga{sub 2}Se{sub 3} with HCl, enabled controlled variation of the Cu:Ga ratio in the gaseous phase. The solar cells produced had efficiencies of more than 4%. The best solar cell produced had an efficiency of 4.8% and an open terminal voltage of 863 mV. [German] Ziel des Vorhabens war die Entwicklung einer neuen Technologie zur schnellen, grossflaechigen Abscheidung von qualitativ hochstehenden, halbleitenden Duennschichten fuer die Photovoltaik. Das Konzept des neuen Abscheideverfahrens, CSVT (close-space vapour transport) im offenen System, basiert auf einer Kombination der etablierten Methoden VPE (vapour phase epitaxy) im offenen und CVT (chemical vapour phase transport) im geschlossenen System. Die 2-Quellen-CVD-Experimente mit den zwei unterschiedlichen Transportgasen (Cu{sub 2}Se mit Iod; Ga{sub 2}Se{sub 3} mit HCl) ermoeglichten die kontrollierte Variation des Cu:Ga=Verhaeltnisses in der Gasphase. Bisher konnten so Solarzellen mit Wirkungsgraden ueber 4% hergestellt werden, wobei bei der bisher besten Solarzelle ein Wirkungsgrad von {eta}=4.8% und eine offene Klemmenspannung von V{sub oc}=863 mV erreicht wurde. (orig.)

  4. Thermodynamic approach to the conditions of chemical deposition of boron by contact with an inert substrate

    International Nuclear Information System (INIS)

    Thebault, J.; Naslain, R.; Hagenmuller, P.; Bernard, C.

    1978-01-01

    The optimum conditions for the synthesis of boron by chemical vapour deposition (CVD) from BCl 3 -H 2 or BBr 3 -H 2 mixtures onto an inert substrate (boron or boronized metals) have been studied by a thermodynamic approach. This approach, which postulates that states close to equilibrium are reached in the vicinity of the hot substrate, is based on the minimization of the total Gibbs free energy of the system. Between 1200 and 1900 K and under a total pressure of 1 atm, the hydrogen reduction of BCl 3 can lead to two types of by-products: BHCl 2 at all temperatures, and BCl 2 or BCl subhalides at high temperatures; BHCl 2 is the main product of the reduction at the lowest temperatures. The hydrogen reduction of BCl 3 is never complete for the conditions commonly used for the synthesis of boron. The amount of by-products and of BBr 3 which must be recycled can be minimized by utilizing BCl 3 -H 2 mixtures rich in hydrogen. The amount of boron deposited exhibits a maximum for a temperature close to 1700 K. Similar results have been obtained for BBr 3 . However, between 1000 and 1500 K and under a total pressure of 1 atm the amount of by-products (BHBr 2 and BBr 2 ) is smaller than in the case of BCl 3 . The boron yield from the reduction of BBr 3 is higher than that from BCl 3 and the percentage of boron halide which must be recycled is lower in the case of BBr 3 . Thus, BBr 3 appears to be a better source than BCl 3 for the CVD of boron. (Auth.)

  5. GE NANOCLUSTERS IN PLANAR GLASS WAVEGUIDES DEPOSITED BY PECVD

    DEFF Research Database (Denmark)

    Haiyan, Ou; Olsen, Johnny H.; Rottwitt, Karsten

    2004-01-01

    Germanium (Ge) has been widely used as the dopant in the core layer of planar glass waveguides to increase the refractive index because it gives a small propagation loss. Plasma enhanced chemical vapour deposition (PECVD) and flame hydrolysis deposition (FHD) are two main material deposition meth...

  6. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  7. Vapour dynamics during magma-water interaction experiments: hydromagmatic origins of submarine volcaniclastic particles (limu o Pele)

    Science.gov (United States)

    Schipper, C. Ian; Sonder, Ingo; Schmid, Andrea; White, James D. L.; Dürig, Tobias; Zimanowski, Bernd; Büttner, Ralf

    2013-03-01

    Recent observations have shattered the long-held theory that deep-sea (>500 m) explosive eruptions are impossible; however, determining the dynamics of unobserved eruptions requires interpretation of the deposits they produce. For accurate interpretation to be possible, the relative abilities of explosive magmatic degassing and non-explosive magma-water interaction to produce characteristic submarine volcaniclastic particles such as `limu o Pele' (bubble wall shards of glass) must be established. We experimentally address this problem by pouring remelted basalt (1300 °C, anhydrous) into a transparent, water-filled reservoir, recording the interaction with a high-speed video camera and applying existing heat transfer models. We performed the experiments under moderate to high degrees of water subcooling (˜8 l of water at 58 and 3 °C), with ˜0.1 to 0.15 kg of melt poured at ˜10-2 kg s-1. Videos show the non-explosive, hydromagmatic blowing and bursting of isolated melt bubbles to form limu o Pele particles that are indistinguishable from those found in submarine volcaniclastic deposits. Pool boiling around growing melt bubbles progresses from metastable vapour film insulation, through vapour film retraction/collapse, to direct melt-water contact. These stages are linked to the evolution of melt-water heat transfer to verify the inverse relationship between vapour film stability and the degree of water subcooling. The direct contact stage in particular explains the extremely rapid quench rates determined from glass relaxation speedometry for natural limu. Since our experimentally produced limu is made entirely by the entrapping of ambient water in degassed basaltic melt, we argue that the presence of fast-quenched limu o Pele in natural deposits is not diagnostic of volatile-driven explosive eruptions. This must be taken into account if submarine eruption dynamics are to be accurately inferred from the deposits and particles they produce.

  8. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  9. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  10. Aluminum and aluminum/silicon coatings on ferritic steels by CVD-FBR technology

    International Nuclear Information System (INIS)

    Perez, F.J.; Hierro, M.P.; Trilleros, J.A.; Carpintero, M.C.; Sanchez, L.; Bolivar, F.J.

    2006-01-01

    The use of chemical vapor deposition by fluidized bed reactors (CVD-FBR) offers some advantages in comparison to other coating techniques such as pack cementation, because it allows coating deposition at lower temperatures than pack cementation and at atmospheric pressure without affecting the mechanical properties of material due to heat treatments of the bulk during coating process. Aluminum and aluminum/silicon coatings have been obtained on two different ferritics steels (P-91 and P-92). The coatings were analyzed using several techniques like SEM/EDX and XRD. The results indicated that both coatings were form by Fe 2 Al 5 intermetallic compound, and in the co-deposition the Si was incorporated to the Fe 2 Al 5 structure in small amounts

  11. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  12. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  13. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  14. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  15. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  16. Contribution to understanding and controlling a-Si:H thin films growth by mercury-sensitised photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2003-09-01

    Mercury-sensitized photo-CVD technique is widely used for growing amorphous silicon thin films. This attractive method allows damage-free thin film depositions at very low substrate temperatures without the deleterious effects of the other processes. This review reports on the principle and potential of this technique. It also recalls and summarizes some fundamental issues such as experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface-reaction model of SiH 3 and H during the film growth and all the kinetic model for lamp-induced Photo-CVD. (author)

  17. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction; Electrocatalizadores a base de platino, cobalto y niquel preparados por aleado mecanico y CVD para la reaccion de reduccion de oxigeno

    Energy Technology Data Exchange (ETDEWEB)

    Garcia C, M A [ININ, 52750 La Marquesa, Estado de Mexico (Mexico)

    2008-07-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H{sub 2}SO{sub 4} 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H{sub 2}O{sub 2}. All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic

  18. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  19. Properties of Pt/C catalyst modified by chemical vapor deposition of Cr as a cathode of phosphoric acid fuel cell

    International Nuclear Information System (INIS)

    Seo, Sang Joon; Joh, Han-Ik; Kim, Hyun Tae; Moon, Sang Heup

    2006-01-01

    Cr-modified Pt/C catalysts were prepared by the chemical vapour deposition (CVD) of Cr on Pt/C, and their performance as a cathode of phosphoric acid fuel cell (PAFC) was compared with the case of catalysts containing Cr added by impregnation (IMP). The catalyst prepared by CVD showed a higher activity for oxygen reduction reaction (ORR) than one prepared by IMP. There was an optimum amount of Cr that yielded the maximum mass activity of the catalyst because the gain in the intrinsic activity due to the promotional effect of Cr was counterbalanced by the loss of exposed Pt surface area as a result of the Cr introduction. Nevertheless, the activity increase at the optimum amount of Cr was greater for the CVD catalyst than for the IMP catalyst. Also, the optimum amount of Cr to yield the maximum activity was smaller for the former catalyst [Cr/Pt] CVD = 0.6, than for the latter, [Cr/Pt] IMP = 1.0. The enhancement of the Pt catalyst activity by Cr addition is attributed to two factors: changes in the surface Pt-Pt spacing and the electronic modification of the Pt surface. The formation of a Pt-Cr alloy, as confirmed by X-ray diffraction, decreased the lattice parameter of Pt, which was beneficial to the catalyst activity for ORR. X-ray photoelectron spectroscopy results showed that the binding energies of Pt electrons were shifted to higher energies due to Cr modification. Accordingly, the electron density of Pt was lowered and the Pt-O bond became weak on the Cr-modified catalysts, which was also beneficial to the catalyst activity for ORR. The promotion of oxygen reduction on Cr-modified catalysts was confirmed by measuring the cyclic voltammograms of the catalysts. All the above changes were made more effectively for catalysts prepared by CVD than for those prepared by IMP because the former method allowed Cr to interact more closely with the Pt surface than the latter, which was demonstrated by the characterization of catalysts in this study

  20. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  1. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  2. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  3. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  4. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  5. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  6. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    Science.gov (United States)

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  7. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    Science.gov (United States)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  8. Diamond detectors for high energy physics experiments

    Science.gov (United States)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  9. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  10. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  11. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction

    International Nuclear Information System (INIS)

    Garcia C, M. A.

    2008-01-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H 2 SO 4 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H 2 O 2 . All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic electrolyte PtCoNi 70

  12. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  13. Nanostructured thin films as functional coatings

    Energy Technology Data Exchange (ETDEWEB)

    Lazar, Manoj A; Tadvani, Jalil K; Tung, Wing Sze; Lopez, Lorena; Daoud, Walid A, E-mail: Walid.Daoud@sci.monash.edu.au [School of Applied Sciences and Engineering, Monash University, Churchill, VIC 3842 (Australia)

    2010-06-15

    Nanostructured thin films is one of the highly exploiting research areas particularly in applications such as photovoltaics, photocatalysis and sensor technologies. Highly tuned thin films, in terms of thickness, crystallinity, porosity and optical properties, can be fabricated on different substrates using the sol-gel method, chemical solution deposition (CSD), electrochemical etching, along with other conventional methods such as chemical vapour deposition (CVD) and physical vapour deposition (PVD). The above mentioned properties of these films are usually characterised using surface analysis techniques such as XRD, SEM, TEM, AFM, ellipsometry, electrochemistry, SAXS, reflectance spectroscopy, STM, XPS, SIMS, ESCA, X-ray topography and DOSY-NMR. This article presents a short review of the preparation and characterisation of thin films of nanocrystalline titanium dioxide and modified silicon as well as their application in solar cells, water treatment, water splitting, self cleaning fabrics, sensors, optoelectronic devices and lab on chip systems.

  14. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  15. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  16. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  17. Temperature-dependent Hall effect studies of ZnO thin films grown by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Roro, K T; Dangbegnon, J K; Sivaraya, S; Westraadt, J E; Neethling, J H; Leitch, A W R; Botha, J R; Kassier, G H

    2008-01-01

    The electrical properties of zinc oxide (ZnO) thin films of various thicknesses (0.3–4.4 µm) grown by metalorganic chemical vapour deposition on glass substrates have been studied by using temperature-dependent Hall-effect (TDH) measurements in the 18–300 K range. The high quality of the layers has been confirmed with x-ray diffraction, transmission electron microscopy, scanning electron microscopy and photoluminescence techniques. TDH measurements indicate the presence of a degenerate layer which significantly influences the low-temperature data. It is found that the measured mobility generally increases with increasing layer thickness, reaching a value of 120 cm 2 V −1 s −1 at room temperature for the 4.4 µm thick sample. The lateral grain size of the layers is also found to increase with thickness indicating a clear correlation between the size of the surface grains and the electrical properties of corresponding films. Theoretical fits to the Hall data suggest that the bulk conduction of the layers is dominated by a weakly compensated donor with activation energy in the 33–41 meV range and concentration of the order of 10 17 cm −3 , as well as a total acceptor concentration of mid-10 15 cm −3 . Grain boundary scattering is found to be an important limiting factor of the mobility throughout the temperature range considered

  18. GaN-based FETs using Cat-CVD SiN passivation for millimeter-wave applications

    International Nuclear Information System (INIS)

    Higashiwaki, Masataka; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al 0.4 Ga 0.6 N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications

  19. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  20. Structure And Properties Of PVD Coatings Deposited On Cermets

    Directory of Open Access Journals (Sweden)

    Żukowska L.

    2015-06-01

    Full Text Available The main aim of the research is the investigation of the structure and properties of single-layer and gradient coatings of the type (Ti,AlN and Ti(C,N deposited by physical vapour deposition technology (PVD on the cermets substrate.

  1. Characterisation of Pristine and Recoated electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings on AISI M2 steel and WC-Co substrates

    International Nuclear Information System (INIS)

    Avelar-Batista, J.C.; Spain, E.; Housden, J.; Fuentes, G.G.; Rebole, R.; Rodriguez, R.; Montala, F.; Carreras, L.J.; Tate, T.J.

    2005-01-01

    This paper is focussed on the characterisation of electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings deposited on AISI M2 steel and hardmetal (K10) substrates in two different conditions: Pristine (i.e., coated) and Recoated (i.e., stripped and recoated). Analytical methods, including X-ray diffraction (XRD), scanning electron microscopy, scratch adhesion and pin-on-disc tests were used to evaluate several coating properties. XRD analyses indicated that both Pristine and Recoated coatings consisted of a mixture of hexagonal Cr 2 N and cubic CrN, regardless of substrate type. For the M2 steel substrate, only small differences were found in terms of coating phases, microstructure, adhesion, friction and wear coefficients between Pristine and Recoated. Recoated on WC-Co (K10) exhibited a less dense microstructure and significant inferior adhesion compared to Pristine on WC-Co (K10). The wear coefficient of Recoated on WC-Co was 100 times higher than those exhibited by all other specimens. The results obtained confirm that the stripping process did not adversely affect the Cr-N properties when this coating was deposited onto M2 steel substrates, but it is clear from the unsatisfactory tribological performance of Recoated on WC-Co that the stripping process is unsuitable for hardmetal substrates

  2. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  3. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  4. Synthesis of carbon-13 labelled carbonaceous deposits and their evaluation for potential use as surrogates to better understand the behaviour of the carbon-14-containing deposit present in irradiated PGA graphite

    Energy Technology Data Exchange (ETDEWEB)

    Payne, L., E-mail: liam.payne@bristol.ac.uk [Interface Analysis Centre, HH Wills Physics Laboratory, University of Bristol, BS8 1TL (United Kingdom); Walker, S.; Bond, G. [Centre for Materials Science, University of Central Lancashire, PR1 2HE (United Kingdom); Eccles, H. [John Tyndall Institute for Nuclear Research, School of Computing, Engineering and Physical Sciences, University of Central Lancashire, PR1 2HE (United Kingdom); Heard, P.J.; Scott, T.B. [Interface Analysis Centre, HH Wills Physics Laboratory, University of Bristol, BS8 1TL (United Kingdom); Williams, S.J. [Radioactive Waste Management, B587, Curie Avenue, Harwell Oxford, Didcot, OX11 0RH (United Kingdom)

    2016-03-15

    The present work has used microwave plasma chemical vapour deposition to generate suitable isotopically labelled carbonaceous deposits on the surface of Pile Grade A graphite for use as surrogates for studying the behaviour of the deposits observed on irradiated graphite extracted from UK Magnox reactors. These deposits have been shown elsewhere to contain an enhanced concentration of {sup 14}C compared to the bulk graphite. A combination of Raman spectroscopy, ion beam milling with scanning electron microscopy and secondary ion mass spectrometry were used to determine topography and internal morphology in the formed deposits. Direct comparison was made against deposits found on irradiated graphite samples trepanned from a Magnox reactor core and showed a good similarity in appearance. This work suggests that the microwave plasma chemical vapour deposition technique is of value in producing simulant carbon deposits, being of sufficiently representative morphology for use in non-radioactive surrogate studies of post-disposal behaviour of {sup 14}C-containing deposits on some irradiated Magnox reactor graphite.

  5. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  6. Polycyclic aromatic hydrocarbon (PAH) deposition to and exchange at the air-water interface of Luhu, an urban lake in Guangzhou, China

    International Nuclear Information System (INIS)

    Li Jun; Cheng Hairong; Zhang Gan; Qi Shihua; Li Xiangdong

    2009-01-01

    Urban lakes are vulnerable to the accumulation of semivolatile organic compounds, such as PAHs from wet and dry atmospheric deposition. Little was reported on the seasonal patterns of atmospheric deposition of PAHs under Asian monsoon climate. Bulk (dry + wet) particle deposition, air-water diffusion exchange, and vapour wet deposition of PAHs in a small urban lake in Guangzhou were estimated based on a year-round monitoring. The total PAH particle deposition fluxes observed were 0.44-3.46 μg m -2 day -1 . The mean air-water diffusive exchange flux was 20.7 μg m -2 day -1 . The vapour deposition fluxes of PAHs ranged 0.15-8.26 μg m -2 day -1 . Remarkable seasonal variations of particulate PAH deposition, air-water exchange fluxes and vapour wet deposition were influenced by seasonal changes in meteorological parameters. The deposition fluxes were predominantly controlled by the precipitation intensity in wet season whereas by atmospheric concentration in dry season. - The PAH deposition fluxes were predominantly controlled by the precipitation intensity in wet season whereas by atmospheric concentration in dry season

  7. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  8. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  9. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    Science.gov (United States)

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  10. Phosphorus doping and deposition pressure effects on optical and electrical properties of polysilicon

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Abdelkrim, M.M.; Fathallah, M.; Mohammed-Brahim, T.; Rogel, R.

    2006-01-01

    The optical and electrical properties of amorphously deposited and then post-crystallized silicon films are studied as a function of the deposition pressure and the phosphorus doping. Amorphous silicon films are deposited in a high pressure regime by SAPCVD (Sub-Atmospheric Pressure Chemical Vapour Deposition) to study the effect of the deposition pressure. They are also deposited in a low pressure regime by LPCVD (Low Pressure Chemical Vapour Deposition) to study the effect of a low phosphorus doping. Both types of amorphous films are then crystallized in the solid phase at 600 deg. C. Using different optical and electrical characterization techniques, the beneficial effect of a high pressure as well as of a weak phosphorus doping on the decrease of the defect density is highlighted. These results give some ways to improve the quality of polysilicon enough to be used in photovoltaic or in thin film electronic devices

  11. Topographic and spectroscopic characterization of electronic edge states in CVD grown graphene nanoribbons.

    Science.gov (United States)

    Pan, Minghu; Girão, E Costa; Jia, Xiaoting; Bhaviripudi, Sreekar; Li, Qing; Kong, Jing; Meunier, V; Dresselhaus, Mildred S

    2012-04-11

    We used scanning tunneling microscopy and spectroscopy (STM/S) techniques to analyze the relationships between the edge shapes and the electronic structures in as-grown chemical vapor deposition (CVD) graphene nanoribbons (GNRs). A rich variety of single-layered graphene nanoribbons exhibiting a width of several to 100 nm and up to 1 μm long were studied. High-resolution STM images highlight highly crystalline nanoribbon structures with well-defined and clean edges. Theoretical calculations indicate clear spin-split edge states induced by electron-electron Coulomb repulsion. The edge defects can significantly modify these edge states, and different edge structures for both sides of a single ribbon produce asymmetric electronic edge states, which reflect the more realistic features of CVD grown GNRs. Three structural models are proposed and analyzed to explain the observations. By comparing the models with an atomic resolution image at the edge, a pristine (2,1) structure was ruled out in favor of a reconstructed edge structure composed of 5-7 member rings, showing a better match with experimental results, and thereby suggesting the possibility of a defective morphology at the edge of CVD grown nanoribbons. © 2012 American Chemical Society

  12. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  13. Atmospheric pressure chemical vapour deposition of the nitrides and oxynitrides of vanadium, titanium and chromium

    International Nuclear Information System (INIS)

    Elwin, G.S.

    1999-01-01

    A study has been made into the atmospheric pressure chemical vapour deposition of nitrides and oxynitrides of vanadium, titanium and chromium. Vanadium tetrachloride, vanadium oxychloride, chromyl chloride and titanium tetrachloride have been used as precursors with ammonia, at different flow conditions and temperatures. Vanadium nitride, vanadium oxynitride, chromium oxynitride, titanium/vanadium nitride and titanium/chromium oxynitride have been deposited as thin films on glass. The APCVD reaction of VCl 4 and ammonia leads to films with general composition VN x O y . By raising the ammonia concentration so that it is in excess (0.42 dm 3 min -1 VCl 4 with 1.0 dm 3 min -1 NH 3 at 500 deg. C) a film has been deposited with the composition VN 0.8 O 0.2 . Further investigation discovered similar elemental compositions could be reached by deposition at 350 deg. C (0.42 dm 3 min -1 VCl 4 with 0.5 dm 3 min -1 NH 3 ), followed by annealing at 650 deg. C, and cooled under a flow of ammonia. Only films formed below 400 deg. C were found to contain carbon or chlorine ( 3 and ammonia also lead to films of composition VN x O y the oxygen to nitrogen ratios depending on the deposition conditions. The reaction Of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.2 dm 3 min -1 ) at 500 deg. C lead to a film of composition VN 0. 47O 1.06 . The reaction of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.5 dm 3 min -1 ) at 650 deg. C lead to a film of composition VN 0.63 O 0.41 . The reaction of chromyl chloride with excess ammonia led to the formation of chromium oxide (Cr 2 O 3 ) films. Mixed metal films were prepared from the reactions of vanadium tetrachloride, titanium tetrachloride and ammonia to prepare V x Ti y N z and chromyl chloride, titanium tetrachloride and ammonia to form TiCr x O y N z . Both reactions produced the intended mixed coating but it was found that the vanadium / titanium nitride contained around 10 % vanadium whatever the conditions used. Oxygen contamination

  14. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  15. VOx effectively doping CVD-graphene for transparent conductive films

    Science.gov (United States)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  16. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M. L. [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy); Rossi, M. [Università degli Studi di Roma “Sapienza,” via A. Scarpa, Dipartimento di Scienze di Base e Applicate per l’Ingegneria and Centro di Ricerca per le Nanotecnologie Applicate all’Ingegneria (CNIS) (Italy); Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy)

    2016-11-15

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  17. The role of magmas in the formation of hydrothermal ore deposits

    Science.gov (United States)

    Hedenquist, Jeffrey W.; Lowenstern, Jacob B.

    1994-01-01

    Magmatic fluids, both vapour and hypersaline liquid, are a primary source of many components in hydrothermal ore deposits formed in volcanic arcs. These components, including metals and their ligands, become concentrated in magmas in various ways from various sources, including subducted oceanic crust. Leaching of rocks also contributes components to the hydrothermal fluid—a process enhanced where acid magmatic vapours are absorbed by deeply circulating meteoric waters. Advances in understanding the hydrothermal systems that formed these ore deposits have come from the study of their active equivalents, represented at the surface by hot springs and volcanic fumaroles.

  18. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  19. Cat-CVD-prepared oxygen-rich μc-Si:H for wide-bandgap material

    International Nuclear Information System (INIS)

    Matsumoto, Yasuhiro; Ortega, Mauricio; Peza, Juan-Manuel; Reyes, Mario-Alfredo; Escobosa, Arturo

    2005-01-01

    Microcrystalline phase-involved oxygen-rich a-Si:H (hydrogenated amorphous silicon) films have been obtained using catalytic chemical vapor deposition (Cat-CVD) process. Pure SiH 4 (silane), H 2 (hydrogen), and O 2 (oxygen) gases were introduced in the chamber by maintaining a pressure of 0.1 Torr. A tungsten catalyzer was fixed at temperatures of 1750 and 1950 deg. C for film deposition on glass and crystalline silicon substrates at 200 deg. C. As revealed from X-ray diffraction spectra, the microcrystalline phase appears for oxygen-rich a-Si:H samples deposited at a catalyzer temperature of 1950 deg. C. However, this microcrystalline phase tends to disappear for further oxygen incorporation. The oxygen content in the deposited films was corroborated by FTIR analysis revealing Si-O-Si bonds and typical Si-H bonding structures. The optical bandgap of the sample increases from 2.0 to 2.7 eV with oxygen gas flow and oxygen incorporation to the deposited films. In the present thin film deposition conditions, no strong tungsten filament degradation was observed after a number of sample preparations

  20. Anisotropy and dimensional characteristics in CVD route Y1Ba2Cu3O7-δ

    International Nuclear Information System (INIS)

    Watanabe, K.; Kobayashi, N.; Awaji, S.; Yamane, H.; Hirai, T.; Muto, Y.

    1993-01-01

    The anisotropic behaviors of the upper critical field B c2 and the critical current density J c were investigated in Y 1 Ba 2 Cu 3 O 7-δ films prepared by a chemical vapor deposition (CVD) route. The angular dependence of J c at fixed temperature, the field dependence of J c at fixed angle, and the temperature dependence of J c at fixed field were measured. The obtained results were explored in terms of the dimensional superconducting characteristics. The important information on the anisotropic behaviors of J c in CVD-Y 1 Ba 2 Cu 3 O 7-δ was discussed from a viewpoint of the flux pinning. (orig.)

  1. Investigation of PA-CVD of TiN: relations between process parameters, spectroscopic measurements and layer properties

    International Nuclear Information System (INIS)

    Rie, K.T.; Gebauer, A.; Woehle, J.

    1993-01-01

    The plasma-assisted chemical vapour deposition of TiN layers on steel substrates was investigated for various process parameters in this work. Optical emission spectroscopy (OES) was used to identify the species in the electrical discharge during the deposition process. The layer properties of the deposited TiN layers were determined by various methods (scanning electron microscopy, energy- and wavelength-dispersive X-ray analysis). The deposited layers have a constant amount of titanium, while the contents of nitrogen and chlorine show a contrary behaviour for different deposition parameters. The hardness of the layers is related among other things to the chlorine content. The OES investigations show that the Ti + and N 2 + emission is related to the layer growth rate. The formation of TiN in a gas phase reaction degrades the quality of the layers, such as their hardness. (orig.)

  2. Electrical and thermal conductivity of low temperature CVD graphene: the effect of disorder

    International Nuclear Information System (INIS)

    Vlassiouk, Ivan; Datskos, Panos; Smirnov, Sergei; Ivanov, Ilia; Hensley, Dale; Lavrik, Nickolay V; Fulvio, Pasquale F; Dai Sheng; Meyer, Harry; Chi Miaofang

    2011-01-01

    In this paper we present a study of graphene produced by chemical vapor deposition (CVD) under different conditions with the main emphasis on correlating the thermal and electrical properties with the degree of disorder. Graphene grown by CVD on Cu and Ni catalysts demonstrates the increasing extent of disorder at low deposition temperatures as revealed by the Raman peak ratio, I G /I D . We relate this ratio to the characteristic domain size, L a , and investigate the electrical and thermal conductivity of graphene as a function of L a . The electrical resistivity, ρ, measured on graphene samples transferred onto SiO 2 /Si substrates shows linear correlation with L a -1 . The thermal conductivity, K, measured on the same graphene samples suspended on silicon pillars, on the other hand, appears to have a much weaker dependence on L a , close to K ∼ L a 1/3 . It results in an apparent ρ ∼ K 3 correlation between them. Despite the progressively increasing structural disorder in graphene grown at lower temperatures, it shows remarkably high thermal conductivity (10 2 -10 3 W K -1 m -1 ) and low electrical (10 3 -3 x 10 5 Ω) resistivities suitable for various applications.

  3. Fiscal 1998 joint R and D project on industrial science and technology with university. Research report on the production process of semiconductor devices by Cat-CVD (Development of practical technology for rational use of energy); 1998 nendo daigaku renkei sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika kankei gijutsu jitsuyoka kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    The Cat-CVD method is in verification test to establish it as production process of various semiconductor devices such as Ga-As IC, ferroelectric IC, Si IC, and TFT. This paper outlines the research results in fiscal 1998. Study was made on concept design of the Cat-CVD equipment for formation of Ga-As protective film, and basic technology for formation of SiN{sub x} film. Although reducing gas is used for deposition of SiN{sub x} film, anxious modification of oxide ferroelectric materials was avoided by substrate temperature control. Design and fabrication of the CVD equipment for Si ICs were also studied. The equipment was made of Al to control degassing as low as possible. As for production of TFT for LCD, formation technology of high-quality insulating thin film for low-temperature poly-Si TFT by CVD method, and formation of advanced insulating thin film and advanced poly- Si thin film were studied. A large-size deposition method of TFT insulating film, and low-temperature formation technology of poly-Si were also studied. (NEDO)

  4. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.H.; Cho, W.D. [Argonne National Lab., IL (United States)

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygen in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.

  5. [Application of Raman spectroscopy to investigation of CVD-SIC fiber].

    Science.gov (United States)

    Liu, Bin; Yang, Yan-Qing; Luo, Xian; Huang, Bin

    2011-11-01

    The CVD-SiC fiber was studied by using laser Raman spectra. It was found that the sharp TO peak exists in the first SiC deposit layer, indicating the larger SiC grains. But the second SiC deposit layer is with small grains. Raman peak of carbon and silicon was detected respectively in the first and second layer. Compared with that of the single SiC fiber, the TO peaks move to the high wave number for the SiC fiber in SiC(f)/Ti-6Al-4V composite. It indicates that the compressive thermal residual stress is present in the SiC fiber during the fabrication of the composite because of the mismatched coefficient of thermal expansion between Ti-6Al-4V matrix and SiC fiber. The average thermal residual stress of the SiC fiber in SiC(f)/Ti-6Al-4V composite was calculated to be 318 MPa and the residual stress in first deposit layer is 436 MPa which is much higher than that in the second layer.

  6. Parametric study of waste chicken fat catalytic chemical vapour deposition for controlled synthesis of vertically aligned carbon nanotubes

    Science.gov (United States)

    Suriani, A. B.; Dalila, A. R.; Mohamed, A.; Rosmi, M. S.; Mamat, M. H.; Malek, M. F.; Ahmad, M. K.; Hashim, N.; Isa, I. M.; Soga, T.; Tanemura, M.

    2016-12-01

    High-quality vertically aligned carbon nanotubes (VACNTs) were synthesised using ferrocene-chicken oil mixture utilising a thermal chemical vapour deposition (TCVD) method. Reaction parameters including vaporisation temperature, catalyst concentration and synthesis time were examined for the first time to investigate their influence on the growth of VACNTs. Analysis via field emission scanning electron microscopy and micro-Raman spectroscopy revealed that the growth rate, diameter and crystallinity of VACNTs depend on the varied synthesis parameters. Vaporisation temperature of 570°C, catalyst concentration of 5.33 wt% and synthesis time of 60 min were considered as optimum parameters for the production of VACNTs from waste chicken fat. These parameters are able to produce VACNTs with small diameters in the range of 15-30 nm and good quality (ID/IG 0.39 and purity 76%) which were comparable to those synthesised using conventional carbon precursor. The low turn on and threshold fields of VACNTs synthesised using optimum parameters indicated that the VACNTs synthesised using waste chicken fat are good candidate for field electron emitter. The result of this study therefore can be used to optimise the growth and production of VACNTs from waste chicken fat in a large scale for field emission application.

  7. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  8. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  9. [Qualitative Determination of Organic Vapour Using Violet and Visible Spectrum].

    Science.gov (United States)

    Jiang, Bo; Hu, Wen-zhong; Liu, Chang-jian; Zheng, Wei; Qi, Xiao-hui; Jiang, Ai-li; Wang, Yan-ying

    2015-12-01

    Vapours of organic matters were determined qualitatively employed with ultraviolet-visible absorption spectroscopy. Vapours of organic matters were detected using ultraviolet-visible spectrophotometer employing polyethylene film as medium, the ultraviolet and visible absorption spectra of vegetable oil vapours of soybean oil, sunflower seed oil, peanut oil, rapeseed oil, sesame oil, cotton seed oil, tung tree seed oil, and organic compound vapours of acetone, ethyl acetate, 95% ethanol, glacial acetic acid were obtained. Experimental results showed that spectra of the vegetable oil vapour and the organic compound vapour could be obtained commendably, since ultra violet and visible spectrum of polyethylene film could be deducted by spectrograph zero setting. Different kinds of vegetable oils could been distinguished commendably in the spectra since the λ(max), λ(min), number of absorption peak, position, inflection point in the ultra violet and visible spectra obtained from the vapours of the vegetable oils were all inconsistent, and the vapours of organic compounds were also determined perfectly. The method had a good reproducibility, the ultraviolet and visible absorption spectra of the vapours of sunflower seed oil in 10 times determination were absolutely the same. The experimental result indicated that polyethylene film as a kind of medium could be used for qualitative analysis of ultraviolet and visible absorption spectroscopy. The method for determination of the vapours of the vegetable oils and organic compounds had the peculiarities of fast speed analysis, well reproducibility, accuracy and reliability and low cost, and so on. Ultraviolet and visible absorption spectrum of organic vapour could provide feature information of material vapour and structural information of organic compound, and provide a novel test method for identifying vapour of compound and organic matter.

  10. Occupational mercury vapour poisoning with a respiratory failure, pneumomediastinum and severe quadriparesis

    Directory of Open Access Journals (Sweden)

    Jakub Smiechowicz

    2017-02-01

    Full Text Available Objectives: Despite restrictions, mercury continues to pose a health concern. Mercury has the ability to deposit in most parts of the body and can cause a wide range of unspecific symptoms leading to diagnostic mistakes. Methods and results: We report the case of severe mercury vapour poisoning after occupational exposure in a chloralkali plant worker that resulted in life-threatening respiratory failure, pneumomediastinum and quadriparesis. Conclusions: Prolonged mechanical ventilation and treatment with penicillamine and spironolactone was used with successful outcome.

  11. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev

    2012-09-12

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  12. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev; Patankar, Neelesh A.; Marston, Jeremy; Chan, Derek Y C; Thoroddsen, Sigurdur T

    2012-01-01

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  13. Roughness and compressive strength of FDM 3D printed specimens affected by acetone vapour treatment

    Science.gov (United States)

    Beniak, Juraj; Križan, Peter; Šooš, Ľubomír; Matúš, Miloš

    2018-01-01

    Rapid Prototyping technologies are the fastest growing technologies in the manufacturing of components and parts. There are many techniques which can be used with different materials and different purposes of produced part. Gradually, Rapid Prototyping systems have grown into Additive Manufacturing, because technology expansion brings faster production, improved manufactured components, and expanded palette of used materials. So now this techniques are also used for regular production of special parts, where is usual change of part design, where is necessary to produce variety of different designs and shapes. The following article deals with Fused Deposition Modelling (FDM) technology, the core of which is the manufacture models and components from thermoplastic polymers by deposition single fibres of semi-molten plastic material layer by layer. The article focuses on the results of research for testing of manufactured specimens by FDM technology. Components are modified by acetone vapour for surface smoothing. The purpose is to point out how the additional specimen treatment influence the strength properties. Presented paper shows realized experiments and measurements of compressive force on specimens and surface roughness which are influenced by acetone vapour treatment.

  14. Diamond like carbon coatings deposited by microwave plasma CVD ...

    Indian Academy of Sciences (India)

    WINTEC

    photoelectron spectroscopy (XPS) and spectroscopic ellipsometry techniques for estimating sp. 3. /sp. 2 ratio. ... ion beam deposition (Savvidas 1986), pulsed laser deposi- ... carrier gas (10 sccm) by passing 150 watts of microwave power.

  15. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  16. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  17. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  18. The CVD ZrB2 as a selective solar absorber

    Science.gov (United States)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  19. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  20. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  1. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  2. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  3. Synthesis and characterization of organosilicon compounds as novel precursors for CVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Ermakova, E.N.; Sysoev, S.V.; Nikulina, L.D. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation); Tsyrendorzhieva, I.P.; Rakhlin, V.I. [Favorskii Institute of Chemistry, Siberian Branch of the Russian Academy of Sciences, Favorskii Str. 1, Irkutsk 664033 (Russian Federation); Kosinova, M.L., E-mail: marina@niic.nsc.ru [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation)

    2015-12-20

    Highlights: • The temperature dependences of vapor pressure of four precursors have been measured. • The experimental data were used to calculate standard thermodynamic functions. • The thermodynamic modelling of SiC{sub x}N{sub y} films formation has been performed. - Abstract: Chemical vapor deposition using single-source organosilicon precursors is one of the most effective ways to produce multifunctional SiC{sub x}N{sub y} films. It is worth mentioning that the precursor molecule design affects both the composition and properties of films. Four organosilicon compounds containing a phenyl substituent (namely, trimethylphenylsilane, trimethyl(phenylamino) silane, trimethyl(benzylamino)silane and bis(trimethylsilyl)phenylamine) have been synthesized and characterized as potential CVD precursors for SiC{sub x}N{sub y} films synthesis. The compounds have been shown to be volatile and stable enough to be used in chemical vapor deposition of SiC{sub x}N{sub y} films. Thermodynamic modeling of the film deposition from the gaseous mixture of trimethylphenylsilane and ammonia in Si–C–N–H system has demonstrated that SiC{sub x}N{sub y} films can be deposited, and there is an opportunity to determine the area of appropriate deposition conditions.

  4. An externally heated copper vapour laser

    International Nuclear Information System (INIS)

    Rochefort, P.A.; Sopchyshyn, F.C.; Selkirk, E.B.; Green, L.W.

    1993-08-01

    A pulsed Copper Vapour Laser (CVL), with a nominal 6 kHz repetition rate, was designed, build, and commissioned at Chalk River laboratories. The laser was required for Resonant Ionization Mass Spectroscopy (RIMS) experiments and for projects associated with Atomic Vapour laser Isotope Separation (AVLIS) studies. For the laser to operate, copper coupons position along the length of a ceramic tube must be heated sufficiently to create an appropriate vapour pressure. The AECL CVL uses an external heater element with a unique design to raise the temperature of the tube. The Cylindrical graphite heating element is shaped to compensate for the large radiation end losses of the laser tube. The use of an external heater saves the expensive high-current-voltage switching device from heating the laser tube, as in most commercial lasers. This feature is especially important given the intermittent usage typical of experimental research. As well, the heater enables better parametric control of the laser output when studying the lasing of copper (or other) vapour. This report outlines the lasing process in copper vapour, describes in detail all three major laser sub-systems: the laser body; the laser tube heater; the high voltage pulsed discharge; and, reports parametric measurements of the individual sub-systems and the laser system as a whole. Also included are normal operating procedures to heat up, run and shut down the laser

  5. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  6. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  7. A simple experimental arrangement for measuring the vapour pressures and sublimation enthalpies by the Knudsen effusion method: Application to DNA and RNA bases

    International Nuclear Information System (INIS)

    Barros, A.L.F. de; Medina, A.; Zappa, F.; Pereira, J.M.; Bessa, E.; Martins, M.H.P.; Coelho, L.F.S.; Wolff, W.; Castro Faria, N.V. de

    2006-01-01

    We measured the vapour pressure of several DNA and RNA bases-uracil, adenine, guanine, thymine and cytosine-in the 300-450 K range. In each case the sample mass loss rate was measured as function of temperature with a simple setup consisting of a commercial film deposition system and a homemade oven. Afterwards vapour pressure values were extracted from these data using the Knudsen effusion method. Sublimation enthalpy values, obtained from vapour pressure data by applying the Clausius-Clapeyron equation, are in very good agreement with literature values. The results suggest that crystal-based film thickness monitors may be useful in on-line cross-section measurements, monitoring the gas target thickness. They also show the viability of using this oven for producing a biomolecular gas target

  8. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  9. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  10. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  11. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  12. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  13. Performance of CVD and CVR coated carbon-carbon in high temperature hydrogen

    Science.gov (United States)

    Adams, J. W.; Barletta, R. E.; Svandrlik, J.; Vanier, P. E.

    As a part of the component development process for the particle bed reactor (PBR), it is necessary to develop coatings which will be time and temperature stable at extremely high temperatures in flowing hydrogen. These coatings must protect the underlying carbon structure from attack by the hydrogen coolant. Degradation which causes small changes in the reactor component, e.g. hole diameter in the hot frit, can have a profound effect on operation. The ability of a component to withstand repeated temperature cycles is also a coating development issue. Coatings which crack or spall under these conditions would be unacceptable. While refractory carbides appear to be the coating material of choice for carbon substrates being used in PBR components, the method of applying these coatings can have a large effect on their performance. Two deposition processes for these refractory carbides, chemical vapor deposition (CVD) and chemical vapor reaction (CVR), have been evaluated. Screening tests for these coatings consisted of testing of coated 2-D and 3-D weave carbon-carbon in flowing hot hydrogen at one atmosphere. Carbon loss from these samples was measured as a function of time. Exposure temperatures up to 3,000 K were used, and samples were exposed in a cyclical fashion cooling to room temperature between exposures. The results of these measurements are presented along with an evaluation of the relative merits of CVR and CVD coatings for this application.

  14. Multifunctional carbon nanotubes with nanoparticles embedded in their walls

    International Nuclear Information System (INIS)

    Mattia, D; Korneva, G; Sabur, A; Friedman, G; Gogotsi, Y

    2007-01-01

    Controlled amounts of nanoparticles ranging in size and composition were embedded in the walls of carbon nanotubes during a template-assisted chemical vapour deposition (CVD) process. The encapsulation of gold nanoparticles enabled surface enhanced Raman spectroscopy (SERS) detection of glycine inside the cavity of the nanotubes. Iron oxide particles are partially reduced to metallic iron during the CVD process giving the nanotubes ferromagnetic behaviour. At high nanoparticle concentrations, particle agglomerates can form. These agglomerates or larger particles, which are only partially embedded in the walls of the nanotubes, are covered by additional carbon layers inside the hollow cavity of the tube producing hillocks inside the nanotubes, with sizes comparable to the bore of the tube

  15. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  16. Carbon structures formation in low current high voltage electrical discharge in hydrocarbon vapours

    International Nuclear Information System (INIS)

    Sobczyk, A T; Jaworek, A

    2011-01-01

    The properties of carbon fibers and other carbon structures produced from hydrocarbon vapours decomposed in electrically generated plasma at atmospheric pressure are studied in this paper. The electrical discharge was generated between a stainless steel needle and a plate made of nickel alloy. The carbon fiber has grown at the tip of the needle electrode, while other microflower-like deposits were built at the plate. The physical properties of carbon fibers were investigated by SEM, Raman spectroscopy, XRD, and EDS methods.

  17. Atomic origins of water-vapour-promoted alloy oxidation.

    Science.gov (United States)

    Luo, Langli; Su, Mao; Yan, Pengfei; Zou, Lianfeng; Schreiber, Daniel K; Baer, Donald R; Zhu, Zihua; Zhou, Guangwen; Wang, Yanting; Bruemmer, Stephen M; Xu, Zhijie; Wang, Chongmin

    2018-05-07

    The presence of water vapour, intentional or unavoidable, is crucial to many materials applications, such as in steam generators, turbine engines, fuel cells, catalysts and corrosion 1-4 . Phenomenologically, water vapour has been noted to accelerate oxidation of metals and alloys 5,6 . However, the atomistic mechanisms behind such oxidation remain elusive. Through direct in situ atomic-scale transmission electron microscopy observations and density functional theory calculations, we reveal that water-vapour-enhanced oxidation of a nickel-chromium alloy is associated with proton-dissolution-promoted formation, migration, and clustering of both cation and anion vacancies. Protons derived from water dissociation can occupy interstitial positions in the oxide lattice, consequently lowering vacancy formation energy and decreasing the diffusion barrier of both cations and anions, which leads to enhanced oxidation in moist environments at elevated temperatures. This work provides insights into water-vapour-enhanced alloy oxidation and has significant implications in other material and chemical processes involving water vapour, such as corrosion, heterogeneous catalysis and ionic conduction.

  18. Chemically deposited tungsten fibre-reinforced tungsten – The way to a mock-up for divertor applications

    Directory of Open Access Journals (Sweden)

    J. Riesch

    2016-12-01

    Full Text Available The development of advanced materials is essential for sophisticated energy systems like a future fusion reactor. Tungsten fibre-reinforced tungsten composites (Wf/W utilize extrinsic toughening mechanisms and therefore overcome the intrinsic brittleness of tungsten at low temperature and its sensitivity to operational embrittlement. This material has been successfully produced and tested during the last years and the focus is now put on the technological realisation for the use in plasma facing components of fusion devices. In this contribution, we present a way to utilize Wf/W composites for divertor applications by a fabrication route based on the chemical vapour deposition (CVD of tungsten. Mock-ups based on the ITER typical design can be realized by the implementation of Wf/W tiles. A concept based on a layered deposition approach allows the production of such tiles in the required geometry. One fibre layer after the other is positioned and ingrown into the W-matrix until the final sample size is reached. Charpy impact tests on these samples showed an increased fracture energy mainly due to the ductile deformation of the tungsten fibres. The use of Wf/W could broaden the operation temperature window of tungsten significantly and mitigate problems of deep cracking occurring typically in cyclic high heat flux loading. Textile techniques are utilized to optimise the tungsten wire positioning and process speed of preform production. A new device dedicated to the chemical deposition of W enhances significantly, the available machine time for processing and optimisation. Modelling shows that good deposition results are achievable by the use of a convectional flow and a directed temperature profile in an infiltration process.

  19. Vapour pressure of trideuterioammonia

    Energy Technology Data Exchange (ETDEWEB)

    Calado, J.C.G.; Lopes, J.N.C.; Rebelo, L.P.N. (Instituto Superior Tecnico, Lisbon (Portugal). Centro de Quimica Estrutural)

    1992-09-01

    The H-to-D vapour-pressure isotope effect in liquid ammonia has been measured at 62 temperatures between 228 K and 260 K. The vapour pressures, corrected to 100 per cent nuclidic purity, have been fitted to the equation: T ln r = A+B/T+CT, where r is the vapour-pressure ratio p(NH[sub 3])/p(ND[sub 3]). The fit yielded the parameters: A = -8.22508 K, B = 12338.2 K[sup 2], and C = -0.05544. Comparisons with the results of other authors were made in order to clarify some discrepancies found in the literature. Our values are in accord with the previous results of King et al. and an extrapolation of the fitted equation down to the triple-point temperature gave good agreement with the published results. The fitted equation was used in conjunction with the Clapeyron equation to calculate the difference in the molar enthalpies of vaporization between NH[sub 3] and ND[sub 3]. At T = 230 K that difference is -846 J.mol[sup -1] decreasing to -747 J.mol[sup -1] at 260 K. (author).

  20. Growth of nitrogen-doped graphene on copper: Multiscale simulations

    Science.gov (United States)

    Gaillard, P.; Schoenhalz, A. L.; Moskovkin, P.; Lucas, S.; Henrard, L.

    2016-02-01

    We used multiscale simulations to model the growth of nitrogen-doped graphene on a copper substrate by chemical vapour deposition (CVD). Our simulations are based on ab-initio calculations of energy barriers for surface diffusion, which are complemented by larger scale Kinetic Monte Carlo (KMC) simulations. Our results indicate that the shape of grown doped graphene flakes depends on the temperature and deposition flux they are submitted during the process, but we found no significant effect of nitrogen doping on this shape. However, we show that nitrogen atoms have a preference for pyridine-like sites compared to graphite-like sites, as observed experimentally.

  1. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  2. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  3. Preliminary results from a novel CVD diamond detector system for molecular imaging applications

    International Nuclear Information System (INIS)

    Mahon, A.R.

    1996-01-01

    A novel biomolecular imaging system incorporating a Chemical Vapour Deposition diamond detector is in development. The synthetic diamond is used as a UV detector to image nucleic acids in electrophoresis gels. The microstrip diamond detector currently has a spatial resolution of 30 μm. Preliminary results are presented which include: QE measurements of diamond detectors, detector time response, detector UV response and current detection limits of biomolecules in gel. The potential applications of the technology, and its significant advantages in speed and sensitivity over the current systems are discussed

  4. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Formation and Yield of Multi-Walled Carbon Nanotubes Synthesized via Chemical Vapour Deposition Routes Using Different Metal-Based Catalysts of FeCoNiAl, CoNiAl and FeNiAl-LDH

    Directory of Open Access Journals (Sweden)

    Mohd Zobir Hussein

    2014-11-01

    Full Text Available Multi-walled carbon nanotubes (MWCNTs were prepared via chemical vapor deposition (CVD using a series of different catalysts, derived from FeCoNiAl, CoNiAl and FeNiAl layered double hydroxides (LDHs. Catalyst-active particles were obtained by calcination of LDHs at 800 °C for 5 h. Nitrogen and hexane were used as the carrier gas and carbon source respectively, for preparation of MWCNTs using CVD methods at 800 °C. MWCNTs were allowed to grow for 30 min on the catalyst spread on an alumina boat in a quartz tube. The materials were subsequently characterized through X-ray diffraction, Fourier transform infrared spectroscopy, surface area analysis, field emission scanning electron microscopy and transmission electron microscopy. It was determined that size and yield of MWCNTs varied depending on the type of LDH catalyst precursor that is used during synthesis. MWCNTs obtained using CoNiAl-LDH as the catalyst precursor showed smaller diameter and higher yield compared to FeCoNiAl and FeNiAl LDHs.

  6. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  7. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  8. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  9. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  10. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Chung, S J; Lee, Y S; Suh, E-K; Senthil Kumar, M; An, M H

    2010-01-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  11. The volatile pivalates of Y, Ba and Cu as prospective precursors for metal-organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iljina, E. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Korjeva, A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Dunaeva, K. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-04-15

    The volatile pivalates of Y, Ba and Cu were synthesized and characterized by chemical and thermogravimetric analysis, IR spectroscopy, X-ray diffraction and mass spectrometry. The volatilities of metal pivalates was studied; the vapour pressures, thermodynamic characteristics and rates of sublimation were investigated. The volatile pivalates of Y, Ba and Cu are new prospective accessible compounds. (orig.)

  12. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  13. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  14. Adhesion and size dependent friction anisotropy in boron nitride nanotubes

    International Nuclear Information System (INIS)

    Chiu, Hsiang-Chih; Riedo, Elisa; Dogan, Sedat; Volkmann, Mirjam; Klinke, Christian

    2012-01-01

    The frictional properties of individual multiwalled boron nitride nanotubes (BN-NTs) synthesized by chemical vapour deposition (CVD) and deposited on a silicon substrate are investigated using an atomic force microscope tip sliding along (longitudinal sliding) and across (transverse sliding) the tube’s principal axis. Because of the tube’s transverse deformations during the tip sliding, a larger friction coefficient is found for the transverse sliding as compared to the longitudinal sliding. Here, we show that the friction anisotropy in BN-NTs, defined as the ratio between transverse and longitudinal friction forces per unit area, increases with the nanotube–substrate contact area, estimated to be proportional to (L NT R NT ) 1/2 , where L NT and R NT are the length and the radius of the nanotube, respectively. Larger contact area denotes stronger surface adhesion, resulting in a longitudinal friction coefficient closer to the value expected in the absence of transverse deformations. Compared to carbon nanotubes (C-NTs), BN-NTs display a friction coefficient in each sliding direction with intermediate values between CVD and arc discharge C-NTs. CVD BN-NTs with improved tribological properties and higher oxidation temperature might be a better candidate than CVD C-NTs for applications in extreme environments. (paper)

  15. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  16. Organic solar cells using CVD-grown graphene electrodes

    International Nuclear Information System (INIS)

    Kim, Hobeom; Han, Tae-Hee; Lim, Kyung-Geun; Lee, Tae-Woo; Bae, Sang-Hoon; Ahn, Jong-Hyun

    2014-01-01

    We report on the development of flexible organic solar cells (OSCs) incorporating graphene sheets synthesized by chemical vapor deposition (CVD) as transparent conducting electrodes on polyethylene terephthalate (PET) substrates. A key barrier that must be overcome for the successful fabrication of OSCs with graphene electrodes is the poor-film properties of water-based poly(3,4-ethylenedioxythiphene):poly(styrenesulfonate) (PEDOT:PSS) when coated onto hydrophobic graphene surfaces. To form a uniform PEDOT:PSS film on a graphene surface, we added perfluorinated ionomers (PFI) to pristine PEDOT:PSS to create ‘GraHEL’, which we then successfully spin coated onto the graphene surface. We systematically investigated the effect of number of layers in layer-by-layer stacked graphene anode of an OSC on the performance parameters including the open-circuit voltage (V oc ), short-circuit current (J sc ), and fill factor (FF). As the number of graphene layers increased, the FF tended to increase owing to lower sheet resistance, while J sc tended to decrease owing to the lower light absorption. In light of this trade-off between sheet resistance and transmittance, we determined that three-layer graphene (3LG) represents the best configuration for obtaining the optimal power conversion efficiency (PCE) in OSC anodes, even at suboptimal sheet resistances. We finally developed efficient, flexible OSCs with a PCE of 4.33%, which is the highest efficiency attained so far by an OSC with CVD-grown graphene electrodes to the best of our knowledge. (paper)

  17. Autonomous Chemical Vapour Detection by Micro UAV

    Directory of Open Access Journals (Sweden)

    Kent Rosser

    2015-12-01

    Full Text Available The ability to remotely detect and map chemical vapour clouds in open air environments is a topic of significant interest to both defence and civilian communities. In this study, we integrate a prototype miniature colorimetric chemical sensor developed for methyl salicylate (MeS, as a model chemical vapour, into a micro unmanned aerial vehicle (UAV, and perform flights through a raised MeS vapour cloud. Our results show that that the system is capable of detecting MeS vapours at low ppm concentration in real-time flight and rapidly sending this information to users by on-board telemetry. Further, the results also indicate that the sensor is capable of distinguishing “clean” air from “dirty”, multiple times per flight, allowing us to look towards autonomous cloud mapping and source localization applications. Further development will focus on a broader range of integrated sensors, increased autonomy of detection and improved engineering of the system.

  18. Electrical Behaviour of Chitosan-Silver Nanocomposite in Presence of Water Vapour

    Directory of Open Access Journals (Sweden)

    Bal Yadav

    2017-04-01

    Full Text Available This paper presents the synthesis, characterization of the nanocomposite of silver and chitosan polymer composite reinforced by cellulose fibre and its electrical behaviour in presence of water vapour. The coated paper has been characterized by XRD, IR, SEM and EDX techniques. The size of silver nanoparticles is found to be around 9 nm and deposited uniformly. Chitosan, as well as cellulose, contain a hydrogen attached to electronegative nitrogen and oxygen. This gives a favourable environment for the formation of hydrogen bonds. IR peaks of the composite infer the intermolecular hydrogen bonding between the two constituents. The SEM pictures show that the coating of the fibres with nanoparticles is quite uniform. EDX analysis shows that the coated filter paper has sufficient amount of silver along with carbon and oxygen. The coated paper shows good sensitivity towards humidity. It gives excellent linearity in response with a concentration of water vapour after heat treatment of composite at 130 °C. The sensitivity of the sensor is 0.8 MΩ per unit of relative humidity. Sensing properties originate from protonic conductivity from adsorbed water molecule.

  19. Review of analytical techniques to determine the chemical forms of vapours and aerosols released from overheated fuel

    International Nuclear Information System (INIS)

    Bowsher, B.R.; Nichols, A.L.

    1989-12-01

    A comprehensive review has been undertaken of appropriate analytical techniques to monitor and measure the chemical effects that occur in large-scale tests designed to study severe reactor accidents. Various methods have been developed to determine the chemical forms of the vapours, aerosols and deposits generated during and after such integral experiments. Other specific techniques have the long-term potential to provide some of the desired data in greater detail, although considerable efforts are still required to apply these techniques to the study of radioactive debris. Such in-situ and post-test methods of analysis have been also assessed in terms of their applicability to the analysis of samples from the Phebus-FP tests. The recommended in-situ methods of analysis are gamma-ray spectroscopy, potentiometry, mass spectrometry, and Raman/UV-visible absorption spectroscopy. Vapour/aerosol and deposition samples should also be obtained at well-defined time intervals during each experiment for subsequent post-test analysis. No single technique can provide all the necessary chemical data from these samples, and the most appropriate method of analysis involves a complementary combination of autoradiography, AES, IR, MRS, SEMS/EDS, SIMS/LMIS, XPS and XRD

  20. Simple method for the calculation and use of CVD phase diagrams with applications to the Ti-B-Cl-H system, 1200 to 8000K

    International Nuclear Information System (INIS)

    Randich, E.; Gerlach, T.M.

    1980-03-01

    A simple method for calculating multi-component gas-solid equilibrium phase diagrams for chemical vapor deposition (CVD) systems is presented. The method proceeds in three steps: dtermination of stable solid assemblages, evaluation of gas-solid stability relations, and calcuation of conventional phase diagrams using a new free energy minimization technique. The phase diagrams can be used to determine (1) bulk compositions and phase fields accessible by CVD techniques; (2) expected condensed phases for various starting gas mixtures; and (3) maximum equilibrium yields for specific CVD process variables. The three step thermodynamic method is used to calcuate phase diagrams for the example CVD system Ti-B-Cl-H at 1200 and 800 0 K. Examples of applications of the diagrams for yield optimization and experimental accessibility studies are presented and discussed. Experimental verification of the TiB 2 + Gas/Gas phase field boundary at 1200 0 K, H/Cl = 1 confirms the calculated boundary and indicates that equilibrium is nearly and rapidly approached under laboratory conditions