WorldWideScience

Sample records for ultraviolet lithography tool

  1. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  2. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  3. Properites of ultrathin films appropriate for optics capping layers in extreme ultraviolet lithography (EUVL)

    Energy Technology Data Exchange (ETDEWEB)

    Bajt, S; Edwards, N V; Madey, T E

    2007-06-25

    The contamination of optical surfaces by irradiation shortens optics lifetime and is one of the main concerns for optics used in conjunction with intense light sources, such as high power lasers, 3rd and 4th generation synchrotron sources or plasma sources used in extreme ultraviolet lithography (EUVL) tools. This paper focuses on properties and surface chemistry of different materials, which as thin layers, could be used as capping layers to protect and extend EUVL optics lifetime. The most promising candidates include single element materials such as ruthenium and rhodium, and oxides such as TiO{sub 2} and ZrO{sub 2}.

  4. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  5. Large-solid-angle illuminators for extreme ultraviolet lithography with laser plasmas

    International Nuclear Information System (INIS)

    Kubiak, G.D.; Tichenor, D.A.; Sweatt, W.C.; Chow, W.W.

    1995-06-01

    Laser Plasma Sources (LPSS) of extreme ultraviolet radiation are an attractive alternative to synchrotron radiation sources for extreme ultraviolet lithography (EUVL) due to their modularity, brightness, and modest size and cost. To fully exploit the extreme ultraviolet power emitted by such sources, it is necessary to capture the largest possible fraction of the source emission half-sphere while simultaneously optimizing the illumination stationarity and uniformity on the object mask. In this LDRD project, laser plasma source illumination systems for EUVL have been designed and then theoretically and experimentally characterized. Ellipsoidal condensers have been found to be simple yet extremely efficient condensers for small-field EUVL imaging systems. The effects of aberrations in such condensers on extreme ultraviolet (EUV) imaging have been studied with physical optics modeling. Lastly, the design of an efficient large-solid-angle condenser has been completed. It collects 50% of the available laser plasma source power at 14 nm and delivers it properly to the object mask in a wide-arc-field camera

  6. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  7. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  8. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  9. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  10. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  11. Thermal deformation prediction in reticles for extreme ultraviolet lithography based on a measurement-dependent low-order model

    NARCIS (Netherlands)

    Bikcora, C.; Weiland, S.; Coene, W.M.J.

    2014-01-01

    In extreme ultraviolet lithography, imaging errors due to thermal deformation of reticles are becoming progressively intolerable as the source power increases. Despite this trend, such errors can be mitigated by adjusting the wafer and reticle stages based on a set of predicted deformation-induced

  12. Method for the protection of extreme ultraviolet lithography optics

    Science.gov (United States)

    Grunow, Philip A.; Clift, Wayne M.; Klebanoff, Leonard E.

    2010-06-22

    A coating for the protection of optical surfaces exposed to a high energy erosive plasma. A gas that can be decomposed by the high energy plasma, such as the xenon plasma used for extreme ultraviolet lithography (EUVL), is injected into the EUVL machine. The decomposition products coat the optical surfaces with a protective coating maintained at less than about 100 .ANG. thick by periodic injections of the gas. Gases that can be used include hydrocarbon gases, particularly methane, PH.sub.3 and H.sub.2S. The use of PH.sub.3 and H.sub.2S is particularly advantageous since films of the plasma-induced decomposition products S and P cannot grow to greater than 10 .ANG. thick in a vacuum atmosphere such as found in an EUVL machine.

  13. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    CERN Document Server

    Pagani, C; Schneidmiller, E A; Yurkov, M V

    2001-01-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andr...

  14. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  15. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  16. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  17. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  18. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  19. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  20. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  1. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  2. Study of CD variation caused by the black border effect and out-of-band radiation in extreme ultraviolet lithography

    Science.gov (United States)

    Gao, Weimin; Niroomand, Ardavan; Lorusso, Gian F.; Boone, Robert; Lucas, Kevin; Demmerle, Wolfgang

    2014-04-01

    Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1× nm half pitch node and beyond, many technological burdens have to be overcome. The "field edge effect" in EUVL is one of them. The image border region of an EUV mask, also known as the "black border" (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on critical dimension (CD) uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multilayer at the image border region of the EUV mask. We present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional "flare level" at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the IMEC's NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation, we can also determine the OoB effect rigorously using the methodology of an "effective mask blank." The study demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.

  3. Extreme ultraviolet lithography: A few more pieces of the puzzle

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Christopher N. [Univ. of California, Berkeley, CA (United States)

    2009-05-20

    The work described in this dissertation has improved three essential components of extreme ultraviolet (EUV) lithography: exposure tools, photoresist, and metrology. Exposure tools. A field-averaging illumination stage is presented that enables nonuniform, high-coherence sources to be used in applications where highly uniform illumination is required. In an EUV implementation, it is shown that the illuminator achieves a 6.5% peak-to-valley intensity variation across the entire design field of view. In addition, a design for a stand-alone EUV printing tool capable of delivering 15 nm half-pitch sinusoidal fringes with available sources, gratings and nano-positioning stages is presented. It is shown that the proposed design delivers a near zero line-edge-rougness (LER) aerial image, something extremely attractive for the application of resist testing. Photoresist. Two new methods of quantifying the deprotection blur of EUV photoresists are described and experimentally demonstrated. The deprotection blur, LER, and sensitivity parameters of several EUV photoresists are quantified simultaneously as base weight percent, photoacid generator (PAG) weight percent, and post-exposure bake (PEB) temperature are varied. Two surprising results are found: (1) changing base weight percent does not significantly affect the deprotection blur of EUV photoresist, and (2) increasing PAG weight percent can simultaneously reduce LER and E-size in EUV photoresist. The latter result motivates the development of an EUV exposure statistics model that includes the effects of photon shot noise, the PAG spatial distribution, and the changing of the PAG distribution during the exposure. In addition, a shot noise + deprotection blur model is used to show that as deprotection blur becomes large relative to the size of the printed feature, LER reduction from improved counting statistics becomes dominated by an increase in LER due to reduced deprotection contrast. Metrology. Finally, this

  4. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  5. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  6. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  7. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  8. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  9. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    Science.gov (United States)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  10. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  11. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  12. Low-energy electron beam proximity projection lithography (LEEPL): the world's first e-beam production tool, LEEPL 3000

    Science.gov (United States)

    Behringer, Uwe F. W.

    2004-06-01

    In June 2000 ago the company Accretech and LEEPL corporation decided to develop an E-beam lithography tool for high throughput wafer exposure, called LEEPL. In an amazing short time the alpha tool was built. In 2002 the beta tool was installed at Accretech. Today the first production tool the LEEPL 3000 is ready to be shipped. The 2keV E-beam tool will be used in the first lithography strategy to expose (in mix and match mode with optical exposure tools) critical levels like gate structures, contact holes (CH), and via pattern of the 90 nm and 65 nm node. At the SEMATECH EPL workshop on September 22nd in Cambridge, England it was mentioned that the amount of these levels will increase very rapidly (8 in 2007; 13 in 2010 and 17 in 2013). The schedule of the production tool for 45 nm node is mid 2005 and for the 32 nm node 2008. The Figure 1 shows from left to right α-tool, the β-tool and the production tool LEEPL 3000. Figure 1 also shows the timetable of the 4 LEEPL forum all held in Japan.

  13. Design and performance of capping layers for extreme-ultraviolet multilayer mirrors

    International Nuclear Information System (INIS)

    Bajt, Sasa; Chapman, Henry N.; Nguyen, Nhan; Alameda, Jennifer; Robinson, Jeffrey C.; Malinowski, Michael; Gullikson, Eric; Aquila, Andrew; Tarrio, Charles; Grantham, Steven

    2003-01-01

    Multilayer lifetime has emerged as one of the major issues for the commercialization of extreme-ultraviolet lithography (EUVL). We describe the performance of an oxidation-resistant capping layer of Ru atop multilayers that results in a reflectivity above 69% at 13.2 nm, which is suitable for EUVL projection optics and has been tested with accelerated electron-beam and extreme-ultraviolet (EUV) light in a water-vapor environment. Based on accelerated exposure results, we calculated multilayer lifetimes for all reflective mirrors in a typical commercial EUVL tool and concluded that Ru-capped multilayers have ∼40x longer lifetimes than Si-capped multilayers, which translates to 3 months to many years, depending on the mirror dose

  14. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  15. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  16. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    Science.gov (United States)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  17. Fiscal 2000 survey and research achievement report on the survey and research on next-generation EUVL (extreme ultraviolet lithography) technology; 2000 nendo jisedai EUVL (Extreme Ultra-Violet Lithography) gijutsu chosa kenkyu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    While surveys of technical progress and tasks of EUVL in Japan and overseas are under way for submitting a proposition for the industrialization of EUVL technology by expatiating the results of the EUVL research and development program scheduled to be complete in fiscal 2001, the future of EUVL is considered. The survey results are summarized in five chapters which involve (1) the outlines of survey and research results, (2) technical trends of lithography, (3) systems for EUVL research and development in the world, and (5) the conclusion. In chapter (4), light sources, systems (exposure devices), masks, resists, and other element technologies are investigated. The survey results about light sources involve the background against which their development is described, performance that an extreme ultraviolet ray source is requested to have, candidate EUVL light sources, their technical features and tasks they present, and the latest trends overseas. Concerning the exposure device, the body (device constitution), stage, sensor, projection system, and the irradiation system are investigated. As for masks, the outline of a EUVL mask, masking substrate, multilayer film fabrication, masking pattern formation, and other tasks for development are investigated. (NEDO)

  18. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir

    2017-11-28

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  19. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G; Semple, James; Anthopoulos, Thomas D.

    2017-01-01

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  20. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  1. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  2. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  3. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  4. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  5. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  6. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  7. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  8. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  9. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  10. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  11. Elastic modulus of Extreme Ultraviolet exposed single-layer graphene

    NARCIS (Netherlands)

    Mund, Baibhav Kumar; Gao, An; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2015-01-01

    Highly transparent membranes are required for a number of applications, such as protective coatings for components in Extreme Ultraviolet (EUV) lithography, beam splitters (EUV pump-probe experiments), transmission gratings, and reticles. Graphene is an excellent candidate due to its high tensile

  12. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  13. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  14. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  15. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  16. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  17. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  18. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  19. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  20. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  1. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  2. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  3. Excluded volume effects caused by high concentration addition of acid generators in chemically amplified resists used for extreme ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Watanabe, Kyoko; Matsuoka, Kyoko; Yamamoto, Hiroki; Komuro, Yoshitaka; Kawana, Daisuke; Yamazaki, Akiyoshi

    2017-08-01

    The resolution of lithography used for the high-volume production of semiconductor devices has been improved to meet the market demands for highly integrated circuits. With the reduction in feature size, the molecular size becomes non-negligible in the resist material design. In this study, the excluded volume effects caused by adding high-concentration acid generators were investigated for triphenylsulfonium nonaflate. The resist film density was measured by X-ray diffractometry. The dependences of absorption coefficient and protected unit concentration on acid generator weight ratio were calculated from the measured film density. Using these values, the effects on the decomposition yield of acid generators, the protected unit fluctuation, and the line edge roughness (LER) were evaluated by simulation on the basis of sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. The positive effects of the increase in acid generator weight ratio on LER were predominant below the acid generator weight ratio of 0.3, while the negative effects became equivalent to the positive effects above the acid generator weight ratio of 0.3 owing to the excluded volume effects.

  4. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  5. Multichannel silicon WDM ring filters fabricated with DUV lithography

    Science.gov (United States)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  6. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  7. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  8. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  9. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  10. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  11. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  12. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  13. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  14. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  15. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  16. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  17. System performance modeling of extreme ultraviolet lithographic thermal issues

    International Nuclear Information System (INIS)

    Spence, P. A.; Gianoulakis, S. E.; Moen, C. D.; Kanouff, M. P.; Fisher, A.; Ray-Chaudhuri, A. K.

    1999-01-01

    Numerical simulation is used in the development of an extreme ultraviolet lithography Engineering Test Stand. Extensive modeling was applied to predict the impact of thermal loads on key lithographic parameters such as image placement error, focal shift, and loss of CD control. We show that thermal issues can be effectively managed to ensure that their impact on lithographic performance is maintained within design error budgets. (c) 1999 American Vacuum Society

  18. Reflectance confocal microscopy: an effective tool for monitoring ultraviolet B phototherapy in psoriasis.

    NARCIS (Netherlands)

    Wolberink, E.A.W.; Erp, P.E.J. van; Boer-van Huizen, R.T. de; Kerkhof, P.C.M. van de; Gerritsen, M.J.P.

    2012-01-01

    Background In vivo reflectance confocal microscopy (RCM) is a novel, noninvasive imaging technique which enables imaging of skin at a cellular resolution comparable to conventional microscopy. Objectives We performed a pilot study to evaluate RCM as a noninvasive tool for monitoring ultraviolet (UV)

  19. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  20. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  1. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  2. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  3. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  4. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  5. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  6. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  7. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  8. Characterization of carbon contamination under ion and hot atom bombardment in a tin-plasma extreme ultraviolet light source

    NARCIS (Netherlands)

    Dolgov, A.; Lopaev, D.; Lee, Christopher James; Zoethout, E.; Medvedev, Viacheslav; Yakushev, O.; Bijkerk, Frederik

    2015-01-01

    Molecular contamination of a grazing incidence collector for extreme ultraviolet (EUV) lithography was experimentally studied. A carbon film was found to have grown under irradiation from a pulsed tin plasma discharge. Our studies show that the film is chemically inert and has characteristics that

  9. Optical proximity correction for anamorphic extreme ultraviolet lithography

    Science.gov (United States)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.

  10. The Ultraviolet Index: a useful tool.

    Science.gov (United States)

    Kinney, J P; Long, C S

    2000-09-01

    The Ultraviolet Index was developed in the United States in 1994 following successful use of ultraviolet (UV) alerts in other countries. This daily National Weather Service prediction is a calculation which integrates five data elements to yield the amount of UV radiation impacting the surface (1m2) at solar noon in 58 of the largest US population centers. This simple numeric prediction is then categorized by the Environmental Protection Agency into five "exposure levels" with protective actions recommended for each level. This information is disseminated through the media. Daily reminders seem to affect awareness and behavior in Canada, but US surveys indicate the need for better understanding through educational graphics. Comparing the UV Index to a precipitation prediction has merit in that it links a familiar daily prediction with implied appropriate protective measures. Graphics link the ideas that "when it rains it pours and when it shines it radiates." Beginning in schools, camps, and dermatology meetings, using the rain/shine analogy, a wider exposure to the Ultraviolet Index is proposed.

  11. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Jihye; Ali, Altun; Kim, Ki-don; Choi, Dae-guen; Choi, Jun-Hyuk; Jeong, Jun-ho; Kim, Jae-Hyun

    2010-01-01

    In this paper, a low-temperature plasma-assisted process is developed to realize a uniform, ultraviolet (UV) transparent and chemically inert quartz-to-quartz direct bonding. Two sets of pretests are performed in order to understand how the bond surface energy changes with the plasma exposure time and the wet etching of quartz, respectively. The developed technique is used to fabricate a multilayered quartz template for UV nanoimprint lithography (UV-NIL). The multilayered quartz template is fabricated by bonding a square piece of a standard quartz wafer, which is about 625 µm in thickness, to a wet-etched 6.35 mm thick quartz photomask plate. A fabricated multilayered template is loaded to the commercial UV-NIL tool Imprio(TM) 100, and NIL was performed successfully. The developed direct bonding technique makes it possible for standard quartz wafers, which are compatible with high-resolution semiconductor fabrication processes, to be utilized as the templates in commercial UV-NIL machines with enhanced mechanical stability.

  12. Periodic nanostructures imprinted on high-temperature stable sol–gel films by ultraviolet-based nanoimprint lithography for photovoltaic and photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Back, Franziska [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany); Bockmeyer, Matthias; Rudigier-Voigt, Eveline [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Löbmann, Peer [Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-07-01

    Nanostructured sol–gel films with high-temperature stability are used in the area of electronics, photonics or biomimetic materials as light-trapping architectures in solar cells, displays, waveguides or as superhydrophobic surfaces with a lotus effect. In this work, high-temperature stable 2-μm nanostructured surfaces were prepared by ultraviolet-based nanoimprint lithography using an alkoxysilane binder incorporating modified silica nanoparticles. Material densification during thermal curing and microstructural evolution which are destined for a high structural fidelity of nanostructured films were investigated in relation to precursor chemistry, particle morphology and particle content of the imprint resist. The mechanism for densification and shrinkage of the films was clarified and correlated with the structural fidelity to explain the influence of the geometrical design on the optical properties. A high internal coherence of the microstructure of the nanostructured films results in a critical film thickness of > 5 μm. The structured glassy layers with high inorganic content show thermal stability up to 800 °C and have a high structural fidelity > 90% with an axial shrinkage of 16% and a horizontal shrinkage of 1%. This material allows the realization of highly effective light-trapping architectures for polycrystalline silicon thin-film solar cells on glass but also for the preparation of 2D photonic crystals for telecommunication wavelengths. - Highlights: • Fundamental research • Hybrid sol–gel material with high-temperature stability and contour accuracy • Ensuring of cost-efficient and industrially feasible processing • Application in photonic and photovoltaic.

  13. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  14. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  15. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  16. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  17. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  18. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  19. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  20. A poly(dimethylsiloxane)-coated flexible mold for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Nae Yoon; Kim, Youn Sang

    2007-01-01

    In this paper, we introduce an anti-adhesion poly(dimethylsiloxane) (PDMS)-coated flexible mold and its applications for room-temperature imprint lithography. The flexible mold is fabricated using an ultraviolet-curable prepolymer on a flexible substrate, and its surface is passivated with a thin layer of PDMS to impart an anti-adhesion property. The highly flexible mold enables conformal contact with a substrate on which a low-viscosity polymer resist is spin-cast in a thin layer. Large-area imprinting is then realized at room temperature under significantly reduced pressure. The mold was durable even after repetitive imprinting of over 200 times. Also, we show a double imprinting on the substrate with a PDMS-coated replica polymeric mold having 500 nm line patterns. This enables the formation of matrix patterns with varying feature heights in less than 7 min

  1. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  2. Ultraviolet radiation as disinfection for fish surgical tools

    Energy Technology Data Exchange (ETDEWEB)

    Walker, Ricardo W.; Markillie, Lye Meng; Colotelo, Alison HA; Geist, David R.; Gay, Marybeth E.; Woodley, Christa M.; Eppard, M. B.; Brown, Richard S.

    2013-04-04

    Telemetry is frequently used to examine the behavior of fish, and the transmitters used are normally surgically implanted into the coelomic cavity of fish. Implantation requires the use of surgical tools such as scalpels, forceps, needle holders, and sutures. When fish are implanted consecutively, as in large telemetry studies, it is common for surgical tools to be sterilized or, at minimum, disinfected between each use so that pathogens that may be present are not spread among fish. To determine the efficacy for this application, ultraviolet (UV) radiation was used to disinfect surgical tools exposed to one of four aquatic organisms that typically lead to negative health issues for salmonids. These organisms included Aeromonas salmonicida, Flavobacterium psychrophilum, Renibacterium salmoninarum, and Saprolegnia parasitica, causative agents of furunculosis, coldwater disease, bacterial kidney disease, and saprolegniasis (water mold), respectively. Four experiments were conducted to address the question of UV efficacy. In the first experiment, forceps were exposed to the three bacteria at three varying concentrations. After exposure to the bacterial culture, tools were placed into a mobile Millipore UV sterilization apparatus. The tools were then exposed for three different time periods – 2, 5, or 15 min. UV radiation exposures at all durations were effective at killing all three bacteria on forceps at the highest bacteria concentrations. In the second experiment, stab scalpels, sutures, and needle holders were exposed to A. salmonicida using the same methodology as used in Experiment 1. UV radiation exposure at 5 and 15 min was effective at killing A. salmonicida on stab scalpels and sutures but not needle holders. In the third experiment, S. parasitica, a water mold, was tested using an agar plate method and forceps-pinch method. UV radiation was effective at killing the water mold at all three exposure durations. Collectively, this study shows that UV

  3. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  4. Implementation and benefits of advanced process control for lithography CD and overlay

    Science.gov (United States)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  5. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  6. Invisible marking system by extreme ultraviolet radiation: the new frontier for anti-counterfeiting tags

    International Nuclear Information System (INIS)

    Lazzaro, P. Di; Bollanti, S.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.; Bonfigli, F.; Montereali, R.M.; Vincenti, M.A.

    2016-01-01

    We present a marking technology which uses extreme ultraviolet radiation to write invisible patterns on tags based on alkali fluoride thin films. The shape of the pattern is pre-determined by a mask (in the case of contact lithography) or by a suitable mirror (projection lithography). Tags marked using this method offer a much better protection against fakes than currently available anti-counterfeiting techniques. The complexity and cost of this technology can be tailored to the value of the good to be protected, leaving, on the other hand, the specific reading technique straightforward. So far, we have exploited our invisible marking to tag artworks, identity cards, electrical components, and containers of radioactive wastes. Advantages and limits of this technology are discussed in comparison with the anti-counterfeiting systems available in the market.

  7. UV-POSIT: Web-Based Tools for Rapid and Facile Structural Interpretation of Ultraviolet Photodissociation (UVPD) Mass Spectra

    Science.gov (United States)

    Rosenberg, Jake; Parker, W. Ryan; Cammarata, Michael B.; Brodbelt, Jennifer S.

    2018-04-01

    UV-POSIT (Ultraviolet Photodissociation Online Structure Interrogation Tools) is a suite of web-based tools designed to facilitate the rapid interpretation of data from native mass spectrometry experiments making use of 193 nm ultraviolet photodissociation (UVPD). The suite includes four separate utilities which assist in the calculation of fragment ion abundances as a function of backbone cleavage sites and sequence position; the localization of charge sites in intact proteins; the calculation of hydrogen elimination propensity for a-type fragment ions; and mass-offset searching of UVPD spectra to identify unknown modifications and assess false positive fragment identifications. UV-POSIT is implemented as a Python/Flask web application hosted at http://uv-posit.cm.utexas.edu. UV-POSIT is available under the MIT license, and the source code is available at https://github.com/jarosenb/UV_POSIT. [Figure not available: see fulltext.

  8. UV-POSIT: Web-Based Tools for Rapid and Facile Structural Interpretation of Ultraviolet Photodissociation (UVPD) Mass Spectra.

    Science.gov (United States)

    Rosenberg, Jake; Parker, W Ryan; Cammarata, Michael B; Brodbelt, Jennifer S

    2018-04-06

    UV-POSIT (Ultraviolet Photodissociation Online Structure Interrogation Tools) is a suite of web-based tools designed to facilitate the rapid interpretation of data from native mass spectrometry experiments making use of 193 nm ultraviolet photodissociation (UVPD). The suite includes four separate utilities which assist in the calculation of fragment ion abundances as a function of backbone cleavage sites and sequence position; the localization of charge sites in intact proteins; the calculation of hydrogen elimination propensity for a-type fragment ions; and mass-offset searching of UVPD spectra to identify unknown modifications and assess false positive fragment identifications. UV-POSIT is implemented as a Python/Flask web application hosted at http://uv-posit.cm.utexas.edu . UV-POSIT is available under the MIT license, and the source code is available at https://github.com/jarosenb/UV_POSIT . Graphical Abstract.

  9. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  10. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  11. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  12. Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks

    International Nuclear Information System (INIS)

    Spector, S. J.; White, D. L.; Tennant, D. M.; Ocola, L. E.; Novembre, A. E.; Peabody, M. L.; Wood, O. R. II

    1999-01-01

    We have developed two new methods for at-wavelength inspection of mask blanks for extreme-ultraviolet (EUV) lithography. In one method an EUV photoresist is applied directly to a mask blank which is then flood exposed with EUV light and partially developed. In the second method, the photoresist is applied to an EUV transparent membrane that is placed in close proximity to the mask and then exposed and developed. Both reflectivity defects and phase defects alter the exposure of the resist, resulting in mounds of resist at defect sites that can then be located by visual inspection. In the direct application method, a higher contrast resist was shown to increase the height of the mounds, thereby improving the sensitivity of the technique. In the membrane method, a holographic technique was used to reconstruct an image of the mask, revealing the presence of very small defects, approximately 0.2 μm in size. The demonstrated clean transfer of phase and amplitude defects to resist features on a membrane will be important when flagging defects in an automatic inspection tool. (c) 1999 American Vacuum Society

  13. Advanced free-form micro tooling

    DEFF Research Database (Denmark)

    Tosello, Guido; Gavillet, J.

    2011-01-01

    -beam lithography and nano imprinting lithography [Che05][Che09] have high manufacturing cost and a low throughput. The aim was obtain large tool area with nano structures patterning without using energy intensive nano machining (e.g. focus ion beam, X-ray lithography, etc) but, instead, by exploiting the advantage......The present deliverable contains the report of the work and results achieved within the framework of WP 2.2 in Tasks 2.2.4 “Advanced free-form micro tooling” in experimental research done regarding practical applications of methods of applying nano structures to tooling solutions. As part of Task 2.......2.4, tests based on three different chemical-based-batch techniques to establish surface nano (i.e. sub-μm) structures on large tools area were performed. The three approached regarded: o Scheme 1  The use of Ø500nm nanobeads deposition for direct patterning of a Ø4inch. silicon wafer and subsequent nickel...

  14. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  15. Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm.

    Science.gov (United States)

    Kinoshita, H; Watanabe, T; Niibe, M

    1998-05-01

    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 micro m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 micro m pattern has been demonstrated in a 25 micro m square area. With a two-aspherical-mirror system, a 0.15 micro m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 micro m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 micro m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

  16. Masks for high aspect ratio x-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.; Jackson, K.H.; Bonivert, W.D.; Hruby, J.

    1997-01-01

    Fabrication of very high aspect ratio microstructures, as well as ultra-high precision manufacturing is of increasing interest in a multitude of applications. Fields as diverse as micromechanics, robotics, integrated optics, and sensors benefit from this technology. The scale-length of this spatial regime is between what can be achieved using classical machine tool operations and that which is used in microelectronics. This requires new manufacturing techniques, such as the LIGA process, which combines x-ray lithography, electroforming, and plastic molding

  17. FY 2000 report on the results of the regional consortium R and D project - Regional consortium energy R and D. Development of new vacuum ultraviolet area optical materials realizing next generation short wavelength optical lithography; 2000 nendo chiiki consortium kenkyu kaihatsu jigyo - chiiki consortium energy kenkyu kaihatsu. Jisedai tanhacho hikari lithography wo jitsugensuru shinku shigaiiki kogaku zairyo no kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    As materials for next generation lithography use optical device using short wavelength light sources such as F{sub 2} and Ar{sub 2}, the development was proceeded with of fluoride monocrystal materials and 12-inch class large/high quality monocrystal production technology. Studies were made in the following five fields: 1) proposal/design of new materials and the heightening of performance: 2) establishment of the large/high quality crystal production method; 3) evaluation of optical properties and elucidation of micro-defect formation mechanism; 4) comprehensive investigational research; 5) study of the evaluation technology by vacuum ultraviolet area pulse light. In 1), for the development of the optimum materials, a lot of materials were tried to be monocrystallized, and the permeability was estimated by measuring the reflectance in the vacuum ultraviolet area. As to LiCaAlF{sub 6}, monocrystal with 1-inch diameter was made by the Bridgman method. In 2), studies were made of conditions for large crystal growth by the pull method, large crystal growth by the Bridgman method, and the structure of production equipment for crystals with larger diameter. (NEDO)

  18. SimUVEx v2 : a numeric tool to predict anatomical solar ultraviolet exposure

    OpenAIRE

    Religi, Arianna; Moccozet, Laurent; Farahmand, Meghdad; Vuilleumier, L.aurent; Vernez, David; Milon, Antoine; Backes, Claudine; Bulliard, Jean-Luc

    2016-01-01

    Solar ultraviolet (UV) radiation has a dual effect on human health: low UV doses promote the photosynthesis of vitamin D and regulate calcium and phosphorus metabolism, while an excessive UV exposure is the main cause of skin cancer, along with eye diseases and premature skin ageing. The link between UV radiation levels and UV exposure is not fully understood since exposure data are limited and individual anatomical variations in UV doses are significant. SimUVEx is a numeric simulation tool ...

  19. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  20. Preparing patterned carbonaceous nanostructures directly by overexposure of PMMA using electron-beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Duan Huigao; Zhao Jianguo; Zhang Yongzhe; Xie Erqing [School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Han Li [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)], E-mail: duanhg@gmail.com, E-mail: xieeq@lzu.edu.cn

    2009-04-01

    The overexposure process of poly(methyl methacrylate) (PMMA) was studied in detail using electron-beam lithography. It was found that PMMA films could be directly patterned without development due to the electron-beam-induced collapse of PMMA macromolecular chains. By analyzing the evolution of surface morphologies and compositions of the overexposed PMMA films, it was also found that the transformation of PMMA from positive to negative resist was a carbonization process, so patterned carbonaceous nanostructures could be prepared directly by overexposure of PMMA using electron-beam lithography. This simple one-step process for directly obtaining patterned carbonaceous nanostructures has promising potential application as a tool to make masks and templates, nanoelectrodes, and building blocks for MEMS and nanophotonic devices.

  1. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  2. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  3. An Antireflective Nanostructure Array Fabricated by Nanosilver Colloidal Lithography on a Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Park Seong-Je

    2010-01-01

    Full Text Available Abstract An alternative method is presented for fabricating an antireflective nanostructure array using nanosilver colloidal lithography. Spin coating was used to produce the multilayered silver nanoparticles, which grew by self-assembly and were transformed into randomly distributed nanosilver islands through the thermodynamic action of dewetting and Oswald ripening. The average size and coverage rate of the islands increased with concentration in the range of 50–90 nm and 40–65%, respectively. The nanosilver islands were critically affected by concentration and spin speed. The effects of these two parameters were investigated, after etching and wet removal of nanosilver residues. The reflection nearly disappeared in the ultraviolet wavelength range and was 17% of the reflection of a bare silicon wafer in the visible range.

  4. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  5. Design, fabrication, and characterization of high-efficiency extreme ultraviolet diffusers

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2004-02-19

    As the development of extreme ultraviolet (EUV) lithography progresses, interest grows in the extension of traditional optical components to the EUV regime. The strong absorption of EUV by most materials and its extremely short wavelength, however, makes it very difficult to implement many components that are commonplace in the longer wavelength regimes. One such example is the diffuser often implemented with ordinary ground glass in the visible light regime. Here we demonstrate the fabrication of reflective EUV diffusers with high efficiency within a controllable bandwidth. Using these techniques we have fabricated diffusers with efficiencies exceeding 10% within a moderate angular single-sided bandwidth of approximately 0.06 radians.

  6. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  7. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    Science.gov (United States)

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  8. Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography

    Directory of Open Access Journals (Sweden)

    Harutaka Mekaru

    2015-02-01

    Full Text Available In combination with tapered-trench-etching of Si and SU-8 photoresist, a grayscale mask for deep X-ray lithography was fabricated and passed a 10-times-exposure test. The performance of the X-ray grayscale mask was evaluated using the TERAS synchrotron radiation facility at the National Institute of Advanced Industrial Science and Technology (AIST. Although the SU-8 before photo-curing has been evaluated as a negative-tone photoresist for ultraviolet (UV and X-ray lithographies, the characteristic of the SU-8 after photo-curing has not been investigated. A polymethyl methacrylate (PMMA sheet was irradiated by a synchrotron radiation through an X-ray mask, and relationships between the dose energy and exposure depth, and between the dose energy and dimensional transition, were investigated. Using such a technique, the shape of a 26-μm-high Si absorber was transformed into the shape of a PMMA microneedle with a height of 76 μm, and done with a high contrast. Although during the fabrication process of the X-ray mask a 100-μm-pattern-pitch (by design was enlarged to 120 μm. However, with an increase in an integrated dose energy this number decreased to 99 μm. These results show that the X-ray grayscale mask has many practical applications. In this paper, the author reports on the evaluation results of SU-8 when used as a membrane material for an X-ray mask.

  9. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  10. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  11. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  12. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  13. Science & Technology Review September/October 2008

    Energy Technology Data Exchange (ETDEWEB)

    Bearinger, J P

    2008-07-21

    This issue has the following articles: (1) Answering Scientists Most Audacious Questions--Commentary by Dona Crawford; (2) Testing the Accuracy of the Supernova Yardstick--High-resolution simulations are advancing understanding of Type Ia supernovae to help uncover the mysteries of dark energy; (3) Developing New Drugs and Personalized Medical Treatment--Accelerator mass spectrometry is emerging as an essential tool for assessing the effects of drugs in humans; (4) Triage in a Patch--A painless skin patch and accompanying detector can quickly indicate human exposure to biological pathogens, chemicals, explosives, or radiation; and (5) Smoothing Out Defects for Extreme Ultraviolet Lithography--A process for smoothing mask defects helps move extreme ultraviolet lithography one step closer to creating smaller, more powerful computer chips.

  14. Al nanogrid electrode for ultraviolet detectors.

    Science.gov (United States)

    Ding, G; Deng, J; Zhou, L; Gan, Q; Hwang, J C M; Dierolf, V; Bartoli, F J; Mazuir, C; Schoenfeld, W V

    2011-09-15

    Optical properties of Al nanogrids of different pitches and gaps were investigated both theoretically and experimentally. Three-dimensional finite-difference time-domain simulation predicted that surface plasmons at the air/Al interface would enhance ultraviolet transmission through the subwavelength gaps of the nanogrid, making it an effective electrode on GaN-based photodetectors to compensate for the lack of transparent electrode and high p-type doping. The predicted transmission enhancement was verified by confocal scanning optical microscopy performed at 365 nm. The quality of the nanogrids fabricated by electron-beam lithography was verified by near-field scanning optical microscopy and scanning electron microscopy. Based on the results, the pitch and gap of the nanogrids can be optimized for the best trade-off between electrical conductivity and optical transmission at different wavelengths. Based on different cutoff wavelengths, the nanogrids can also double as a filter to render photodetectors solar-blind.

  15. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  16. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  17. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  18. Multiple beam interference lithography: A tool for rapid fabrication of plasmonic arrays of arbitrary shaped nanomotifs

    Czech Academy of Sciences Publication Activity Database

    Vala, Milan; Homola, Jiří

    2016-01-01

    Roč. 24, č. 14 (2016), s. 15656-15665 ISSN 1094-4087 R&D Projects: GA ČR(CZ) GBP205/12/G118 Grant - others:AV ČR(CZ) AP1101 Program:Akademická prémie - Praemium Academiae Institutional support: RVO:67985882 Keywords : displacement talbot lithography * noncoplanar beams * large areas Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 3.307, year: 2016

  19. A thermal microjet system with tapered micronozzles fabricated by inclined UV lithography for transdermal drug delivery

    Science.gov (United States)

    Yoon, Yong-Kyu; Park, Jung-Hwan; Lee, Jeong-Woo; Prausnitz, Mark R.; Allen, Mark G.

    2011-02-01

    Transdermal drug delivery can be enabled by various methods that increase the permeability of the skin's outer barrier of stratum corneum, including skin exposure to heat and chemical enhancers, such as ethanol. Combining these approaches for the first time, in this study we designed a microdevice consisting of an array of microchambers filled with ethanol that is vaporized using an integrated microheater and ejected through a micronozzle contacting the skin surface. In this way, we hypothesize that the hot ethanol vapor can increase skin permeability upon contacting the skin surface. The tapered micronozzle and the microchamber designed for this application were realized using proximity-mode inclined rotational ultraviolet lithography, which facilitates easy fabrication of complex three-dimensional structures, convenient integration with other functional layers, low fabrication cost, and mass production. The resulting device had a micronozzle with an orifice inner and outer diameter of 220 and 320 µm, respectively, and an extruded height of 250 µm. When the microchamber was filled with an ethanol gel and activated, the resulting ethanol vapor jet increased the permeability of human cadaver epidermis to a model compound, calcein, by approximately 17 times, which is attributed to thermal and chemical disruption of stratum corneum structure. This thermal microjet system can serve as a tool not only for transdermal drug delivery, but also for a variety of biomedical applications.

  20. A thermal microjet system with tapered micronozzles fabricated by inclined UV lithography for transdermal drug delivery

    International Nuclear Information System (INIS)

    Yoon, Yong-Kyu; Park, Jung-Hwan; Lee, Jeong-Woo; Prausnitz, Mark R; Allen, Mark G

    2011-01-01

    Transdermal drug delivery can be enabled by various methods that increase the permeability of the skin's outer barrier of stratum corneum, including skin exposure to heat and chemical enhancers, such as ethanol. Combining these approaches for the first time, in this study we designed a microdevice consisting of an array of microchambers filled with ethanol that is vaporized using an integrated microheater and ejected through a micronozzle contacting the skin surface. In this way, we hypothesize that the hot ethanol vapor can increase skin permeability upon contacting the skin surface. The tapered micronozzle and the microchamber designed for this application were realized using proximity-mode inclined rotational ultraviolet lithography, which facilitates easy fabrication of complex three-dimensional structures, convenient integration with other functional layers, low fabrication cost, and mass production. The resulting device had a micronozzle with an orifice inner and outer diameter of 220 and 320 µm, respectively, and an extruded height of 250 µm. When the microchamber was filled with an ethanol gel and activated, the resulting ethanol vapor jet increased the permeability of human cadaver epidermis to a model compound, calcein, by approximately 17 times, which is attributed to thermal and chemical disruption of stratum corneum structure. This thermal microjet system can serve as a tool not only for transdermal drug delivery, but also for a variety of biomedical applications.

  1. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  2. Reactive Imprint Lithography: Combined Topographical Patterning and Chemical Surface Functionalization of Polystyrene-block-poly(tert-butyl acrylate) Films

    NARCIS (Netherlands)

    Duvigneau, Joost; Cornelissen, Stijn; Bardajı´Valls, Nuria; Schönherr, Holger; Vancso, Gyula J.

    2009-01-01

    Here, reactive imprint lithography (RIL) is introduced as a new, one-step lithographic tool for the fabrication of large-area topographically patterned, chemically activated polymer platforms. Films of polystyrene-block-poly(tert-butyl acrylate) (PS-b-PtBA) are imprinted with PDMS master stamps at

  3. Aligned nanowire growth using lithography-assisted bonding of a polycarbonate template for neural probe electrodes

    International Nuclear Information System (INIS)

    Yoon, Hargsoon; Deshpande, Devesh C; Ramachandran, Vasuda; Varadan, Vijay K

    2008-01-01

    This research presents a fabrication method of vertically aligned nanowires on substrates using lithography-assisted template bonding (LATB) towards developing highly efficient electrodes for biomedical applications at low cost. A polycarbonate template containing cylindrical nanopores is attached to a substrate and the nanopores are selectively opened with a modified lithography process. Vertically aligned nanowires are grown by electrochemical deposition through these open pores on polyimide film and silicon substrates. The process of opening the nanopores is optimized to yield uniform growth of nanowires. The morphological, crystalline, and electrochemical properties of the resulting vertically aligned nanowires are discussed using scanning electron microscopy (SEM), x-ray diffraction (XRD), and electrochemical analysis tools. The potential application of this simple and inexpensive fabrication technology is discussed in the development of neural probe electrodes

  4. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  5. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  6. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  7. Ultraviolet Behavior of N = 8 Supergravity

    International Nuclear Information System (INIS)

    Dixon, Lance J.

    2010-01-01

    In these lectures the author describes the remarkable ultraviolet behavior of N = 8 supergravity, which through four loops is no worse than that of N = 4 super-Yang-Mills theory (a finite theory). I also explain the computational tools that allow multi-loop amplitudes to be evaluated in this theory - the KLT relations and the unitarity method - and sketch how ultraviolet divergences are extracted from the amplitudes.

  8. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    Science.gov (United States)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  9. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    Science.gov (United States)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  10. Impact of design-parameters on the optical performance of a highpower adaptive mirror

    NARCIS (Netherlands)

    Koek, W.D.; Nijkerk, M.D.; Smeltink, J.A.; Dool, T.C. van den; Zwet, E.J. van; Baars, G.E. van

    2017-01-01

    TNO is developing a High Power Adaptive Mirror (HPAM) to be used in the CO2 laser beam path of an Extreme Ultra-Violet (EUV) light source for next-generation lithography. In this paper we report on a developed methodology, and the necessary simulation tools, to assess the performance and associated

  11. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  12. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  13. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    Science.gov (United States)

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  14. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  15. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  16. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  17. Ultraviolet-visible and fluorescence spectroscopy can be used as a diagnostic tool for gamma irradiation detection in vivo.

    Science.gov (United States)

    K-Abdelhalim, Mohamed Anwar; Moussa, Sherif A-Abdelmottaleb

    2016-09-01

    The spectroscopic properties can indicate important features about the nature and severity of the disease. However, no earlier studies have been used the spectroscopic properties as a diagnostic tool for radiation detection. This study was aimed to use ultraviolet-visible and fluorescence spectroscopy as a diagnostic tool for gamma irradiation detection in rats in vivo. Adult male rats were exposed to 25, 50, 75 and 100 Gray as single dose, using Cobalt-60 (Co-60) source with a dose rate of 0.883 centi Gray/sec (cGy/s). Ultraviolet and fluorescence spectroscopy of rat's blood serum were measured. After gamma irradiation of rats in vivo, the blood serum absorbance peaks for 25, 50, 75 and 100 Gray (Gy) decreased and shifted towards the ultra violet wavelength. A maximal change in fluorescence intensity of blood serum at 350 nm was obtained when exciting light at 194 nm after irradiation. The fluorescence intensity also decreased with the dose. The highest radiation gamma dose might be accompanied with the highest oxidative stress. This study suggests that at the above mentioned gamma radiation doses, the blood is highly fragmented; with low aggregation at 25 Gy and with high aggregation at 50-100 Gy.

  18. Optical manipulation of photonic defect-modes in cholesteric liquid crystals induced by direct laser-lithography

    International Nuclear Information System (INIS)

    Yoshida, Hiroyuki; Lee, Chee Heng; Miura, Yusuke; Fujii, Akihiko; Ozaki, Masanori

    2008-01-01

    Manipulation of photonic defect-modes in cholesteric liquid crystals (ChLCs), which are one-dimensional pseudo photonic band-gap materials have been demonstrated by an external optical field. A structural defect in which the pitch length of the ChLC in the bulk and the defect are different was introduced by inducing local polymerization in a photo-polymerizable ChLC material by a direct laser-lithography process, and infiltrating a different ChLC material as the defect medium. When an azobenzene dye-doped ChLC was infiltrated in the defect, the trans-cis isomerization of the dye upon ultraviolet (UV) exposure caused the pitch to shorten, changing the contrast in the pitch lengths at the bulk and the defect, leading to a consequent shifting of the defect-mode. The all-optical manipulation was reversible and had high reproducibility

  19. PREVAIL-EPL alpha tool electron optics subsystem

    Science.gov (United States)

    Pfeiffer, Hans C.; Dhaliwal, Rajinder S.; Golladay, Steven D.; Doran, Samuel K.; Gordon, Michael S.; Kendall, Rodney A.; Lieberman, Jon E.; Pinckney, David J.; Quickle, Robert J.; Robinson, Christopher F.; Rockrohr, James D.; Stickel, Werner; Tressler, Eileen V.

    2001-08-01

    The IBM/Nikon alliance is continuing pursuit of an EPL stepper alpha tool based on the PREVAIL technology. This paper provides a status report of the alliance activity with particular focus on the Electron Optical Subsystem developed at IBM. We have previously reported on design features of the PREVAIL alpha system. The new state-of-the-art e-beam lithography concepts have since been reduced to practice and turned into functional building blocks of a production level lithography tool. The electron optical alpha tool subsystem has been designed, build, assembled and tested at IBM's Semiconductor Research and Development Center (SRDC) in East Fishkill, New York. After demonstrating subsystem functionality, the electron optical column and all associated control electronics hardware and software have been shipped during January 2001 to Nikon's facility in Kumagaya, Japan, for integration into the Nikon commercial e-beam stepper alpha tool. Early pre-shipment results obtained with this electron optical subsystem are presented.

  20. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  1. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    Science.gov (United States)

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  2. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  3. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  4. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  5. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  6. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  7. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  8. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  9. Design parameters for a small storage ring optimized as an x-ray lithography source

    International Nuclear Information System (INIS)

    Grobman, W.D.

    1983-01-01

    This paper examines the design parameters for a ''compact storage ring'' which is matched well to x-ray lithographic requirements, but is as small as possible. This calculation uses a model of a lithographic system which obtains its input parameters from a technology of mask, resist and beam line based on the IBM program at the Brookhaven National Laboratory vacuum ultraviolet electron storage ring. Based on this lithographic system, we model exposure throughput as a function of storage ring parameters to understand which storage ring designs provide adequate but not excessive soft x-ray flux in the lithographically important region. Our scan of storage ring sources will cover a wide range of energies and magnetic fields, to permit consideration of superconducting as well as more standard strong- or weak-focusing designs. Furthermore, we will show that the results of the calculations presented here can be scaled in a simple way to cover a wide range of x-ray lithography system assumptions

  10. Discharge of viscous UV-curable resin droplets by screen printing for UV nanoimprint lithography

    Science.gov (United States)

    Tanabe, Akira; Uehara, Takuya; Nagase, Kazuro; Ikedo, Hiroaki; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2016-06-01

    We demonstrated a coating method of screen printing for discharging droplets of a high-viscosity resin on a substrate for ultraviolet (UV) nanoimprint lithography (NIL). Compared with a spin-coated resin film on a silicon substrate, discharged resin droplets on a silicon substrate were effective in terms of the uniformity of residual layer thickness (RLT) in contact with a mold with various pattern densities. Fluorescence microscope observations with a fluorescent-dye-containing UV-curable resin enabled the evaluation of the shapes of resin droplets discharged on a substrate surface. Widely used screen mesh plates composed of a stainless mesh covered with a patterned emulsion film caused defects of undischarged parts, whereas defects-free resin droplets with a narrow size distribution were discharged by mesh-free plates prepared with laser ablation. The pitch-to-diameter ratio in the configuration of 10-µm-diameter holes needs to be larger than 2.5 times for printing a resin having a viscosity of 12,800 mPa s.

  11. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  12. Lithography-induced limits to scaling of design quality

    Science.gov (United States)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  13. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    Science.gov (United States)

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  14. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  15. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  16. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  17. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  18. Demonstration of electronic design automation flow for massively parallel e-beam lithography

    Science.gov (United States)

    Brandt, Pieter; Belledent, Jérôme; Tranquillin, Céline; Figueiro, Thiago; Meunier, Stéfanie; Bayle, Sébastien; Fay, Aurélien; Milléquant, Matthieu; Icard, Beatrice; Wieland, Marco

    2014-07-01

    For proximity effect correction in 5 keV e-beam lithography, three elementary building blocks exist: dose modulation, geometry (size) modulation, and background dose addition. Combinations of these three methods are quantitatively compared in terms of throughput impact and process window (PW). In addition, overexposure in combination with negative bias results in PW enhancement at the cost of throughput. In proximity effect correction by over exposure (PEC-OE), the entire layout is set to fixed dose and geometry sizes are adjusted. In PEC-dose to size (DTS) both dose and geometry sizes are locally optimized. In PEC-background (BG), a background is added to correct the long-range part of the point spread function. In single e-beam tools (Gaussian or Shaped-beam), throughput heavily depends on the number of shots. In raster scan tools such as MAPPER Lithography's FLX 1200 (MATRIX platform) this is not the case and instead of pattern density, the maximum local dose on the wafer is limiting throughput. The smallest considered half-pitch is 28 nm, which may be considered the 14-nm node for Metal-1 and the 10-nm node for the Via-1 layer, achieved in a single exposure with e-beam lithography. For typical 28-nm-hp Metal-1 layouts, it was shown that dose latitudes (size of process window) of around 10% are realizable with available PEC methods. For 28-nm-hp Via-1 layouts this is even higher at 14% and up. When the layouts do not reach the highest densities (up to 10∶1 in this study), PEC-BG and PEC-OE provide the capability to trade throughput for dose latitude. At the highest densities, PEC-DTS is required for proximity correction, as this method adjusts both geometry edges and doses and will reduce the dose at the densest areas. For 28-nm-hp lines critical dimension (CD), hole&dot (CD) and line ends (edge placement error), the data path errors are typically 0.9, 1.0 and 0.7 nm (3σ) and below, respectively. There is not a clear data path performance difference between

  19. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  20. Extreme Ultraviolet Process Optimization for Contact Layer of 14 nm Node Logic and 16 nm Half Pitch Memory Devices

    Science.gov (United States)

    Tseng, Shih-En; Chen, Alek

    2012-06-01

    Extreme ultraviolet (EUV) lithography is considered the most promising single exposure technology at the 27 nm half-pitch node and beyond. The imaging performance of ASML TWINSCAN NXE:3100 has been demonstrated to be able to resolve 26 nm Flash gate layer and 16 nm static random access memory (SRAM) metal layer with a 0.25 numerical aperture (NA) and conventional illumination. Targeting for high volume manufacturing, ASML TWINSCAN NXE:3300B, featuring a 0.33 NA lens with off-axis illumination, will generate a higher contrast aerial image due to improved diffraction order collection efficiency and is expected to reduce target dose via mask biasing. This work performed a simulation to determine how EUV high NA imaging benefits the mask rule check trade-offs required to achieve viable lithography solutions in two device application scenarios: a 14 nm node 6T-SRAM contact layer and a 16 nm half-pitch NAND Flash staggered contact layer. In each application, the three-dimensional mask effects versus Kirchhoff mask were also investigated.

  1. Grayscale lithography-automated mask generation for complex three-dimensional topography

    Science.gov (United States)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  2. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  3. An integrated lithography concept with application on 45-nm ½ pitch flash memory devices

    Science.gov (United States)

    Dusa, Mircea; Engelen, Andre; Finders, Jo

    2006-03-01

    It is well accepted to judge imaging capability of an exposure tool primarily on printing equal line-spaces, at a minimum ½ pitch. Further on, combining line-space minimum ½ pitches with scanner maximum NA, defines the process k I. From a lithographer viewpoint, flash memory device is the perfect candidate to achieve lowest k I lithography for a given NA. This is justified by flash layout specific, with regular and relative simple 1-D topology of the critical layers that look like line-space gratings. In reality, flash layout presents a subtle topology and cannot be considered a simple 1-D line-space problem. Uniqueness to flash layout is the array-end zones, where pattern regularity is broken up by features with dimensions and separation of n x ½ pitch, where n is an integer number that we used in this work to manipulate litho process latitudes. Integrated lithography concept seeks to tweak flash pattern details and tune it with scanner control parameters. We introduce feature-center placement through focus and dose as the metric to characterize a cross-coupling phenomena occurring between adjacent features located at array-end of typical flash poly wordline layer. We comparedthe metric behavior with usual litho process window parameters and identified interactions with scanner CDU control parameters. We show how feature-center placement errors are direct functions of optical and physical characteristics of mask materials, attenuated PSM or binary, and of layout array-end topology. Imaging at extreme low-k I, effects from layout specifics and mask materials are best characterized by full vector, rigorous EM simulation, instead of scalar approach, typically used for OPC treatment. Predicted CDU performance of 1.2NA scanner, based on integrated lithography concept, matched very well the experimental results in printing 45nm ½ pitch flash wordline layer. Results show that 1.2NA scanner, operating at 0.28 k I could be an effective lithography solution for 45nm

  4. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  5. Extreme ultraviolet patterning of tin-oxo cages

    Science.gov (United States)

    Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.

    2017-07-01

    We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.

  6. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  7. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    International Nuclear Information System (INIS)

    Pérez, Noemí; Tavera, Txaber; Rodríguez, Ainara; Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M.

    2012-01-01

    Highlights: ► Arrays of hollow-core sub-micrometric structures are fabricated. ► Laser interference lithography is used for the pattering of the resist sacrificial layer. ► The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 μm 2 . ► These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 μm 2 . The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  8. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  9. TiO2/ CNT hetero-structure with variable electron beam diameter suitable for nano lithography

    International Nuclear Information System (INIS)

    Barati, F.; Abdi, Y.; Arzi, E.

    2012-01-01

    We report fabrication of a novel TiO 2 /carbon nano tube based field emission device suitable for nano lithography and fabrication of transistor. The growth of carbon nano tubes is performed on silicon substrates using plasma-enhanced chemical vapor deposition method. The vertically grown carbon nano tubes are encapsulated by TiO 2 using an atmospheric pressure chemical vapor deposition system. Field emission from the carbon nano tubes is realized by mechanical polishing of the prepared nano structure. The possibility of the application of such nano structures as a lithography tool with variable electron beam diameter was investigated. The obtained results show that spot size of less than 30 nm can be obtained by applying a proper voltage on TiO 2 surrounding gate. Electrical measurements of the fabricated device confirm the capability of this nano structure for the fabrication of field emission based field effect transistor. By applying a voltage between the gate and the cathode electrode, the emission current from carbon nano tubes shows a significant drop, indicating proper control of gate on the emission current.

  10. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  11. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    Science.gov (United States)

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  12. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  13. The Efficacy of Ultraviolet Radiation for Sterilizing Tools Used for Surgically Implanting Transmitters into Fish

    Energy Technology Data Exchange (ETDEWEB)

    Walker, Ricardo W.; Markillie, Lye Meng; Colotelo, Alison HA; Gay, Marybeth E.; Woodley, Christa M.; Brown, Richard S.

    2013-02-28

    Telemetry is frequently used to examine the behavior of fish, and the transmitters used are normally surgically implanted into the coelom of fish. Implantation requires the use of surgical tools such as scalpels, forceps, needle holders, and sutures. When several fish are implanted consecutively for large telemetry studies, it is common for surgical tools to be sterilized or, at minimum, disinfected between each use so that pathogens that may be present are not spread among fish. However, autoclaving tools can take a long period of time, and chemical sterilants or disinfectants can be harmful to both humans and fish and have varied effectiveness. Ultraviolet (UV) radiation is commonly used to disinfect water in aquaculture facilities. However, this technology has not been widely used to sterilize tools for surgical implantation of transmitters in fish. To determine its efficacy for this application, Pacific Northwest National Laboratory researchers used UV radiation to disinfect surgical tools (i.e., forceps, needle holder, stab scalpel, and suture) that were exposed to one of four aquatic organisms that typically lead to negative health issues for salmonids. These organisms included Aeromonas salmonicida, Flavobacterium psychrophilum, Renibacterium salmoninarum, and Saprolegnia parasitica. Surgical tools were exposed to the bacteria by dipping them into a confluent suspension of three varying concentrations (i.e., low, medium, high). After exposure to the bacterial culture, tools were placed into a mobile Millipore UV sterilization apparatus. The tools were then exposed for three different time periods—2, 5, or 15 min. S. parasitica, a water mold, was tested using an agar plate method and forceps-pinch method. UV light exposures of 5 and 15 min were effective at killing all four organisms. UV light was also effective at killing Geobacillus stearothermophilus, the organism used as a biological indicator to verify effectiveness of steam sterilizers. These

  14. New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning

    Science.gov (United States)

    Thakur, Neha; Guruprasad Reddy, Pulikanti; Nandi, Santu; Yogesh, Midathala; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2017-12-01

    The development of new photoresist materials for multi-lithography applications is crucial but a challenging task for semiconductor industries. During the last few decades, given the need for new resists to meet the requirements of semiconductor industries, several research groups have developed different resist materials for specific lithography applications. In this context, we have successfully synthesized a new molecular non-chemically amplified resist (n-CAR) (C3) based on the functionalization of aromatic hydroxyl core (4,4‧-(9H-fluorene-9,9-diyl)diphenol) with radiation sensitive sulfonium triflates for various lithography applications. While, micron scale features have been developed using i-line (365 nm) and DUVL (254 nm) exposure tools, electron beam studies on C3 thin films enabled us to pattern 20 nm line features with L/3S (line/space) characteristics on the silicon substrate. The sensitivity and contrast were calculated from the contrast curve analysis as 280 µC cm-2 and 0.025 respectively. Being an important parameter for any newly developed resists, the line edge roughness (LER) of 30 nm (L/5S) features were calculated, using SUMMIT metrology package, to be 3.66  ±  0.3 nm and found to be within the acceptable range. AFM analysis further confirmed 20 nm line width with smooth pattern wall. No deformation of patterned features was observed during AFM analysis which indicated good adhesion property between patterned resists and silicon substrates.

  15. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  16. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  17. Resist image quality control via acid diffusion constant and/or photodecomposable quencher concentration in the fabrication of 11 nm half-pitch line-and-space patterns using extreme-ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-05-01

    Extreme-ultraviolet (EUV) lithography will be applied to the high-volume production of semiconductor devices with 16 nm half-pitch resolution and is expected to be extended to that of devices with 11 nm half-pitch resolution. With the reduction in the feature sizes, the control of acid diffusion becomes a significant concern. In this study, the dependence of resist image quality on T PEB D acid and photodecomposable quencher concentration was investigated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. Here, T PEB and D acid are the postexposure baking (PEB) time and the acid diffusion constant, respectively. The resist image quality of 11 nm line-and-space patterns is discussed in terms of line edge roughness (LER) and stochastic defect generation. For the minimization of LER, it is necessary to design and control not only the photodecomposable quencher concentration but also T PEB D acid. In this case, D acid should be adjusted to be 0.3–1.5 nm2 s‑1 for a PEB time of 60 s with optimization of the balance among LER and stochastic pinching and bridging. Even if it is difficult to decrease D acid to the range of 0.3–1.5 nm2 s‑1, the image quality can still be controlled via only the photodecomposable quencher concentration, although LER and stochastic pinching and bridging are slightly increased. In this case, accurate control of the photodecomposable quencher concentration and the reduction in the initial standard deviation of the number of protected units are required.

  18. Alternative stitching method for massively parallel e-beam lithography

    Science.gov (United States)

    Brandt, Pieter; Tranquillin, Céline; Wieland, Marco; Bayle, Sébastien; Milléquant, Matthieu; Renault, Guillaume

    2015-07-01

    In this study, a stitching method other than soft edge (SE) and smart boundary (SB) is introduced and benchmarked against SE. The method is based on locally enhanced exposure latitude without throughput cost, making use of the fact that the two beams that pass through the stitching region can deposit up to 2× the nominal dose. The method requires a complex proximity effect correction that takes a preset stitching dose profile into account. Although the principle of the presented stitching method can be multibeam (lithography) systems in general, in this study, the MAPPER FLX 1200 tool is specifically considered. For the latter tool at a metal clip at minimum half-pitch of 32 nm, the stitching method effectively mitigates beam-to-beam (B2B) position errors such that they do not induce an increase in critical dimension uniformity (CDU). In other words, the same CDU can be realized inside the stitching region as outside the stitching region. For the SE method, the CDU inside is 0.3 nm higher than outside the stitching region. A 5-nm direct overlay impact from the B2B position errors cannot be reduced by a stitching strategy.

  19. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  20. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  1. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  2. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  3. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Perez, Noemi; Tavera, Txaber [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain); Rodriguez, Ainara [CIC Microgune, Paseo Mikeletegi 48, 20009 San Sebastian (Spain); Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M. [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Arrays of hollow-core sub-micrometric structures are fabricated. Black-Right-Pointing-Pointer Laser interference lithography is used for the pattering of the resist sacrificial layer. Black-Right-Pointing-Pointer The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 {mu}m{sup 2}. Black-Right-Pointing-Pointer These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 {mu}m{sup 2}. The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  4. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  5. Preparation of tools for lithographically controlled wetting and soft lithography

    OpenAIRE

    sprotocols

    2015-01-01

    Authors: Massmiliano Cavallini, Denis Gentili, Pierpaolo Greco, Francesco Valle & Fabio Biscarini ### Abstract This protocol provides the instructions for designing and fabricating stamping tools with features ranging from nanometer to micrometer scale, including the fabrication using commercial tools such as compact disks or digital video disks. In particular the reported procedures are oriented towards the tools fabrication for lithographically controlled wetting and soft lithograph...

  6. On the similarities between micro/nano lithography and topology optimization projection methods

    DEFF Research Database (Denmark)

    Jansen, Miche; Lazarov, Boyan Stefanov; Schevenels, Mattias

    2013-01-01

    The aim of this paper is to incorporate a model for micro/nano lithography production processes in topology optimization. The production process turns out to provide a physical analogy for projection filters in topology optimization. Blueprints supplied by the designers cannot be directly used...... as inputs to lithographic processes due to the proximity effect which causes rounding of sharp corners and geometric interaction of closely spaced design elements. Therefore, topology optimization is applied as a tool for proximity effect correction. Furthermore, it is demonstrated that the robust...... projection filter can be used to account for uncertainties due to lithographic production processes which results in manufacturable blueprint designs and eliminates the need for subsequent corrections....

  7. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  8. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  9. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  10. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  11. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Installation and initial operation of the Suss Advanced Lithography Model 4 X-ray Stepper

    International Nuclear Information System (INIS)

    Wells, G.M.; Wallace, J.P.; Brodsky, E.L.; Leonard, Q.J.; Reilly, M.T.; Anderson, P.D.; Lee, W.K.; Cerrina, F.; Simon, K.

    1996-01-01

    A Suss Advanced Lithography X-ray Stepper designed as a production tool for high throughput in the sub-quarter-micron device range has been installed and is being commissioned at the University of Wisconsin close-quote s Center for X-ray Lithography (CXrL). Illumination for the stepper is provided by a scanning beamline designed and constructed at CXrL. The beamline optical components are a gold-coated plane mirror, a 1-micron-thick silicon carbide window, and a 25-micron-thick beryllium exit window. Beamline features include synchronized scanning of the mirror and exit window, variable scan velocity to compensate for reflectivity changes as a function of incident angle, and a horizontal oscillation of the beryllium window during vertical scanning to average the effects of nonuniform beryllium window transmission. A helium purged snout transports the x-rays from the beamline exit window, to the exposure plane in the stepper. This snout is retractable to allow for the loading and unloading of masks into the stepper. The motions of the mirror, exit window, and snout are computer controlled by a LABVIEW program that communicates with the stepper control software. The design of the beamline and initial operating experiences with the beamline and stepper will be discussed. copyright 1996 American Institute of Physics

  13. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  14. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  15. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    International Nuclear Information System (INIS)

    Cordeiro, J.; Zelsmann, M.; Honegger, T.; Picard, E.; Hadji, E.; Peyrade, D.

    2015-01-01

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles

  16. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    Energy Technology Data Exchange (ETDEWEB)

    Cordeiro, J. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Zelsmann, M., E-mail: marc.zelsmann@cea.fr [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Honegger, T. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Picard, E.; Hadji, E. [Univ Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC-SP2M, F-38000 Grenoble (France); Peyrade, D. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France)

    2015-09-15

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles.

  17. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  18. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  19. Critical dimension and pattern size enhancement using pre-strained lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Jian-Wei [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Yang, Chung-Yuan [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Lo, Cheng-Yao, E-mail: chengyao@mx.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China)

    2014-10-13

    This paper proposes a non-wavelength-shortening-related critical dimension and pattern size reduction solution for the integrated circuit industry that entails generating strain on the substrate prior to lithography. Pattern size reduction of up to 49% was achieved regardless of shape, location, and size on the xy plane, and complete theoretical calculations and process steps are described in this paper. This technique can be applied to enhance pattern resolution by employing materials and process parameters already in use and, thus, to enhance the capability of outdated lithography facilities, enabling them to particularly support the manufacturing of flexible electronic devices with polymer substrates.

  20. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  1. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  2. Seamless-merging-oriented parallel inverse lithography technology

    International Nuclear Information System (INIS)

    Yang Yiwei; Shi Zheng; Shen Shanhu

    2009-01-01

    Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT.

  3. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  4. InGaN/GaN core/shell nanowires for visible to ultraviolet range photodetection

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hezhi; Lavenus, Pierre; Julien, Francois H.; Tchernycheva, Maria [Institut d' Electronique Fondamentale, UMR CNRS 8622, Universite Paris Sud 11, 91405, Orsay (France); Messanvi, Agnes [Institut d' Electronique Fondamentale, UMR CNRS 8622, Universite Paris Sud 11, 91405, Orsay (France); Universite Grenoble Alpes, 38000, Grenoble (France); CEA, INAC-SP2M, ' ' Nanophysique et semiconducteurs' ' Group, 38000, Grenoble (France); Durand, Christophe; Eymery, Joel [Universite Grenoble Alpes, 38000, Grenoble (France); CEA, INAC-SP2M, ' ' Nanophysique et semiconducteurs' ' Group, 38000, Grenoble (France); Babichev, Andrey [ITMO University, 197101, St. Petersburg (Russian Federation); Ioffe Institute, Polytekhnicheskaya 26, 194021, St. Petersburg (Russian Federation)

    2016-04-15

    We report on the fabrication and characterization of single nitride nanowire visible-to-ultraviolet p-n photodetectors. Nitride nanowires containing 30 InGaN/GaN radial quantum wells with 18% indium fraction were grown by catalyst-free metal-organic vapour phase epitaxy. Single nanowires were contacted using optical lithography. As expected for a radial p-n junction, the current-voltage (I-V) curves of single wire detectors show a rectifying behavior in the dark and a photocurrent under illumination. The detectors present a response in the visible to UV spectral range starting from 2.8 eV. The peak responsivity is 0.17 A/W at 3.36 eV. The on-off switching time under square light pulses is found to be below 0.1 s. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  6. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  7. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  8. Interpreting cost of ownership for mix-and-match lithography

    Science.gov (United States)

    Levine, Alan L.; Bergendahl, Albert S.

    1994-05-01

    Cost of ownership modeling is a critical and emerging tool that provides significant insight into the ways to optimize device manufacturing costs. The development of a model to deal with a particular application, mix-and-match lithography, was performed in order to determine the level of cost savings and the optimum ways to create these savings. The use of sensitivity analysis with cost of ownership allows the user to make accurate trade-offs between technology and cost. The use and interpretation of the model results are described in this paper. Parameters analyzed include several manufacturing considerations -- depreciation, maintenance, engineering and operator labor, floorspace, resist, consumables and reticles. Inherent in this study is the ability to customize this analysis for a particular operating environment. Results demonstrate the clear advantages of a mix-and-match approach for three different operating environments. These case studies also demonstrate various methods to efficiently optimize cost savings strategies.

  9. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  10. Laser interference lithography with highly accurate interferometric alignment

    NARCIS (Netherlands)

    van Soest, Frank J.; van Wolferen, Hendricus A.G.M.; Hoekstra, Hugo; de Ridder, R.M.; Worhoff, Kerstin; Lambeck, Paul

    It is shown experimentally that in laser interference lithography, by using a reference grating, respective grating layers can be positioned with high relative accuracy. A 0.001 degree angular and a few nanometers lateral resolution have been demonstrated.

  11. Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography

    Science.gov (United States)

    Campbell, Ian Patrick

    Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. This bottom-up process relies on the parallel self-assembly of macromolecules composed of covalently linked, chemically distinct blocks to generate periodic nanostructures. Among the myriad potential morphologies, lamellar structures formed by diblock copolymers with symmetric volume fractions have attracted the most interest as a patterning tool. When confined to thin films and directed to assemble with interfaces perpendicular to the substrate, two-dimensional domains are formed between the free surface and the substrate, and selective removal of a single block creates a nanostructured polymeric template. The substrate exposed between the polymeric features can subsequently be modified through standard top-down microfabrication processes to generate novel nanostructured materials. Despite tremendous progress in our understanding of block copolymer self-assembly, continuous two-dimensional materials have not yet been fabricated via this robust technique, which may enable nanostructured material combinations that cannot be fabricated through bottom-up methods. This thesis aims to study the effects of block copolymer composition and processing on the lamellar network morphology of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and utilize this knowledge to fabricate continuous two-dimensional materials through top-down methods. First, block copolymer composition was varied through homopolymer blending to explore the physical phenomena surrounding lamellar network continuity. After establishing a framework for tuning the continuity, the effects of various processing parameters were explored to engineer the network connectivity via defect annihilation processes. Precisely controlling the connectivity and continuity of lamellar networks through defect engineering and

  12. Ultraviolet Extensions

    Science.gov (United States)

    2008-01-01

    [figure removed for brevity, see original site] Side-by-Side Comparison Click on image for larger view This ultraviolet image from NASA's Galaxy Evolution Explorer shows the Southern Pinwheel galaxy, also know as Messier 83 or M83. It is located 15 million light-years away in the southern constellation Hydra. Ultraviolet light traces young populations of stars; in this image, young stars can be seen way beyond the main spiral disk of M83 up to 140,000 light-years from its center. Could life exist around one of these far-flung stars? Scientists say it's unlikely because the outlying regions of a galaxy are lacking in the metals required for planets to form. The image was taken at scheduled intervals between March 15 and May 20, 2007. It is one of the longest-exposure, or deepest, images ever taken of a nearby galaxy in ultraviolet light. Near-ultraviolet light (or longer-wavelength ultraviolet light) is colored yellow, and far-ultraviolet light is blue. What Lies Beyond the Edge of a Galaxy The side-by-side comparison shows the Southern Pinwheel galaxy, or M83, as seen in ultraviolet light (right) and at both ultraviolet and radio wavelengths (left). While the radio data highlight the galaxy's long, octopus-like arms stretching far beyond its main spiral disk (red), the ultraviolet data reveal clusters of baby stars (blue) within the extended arms. The ultraviolet image was taken by NASA's Galaxy Evolution Explorer between March 15 and May 20, 2007, at scheduled intervals. Back in 2005, the telescope first photographed M83 over a shorter period of time. That picture was the first to reveal far-flung baby stars forming up to 63,000 light-years from the edge of the main spiral disk. This came as a surprise to astronomers because a galaxy's outer territory typically lacks high densities of star-forming materials. The newest picture of M83 from the Galaxy Evolution Explorer is shown at the right, and was taken over a longer period of time. In fact, it is one of the

  13. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  14. Photochemical reaction monitoring by ultra-violet spectrophotometry.

    Science.gov (United States)

    Roig, B; Touraud, E; Thomas, O

    2002-11-01

    Within the framework of the monitoring of the trichloroacetylchloride (TCAC) photosynthesis, ultra-violet (UV) spectrophotometry is proposed as a simple and rapid tool allowing, in real time, the control of the process efficiency. A good correlation has been obtained between the results acquired by this alternative method and the standard gas chromatography.

  15. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  16. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    Science.gov (United States)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  17. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  18. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  19. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis

    International Nuclear Information System (INIS)

    Jia, Ningning; Lam, Edmund Y

    2010-01-01

    Inverse lithography technology (ILT) synthesizes photomasks by solving an inverse imaging problem through optimization of an appropriate functional. Much effort on ILT is dedicated to deriving superior masks at a nominal process condition. However, the lower k 1 factor causes the mask to be more sensitive to process variations. Robustness to major process variations, such as focus and dose variations, is desired. In this paper, we consider the focus variation as a stochastic variable, and treat the mask design as a machine learning problem. The stochastic gradient descent approach, which is a useful tool in machine learning, is adopted to train the mask design. Compared with previous work, simulation shows that the proposed algorithm is effective in producing robust masks

  20. A low cost high resolution pattern generator for electron-beam lithography

    International Nuclear Information System (INIS)

    Pennelli, G.; D'Angelo, F.; Piotto, M.; Barillaro, G.; Pellegrini, B.

    2003-01-01

    A simple, very low cost pattern generator for electron-beam lithography is presented. When it is applied to a scanning electron microscope, the system allows a high precision positioning of the beam for lithography of very small structures. Patterns are generated by a suitable software implemented on a personal computer, by using very simple functions, allowing an easy development of new writing strategies for a great adaptability to different user necessities. Hardware solutions, as optocouplers and battery supply, have been implemented for reduction of noise and disturbs on the voltages controlling the positioning of the beam

  1. Feasibility of multi-walled carbon nanotube probes in AFM anodization lithography

    International Nuclear Information System (INIS)

    Choi, Ji Sun; Bae, Sukjong; Ahn, Sang Jung; Kim, Dal Hyun; Jung, Ki Young; Han, Cheolsu; Chung, Chung Choo; Lee, Haiwon

    2007-01-01

    Multi-walled carbon nanotube (CNT) tips were used in atomic force microscope (AFM) anodization lithography to investigate their advantages over conventional tips. The CNT tip required a larger threshold voltage than the mother silicon tip due to the Schottky barrier at the CNT-Si interface. Current-to-voltage curves distinguished the junction property between CNTs and mother tips. The CNT-platinum tip, which is more conductive than the CNT-silicon tip, showed promising results for AFM anodization lithography. Finally, the nanostructures with high aspect ratio were fabricated using a pulsed bias voltage technique as well as the CNT tip

  2. Coherence techniques at extreme ultraviolet wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chang [Univ. of California, Berkeley, CA (United States)

    2002-01-01

    The renaissance of Extreme Ultraviolet (EUV) and soft x-ray (SXR) optics in recent years is mainly driven by the desire of printing and observing ever smaller features, as in lithography and microscopy. This attribute is complemented by the unique opportunity for element specific identification presented by the large number of atomic resonances, essentially for all materials in this range of photon energies. Together, these have driven the need for new short-wavelength radiation sources (e.g. third generation synchrotron radiation facilities), and novel optical components, that in turn permit new research in areas that have not yet been fully explored. This dissertation is directed towards advancing this new field by contributing to the characterization of spatial coherence properties of undulator radiation and, for the first time, introducing Fourier optical elements to this short-wavelength spectral region. The first experiment in this dissertation uses the Thompson-Wolf two-pinhole method to characterize the spatial coherence properties of the undulator radiation at Beamline 12 of the Advanced Light Source. High spatial coherence EUV radiation is demonstrated with appropriate spatial filtering. The effects of small vertical source size and beamline apertures are observed. The difference in the measured horizontal and vertical coherence profile evokes further theoretical studies on coherence propagation of an EUV undulator beamline. A numerical simulation based on the Huygens-Fresnel principle is performed.

  3. EUV lithography : historical perspective and road ahead

    NARCIS (Netherlands)

    Banine, V.Y.

    2014-01-01

    Lithography, in the form of carved type printing, can be dated as far back as the 3rd century AD. Starting from the 19th century it played a major role as the basis for dissemination and preservation of knowledge in the form of printed books, maps, newspapers, etc. In the mid 20th century, with the

  4. Report of the second workshop on synchrotron radiation sources for x-ray lithography

    International Nuclear Information System (INIS)

    Barton, M.Q.; Craft, B.; Williams, G.P.

    1986-01-01

    The reported workshop is part of an effort to implement a US-based x-ray lithography program. Presentations include designs for three storage rings (one superconducting and two conventional) and an overview of a complete lithography program. The background of the effort described, the need for synchrotron radiation, and the international competition in the area are discussed briefly. The technical feasibility of x-ray lithography is discussed, and synchrotron performance specifications and construction options are given, as well as a near-term plan. It is recommended that a prototype synchrotron source be built as soon as possible, and that a research and development plan on critical technologies which could improve cost effectiveness of the synchrotron source be established. It is further recommended that a small number of second generation prototype synchrotrons be distributed to IC manufacturing centers to expedite commercialization

  5. Simultaneous analysis of residual stress and stress intensity factor in a resist after UV-nanoimprint lithography based on electron moiré fringes

    International Nuclear Information System (INIS)

    Wang, Qinghua; Kishimoto, Satoshi

    2012-01-01

    In this study, the residual stress in a resist (PAK01) film and the stress intensity factor (SIF) of an induced crack are simultaneously estimated during ultraviolet nanoimprint lithography (UV-NIL) based on electron moiré fringes. A micro grid in a triangular arrangement on the resist film fabricated by UV-NIL is directly used as the model grid. Electron moiré fringes formed by the interference between the fabricated grid and the electron scan beam are used to measure the displacement distribution around the tip of a crack induced by the residual stress in the resist. The SIF of the crack is estimated using a displacement extrapolation method. The residual strain fields and the corresponding residual stress in the resist film far from the crack are determined and analyzed. This method is effective for evaluating the grid quality fabricated by the UV-NIL technique. (paper)

  6. Solar ultraviolet hazards

    International Nuclear Information System (INIS)

    Azmah Ali

    1995-01-01

    The paper discussed the following subjects: the sources of ultraviolet radiation, solar ultraviolet radiation definition, effects of over exposure to solar ultraviolet radiation, exposure limits and radiation protection of this radiation

  7. Dynamic Properties of Individual Carbon Nanotube Emitters for Maskless Lithography

    National Research Council Canada - National Science Library

    Ribaya, Bryan P; Niemann, Darrell L; Makarewicz, Joseph; Gunther, Norman G; Nguyen, Cattien V; Rahman, Mahmud

    2008-01-01

    .... The individual CNT's low electron beam energy spread and high brightness values make it particularly desirable for advanced applications such as electron microscopy and electron beam lithography...

  8. Ultraviolet sterilization

    International Nuclear Information System (INIS)

    Schenck, G.O.

    1987-01-01

    Artificial ultraviolet radiation sources can supply bactericidal energy in such a high dosage that in less than a second a higher degree of disinfection is accomplished than by sun irradiation in hours. Bacteria, viruses, phages, and organic micropollutants can be degraded by photochemical wet combustion down to and below detection limits of organic carbon. There are no known ultraviolet-resistant microorganisms. There are limitations to ultraviolet treatment which can often be overcome by adequate technical measures. Unlike other water purification processes, ultraviolet irradiation only exterminates living organisms. The radiation must be able to penetrate to the objects of the kill; in a dose large enough to kill, and long enough to kill and prevent new growth. Contrary to filters, ultraviolet flow-through reactors do not restrict free flow significantly. In contrast to distillation, ultraviolet irradiation imposes no phase changes to the water. Used as a sequence in ultrapure water systems, maintenance requirements are virtually nonexistent; because of the absence of dissolved and particulate matter in purified water, mechanical cleaning of the photoreactor chambers is not essential. The process is highly economical; energy consumption is low and supervision minimal. 103 refs., 45 figs., 15 tabs

  9. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  10. From 2D Lithography to 3D Patterning

    NARCIS (Netherlands)

    Van Zeijl, H.W.; Wei, J.; Shen, C.; Verhaar, T.M.; Sarro, P.M.

    2010-01-01

    Lithography as developed for IC device fabrication is a high volume high accuracy patterning technology with strong 2 dimensional (2D) characteristics. This 2D nature makes it a challenge to integrate this technology in a 3 dimensional (3D) manufacturing environment. This article addresses the

  11. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  12. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  13. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  14. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  15. An assessment of the process capabilities of nanoimprint lithography

    Science.gov (United States)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  16. An electron undulating ring for VLSI lithography

    International Nuclear Information System (INIS)

    Tomimasu, T.; Mikado, T.; Noguchi, T.; Sugiyama, S.; Yamazaki, T.

    1985-01-01

    The development of the ETL storage ring ''TERAS'' as an undulating ring has been continued to achieve a wide area exposure of synchrotron radiation (SR) in VLSI lithography. Stable vertical and horizontal undulating motions of stored beams are demonstrated around a horizontal design orbit of TERAS, using two small steering magnets of which one is used for vertical undulating and another for horizontal one. Each steering magnet is inserted into one of the periodic configulation of guide field elements. As one of useful applications of undulaing electron beams, a vertically wide exposure of SR has been demonstrated in the SR lithography. The maximum vertical deviation from the design orbit nCcurs near the steering magnet. The maximum vertical tilt angle of the undulating beam near the nodes is about + or - 2mrad for a steering magnetic field of 50 gauss. Another proposal is for hith-intensity, uniform and wide exposure of SR from a wiggler installed in TERAS, using vertical and horizontal undulating motions of stored beams. A 1.4 m long permanent magnet wiggler has been installed for this purpose in this April

  17. Structure formation in atom lithography using geometric collimation

    NARCIS (Netherlands)

    Meijer, T.; Beardmore, J.P.; Fabrie, C.G.C.H.M.; van Lieshout, J.P.; Notermans, R.P.M.J.W.; Sang, R.T.; Vredenbregt, E.J.D.; Leeuwen, van K.A.H.

    2011-01-01

    Atom lithography uses standing wave light fields as arrays of lenses to focus neutral atom beams into line patterns on a substrate. Laser cooled atom beams are commonly used, but an atom beam source with a small opening placed at a large distance from a substrate creates atom beams which are locally

  18. Combined electron beam and UV lithography in SU-8

    DEFF Research Database (Denmark)

    Gersborg-Hansen, Morten; Thamdrup, Lasse Højlund; Mironov, Andrej

    2007-01-01

    We present combined electron beam and UV lithography (CEUL) in SU-8 as a fast and flexible lithographic technique for prototyping of functional polymer devices and pattern transfer applications. CEUL is a lithographic technique suitable for defining both micrometer and nanometer scale features...

  19. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  20. Telescience - Concepts and contributions to the Extreme Ultraviolet Explorer mission

    Science.gov (United States)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-01-01

    It is shown how the contradictory goals of low-cost and fast data turnaround characterizing the Extreme Ultraviolet Explorer (EUVE) mission can be achieved via the early use of telescience style transparent tools and simulations. The use of transparent tools reduces the parallel development of capability while ensuring that valuable prelaunch experience is not lost in the operations phase. Efforts made to upgrade the 'EUVE electronics' simulator are described.

  1. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    Science.gov (United States)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  2. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  3. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  4. Durable diamond-like carbon templates for UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Tao, L; Ramachandran, S; Nelson, C T; Overzet, L J; Goeckner, M; Lee, G; Hu, W; Lin, M; Willson, C G; Wu, W

    2008-01-01

    The interaction between resist and template during the separation process after nanoimprint lithography (NIL) can cause the formation of defects and damage to the templates and resist patterns. To alleviate these problems, fluorinated self-assembled monolayers (F-SAMs, i.e. tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane or FDTS) have been employed as template release coatings. However, we find that the FDTS coating undergoes irreversible degradation after only 10 cycles of UV nanoimprint processes with SU-8 resist. The degradation includes a 28% reduction in surface F atoms and significant increases in the surface roughness. In this paper, diamond-like carbon (DLC) films were investigated as an alternative material not only for coating but also for direct fabrication of nanoimprint templates. DLC films deposited on quartz templates in a plasma enhanced chemical vapor deposition system are shown to have better chemical and physical stability than FDTS. After the same 10 cycles of UV nanoimprints, the surface composition as well as the roughness of DLC films were found to be unchanged. The adhesion energy between the DLC surface and SU-8 is found to be smaller than that of FDTS despite the slightly higher total surface energy of DLC. DLC templates with 40 nm features were fabricated using e-beam lithography followed by Cr lift-off and reactive ion etching. UV nanoimprinting using the directly patterned DLC templates in SU-8 resist demonstrates good pattern transfer fidelity and easy template-resist separation. These results indicate that DLC is a promising material for fabricating durable templates for UV nanoimprint lithography

  5. Effects of synchrotron radiation spectrum energy on polymethyl methacrylate photosensitivity to deep x-ray lithography

    International Nuclear Information System (INIS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2003-01-01

    Since X-ray lithography requires a high photon flux to achieve deep resist exposure, a synchrotron radiation beam, which is not monochromatized, is generally used as a light source. If the synchrotron radiation beam is monochromatized, photon flux will decrease rapidly. Because of this reason, the wavelength dependence of the resist sensitivity has not been investigated for deep X-ray lithography. Measuring the spectrum of a white beam with a Si solid-state detector (SSD) is difficult because a white beam has a high intensity and an SSD has a high sensitivity. We were able to measure the spectrum and the photocurrent of a white beam from a beam line used for deep X-ray lithography by keeping the ring current below 0.05 mA. We evaluated the characteristics of the output beam based on the measured spectrum and photocurrent, and used them to investigate the relationship between the total exposure energy and the dose-processing depth with polymethyl methacrylate (PMMA). We found that it is possible to guess the processing depth of PMMA from the total exposure energy in deep X-ray lithography. (author)

  6. Mask compensation for process flare in 193nm very low k1 lithography

    Science.gov (United States)

    Lee, Jeonkyu; Lee, Taehyeong; Oh, Sangjin; Kang, Chunsoo; Kim, Jungchan; Choi, Jaeseung; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Do, Munhoe; Su, Irene; Song, Hua; Choi, Jung-Hoe; Fan, Yongfa; Wang, Anthony C.; Lee, Sung-Woo; Boone, Robert; Lucas, Kevin

    2013-04-01

    Traditional rule-based and model-based OPC methods only simulate in a very local area (generally less than 1um) to identify and correct for systematic optical or process problems. Despite this limitation, however, these methods have been very successful for many technology generations and have been a major reason for the industry being able to tremendously push down lithographic K1. This is also enabled by overall good across-exposure field lithographic process control which has been able to minimize longer range effects across the field. Now, however, the situation has now become more complex. The lithographic single exposure resolution limit with 1.35NA tools remains about 80nm pitch but the final wafer dimensions and final wafer pitches required in advanced technologies continue to scale down. This is putting severe strain on lithographic process and OPC CD control. Therefore, formerly less important 2nd order effects are now starting to have significant CD control impact if not corrected for. In this paper, we provide examples and discussion of how optical and chemical flare related effects are becoming more problematic, especially at the boundaries of large, dense memory arrays. We then introduce a practical correction method for these systematic effects which reuses some of the recent long range effect correcting OPC techniques developed for EUV pattern correction (such as EUV flare). We next provide analysis of the benefits of these OPC methods for chemical flare issues in 193nm lithography very low K1 lithography. Finally, we summarize our work and briefly mention possible future extensions.

  7. Hybrid Tandem Solar Cells | Photovoltaic Research | NREL

    Science.gov (United States)

    expensive techniques, such as electron-beam lithography or deep-ultraviolet lithography. Then, a mold is defects form only shallow states that do not reduce performance significantly. Current work is focused on they are compatible with textured Si, enabling enhanced light trapping and lower processing costs. We

  8. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  9. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  10. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  11. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  12. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  13. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  14. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  15. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  16. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  17. Three-dimensional particle tracking in concave structures made by ultraviolet nanoimprint via total internal reflection fluorescence microscopy and refractive-index-matching method

    Science.gov (United States)

    Fujinami, Taku; Kigami, Hiroshi; Unno, Noriyuki; Taniguchi, Jun; Satake, Shin-ichi

    2018-03-01

    Total internal reflection fluorescence microscopy (TIRFM) is a promising method for measuring fluid flow close to a wall with nanoscale resolution in a process that is termed "multilayer nanoparticle image velocimetry" (MnPIV). TIRFM uses evanescent light that is generated on a substrate (typically a glass slide) by total internal reflection of light. Many researchers have previously studied x-y-z (3D) flows of water close to flat glass slides using MnPIV. On the other hand, a fluid flow close to a structured surface is also important. To measure flows of water near micro-patterns, we previously developed an MnPIV technique that uses a refractive-index-matching method. In previous study, the micropattern is made of a thermoplastic material with a refractive index that closely matches that of water. In this study, ultraviolet nanoimprint lithography was used for fabricating the appropriate micro-patterns because this technique can fabricate a pattern with a high resolution. As a result, we succeeded in performing MnPIV in water with a circular hole array pattern made by ultraviolet nanoimprint using a refractive-index-matching method. We believe that this technique will be helpful in elucidating fluid flows around microstructures.

  18. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  19. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  20. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  1. All-dry resist processes for 193-nm lithography

    Science.gov (United States)

    Horn, Mark W.; Maxwell, Brian E.; Kunz, Roderick R.; Hibbs, Michael S.; Eriksen, Lynn M.; Palmateer, Susan C.; Forte, Anthony R.

    1995-06-01

    We report on two different all-dry resist schemes for 193-nm lithography, one negative tone and one positive tone. Our negative tone resist is an extension of our initial work on all-dry photoresists. This scheme employs a bilayer in which the imaging layer is formed by plasma enhanced chemical vapor deposition (PECVD) from tetramethylsilane (TMS) and deposited onto PECVD carbon-based planarizing layers. Figure 1 shows SEMs of dark field and light field octagons patterned in projection on Lincoln Laboratory's 0.5-NA 193-nm Micrascan system. These 0.225-micrometers and 0.200-micrometers line and space features were obtained at a dose of approximately 58 mJ/cm2. Dry development of the exposed resist was accomplished using Cl2 chemistry in a helicon high-ion-density etching tool. Pattern transfer was performed in the helicon tool with oxygen-based chemistries. Recently, we have also developed an all-dry positive-tone silylation photoresist. This photoresist is a PECVD carbon-based polymer which is crosslinked by 193-nm exposure, enabling selective silylation similar to that initially reported by Hartney et al., with spin-applied polymers. In those polymers, for example polyvinylphenol, the silylation site concentration is fixed by the hydroxyl groups on the polymer precursors, thus limiting the silicon uptake per unit volume. With PECVD polymers, the total concentration of silylation sites and their depth can be tailored by varying plasma species as a function of time during the deposition. This affords the possibility of greater silicon uptake per unit volume and better depth control of the silylation profile. Figure 2 shows a SEM of 0.5-micrometers features patterned in plasma deposited silylation resist.

  2. Thermal conduction properties of Mo/Si multilayers for extreme ultraviolet optics

    Science.gov (United States)

    Bozorg-Grayeli, Elah; Li, Zijian; Asheghi, Mehdi; Delgado, Gil; Pokrovsky, Alexander; Panzer, Matthew; Wack, Daniel; Goodson, Kenneth E.

    2012-10-01

    Extreme ultraviolet (EUV) lithography requires nanostructured optical components, whose reliability can be influenced by radiation absorption and thermal conduction. Thermal conduction analysis is complicated by sub-continuum electron and phonon transport and the lack of thermal property data. This paper measures and interprets thermal property data, and their evolution due to heating exposure, for Mo/Si EUV mirrors with 6.9 nm period and Mo/Si thickness ratios of 0.4/0.6 and 0.6/0.4. We use time-domain thermoreflectance and the 3ω method to estimate the thermal resistance between the Ru capping layer and the Mo/Si multilayers (RRu-Mo/Si = 1.5 m2 K GW-1), as well as the out-of-plane thermal conductivity (kMo/Si 1.1 W m-1 K-1) and thermal anisotropy (η = 13). This work also reports the impact of annealing on thermal conduction in a co-deposited MoSi2 layer, increasing the thermal conductivity from 1.7 W m-1 K-1 in the amorphous phase to 2.8 W m-1 K-1 in the crystalline phase.

  3. Development of compact synchrotron light source LUNA for x-ray lithography

    International Nuclear Information System (INIS)

    Takahashi, M.; Mandai, S.; Hoshi, Y.; Kohno, Y.

    1992-01-01

    A compact synchrotron light source LUNA has been developed by Ishikawajima-Harima Heavy Industries Co., Ltd. (IHI), especially for x-ray lithography. It consists of a 45-MeV linac as an electron injector and an 800-MeV synchrotron. The peak wavelength of synchrotron radiation is around 10 A. The installation of LUNA was completed in April 1989 at the Tsuchiura Facility of IHI. The synchrotron radiation was first observed in December 1989. A stored beam current of 50 mA at 800 MeV and a lifetime over 1 h have been achieved. At present, experiments are still continuing to increase the stored current and the lifetime. X-ray lithography testing is scheduled to begin in a clean room in this facility. This paper describes the outline of LUNA and the present status

  4. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    Science.gov (United States)

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  5. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    Directory of Open Access Journals (Sweden)

    Cian Cummins

    2017-09-01

    Full Text Available The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP feature patterning. An elegant route is demonstrated using directed self-assembly (DSA of BCPs for the fabrication of aligned tungsten trioxide (WO3 nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL silsesquioxane (SSQ-based trenches were utilized in order to align a cylinder forming poly(styrene-block-poly(4-vinylpyridine (PS-b-P4VP BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  6. The DARPA compact Superconducting X-Ray Lithography Source features

    International Nuclear Information System (INIS)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-Ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m x 4.0 m). The machine has a racetrack configuration with two 180 degree bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms. Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned

  7. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  8. Integration of plant viruses in electron beam lithography nanostructures

    International Nuclear Information System (INIS)

    Alonso, Jose M; Bittner, Alexander M; Ondarçuhu, Thierry

    2013-01-01

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes. (paper)

  9. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    Science.gov (United States)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  10. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    Science.gov (United States)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  11. Fabrication and characterization of free-standing, high-line-density transmission gratings for the vacuum UV to soft X-ray range

    NARCIS (Netherlands)

    Goh, S.J.; Bastiaens, Hubertus M.J.; Vratzov, B.; Huang, Qiushi; Bijkerk, Frederik; Boller, Klaus J.

    2015-01-01

    We present state-of-the-art high resolution transmission gratings, applicable for spectroscopy in the vacuum ultraviolet (VUV) and the soft X-ray (SRX) wavelength range, fabricated with a novel process using ultraviolet based nano imprint lithography (UV-NIL). Free-standing, high-line-density

  12. „New approaches to atomic force microscope lithography on silicon"

    DEFF Research Database (Denmark)

    Birkelund, Karen; Thomsen, Erik Vilain; Rasmussen, Jan Pihl

    1997-01-01

    We have investigated new approaches to the formation of conducting nanowires on crystalline silicon surfaces using atomic force microscope (AFM) lithography. To increase processing speed and reduce wear of the AFM tip, large-scale structures are formed with a direct laser write setup, while the AFM...

  13. High-quality global hydrogen silsequioxane contact planarization for nanoimprint lithography

    NARCIS (Netherlands)

    Büyükköse, S.; Vratzov, Boris; van der Wiel, Wilfred Gerard

    2011-01-01

    The authors present a novel global contact planarization technique based on the spin-on-glass material hydrogen silsequioxane (HSQ) and demonstrate its excellent performance on patterns of 70 nm up to several microns generated by UV-based nanoimprint lithography. The HSQ layer (∼165 nm) is spin

  14. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  15. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Jingfeng; Lu, Haidong; Gruverman, Alexei; Ducharme, Stephen; Li, Shumin; Tan, Li

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics. (paper)

  16. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    Science.gov (United States)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  17. Hydrophilic/hydrophobic surface modification impact on colloid lithography: Schottky-like defects, dislocation, and ideal distribution

    Science.gov (United States)

    Burtsev, Vasilii; Marchuk, Valentina; Kugaevskiy, Artem; Guselnikova, Olga; Elashnikov, Roman; Miliutina, Elena; Postnikov, Pavel; Svorcik, Vaclav; Lyutakov, Oleksiy

    2018-03-01

    Nano-spheres lithography is actually considered as a powerful tool to manufacture various periodic structures with a wide potential in the field of nano- and micro-fabrication. However, during self-assembling of colloid microspheres, various defects and mismatches can appear. In this work the size and quality of single-domains of closed-packed polystyrene (PS), grown up on thin Au layers modified by hydrophilic or hydrophobic functional groups via diazonium chemistry was studied. The effects of the surface modification on the quality and single-domain size of polystyrene (PS) microspheres array were investigated and discussed. Modified surfaces were characterized using the AFM and wettability tests. PS colloidal suspension was deposited using the drop evaporation method. Resulted PS microspheres array was characterized using the SEM, AFM and confocal microscopy technique.

  18. Resolution Improvement and Pattern Generator Development for the Maskless Micro-Ion-Beam Reduction Lithography System

    International Nuclear Information System (INIS)

    Jiang, Ximan

    2006-01-01

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In order to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies

  19. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  20. Fast thermal nanoimprint lithography by a stamp with integrated heater

    DEFF Research Database (Denmark)

    Tormen, Massimo; Malureanu, Radu; Pedersen, Rasmus Haugstrup

    2008-01-01

    We propose fast nanoimprinting lithography (NIL) process based on the use of stamps with integrated heater. The latter consists of heavily ion implantation n-type doped silicon layer buried below the microstructured surface of the stamp. The stamp is heated by Joule effect, by 50 μs 25 Hz...

  1. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  2. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    Science.gov (United States)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  3. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  4. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    Science.gov (United States)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  5. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  6. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  7. Driving imaging and overlay performance to the limits with advanced lithography optimization

    Science.gov (United States)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  8. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  9. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  10. Fabrication of biopolymer cantilevers using nanoimprint lithography

    DEFF Research Database (Denmark)

    Keller, Stephan Sylvest; Feidenhans'l, Nikolaj Agentoft; Fisker-Bødker, Nis

    2011-01-01

    The biodegradable polymer poly(l-lactide) (PLLA) was introduced for the fabrication of micromechanical devices. For this purpose, thin biopolymer films with thickness around 10 μm were spin-coated on silicon substrates. Patterning of microcantilevers is achieved by nanoimprint lithography. A major...... challenge was the high adhesion between PLLA and silicon stamp. Optimized stamp fabrication and the deposition of a 125 nm thick fluorocarbon anti-stiction coating on the PLLA allowed the fabrication of biopolymer cantilevers. Resonance frequency measurements were used to estimate the Young’s modulus...

  11. Photoinhibition superresolution lithography

    Science.gov (United States)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  12. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  13. Bright high-repetition-rate source of narrowband extreme-ultraviolet harmonics beyond 22 eV

    Energy Technology Data Exchange (ETDEWEB)

    Wang, He [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Materials Sciences Division; Xu, Yiming [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Materials Sciences Division; Ulonska, Stefan [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Materials Sciences Division; Robinson, Joseph S. [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Materials Sciences Division; Ranitovic, Predrag [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Materials Sciences Division; Kaindl, Robert A. [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Materials Sciences Division

    2015-06-11

    Novel table-top sources of extreme-ultraviolet light based on high-harmonic generation yield unique insight into the fundamental properties of molecules, nanomaterials or correlated solids, and enable advanced applications in imaging or metrology. Extending high-harmonic generation to high repetition rates portends great experimental benefits, yet efficient extreme-ultraviolet conversion of correspondingly weak driving pulses is challenging. In this article, we demonstrate a highly-efficient source of femtosecond extreme-ultraviolet pulses at 50-kHz repetition rate, utilizing the ultraviolet second-harmonic focused tightly into Kr gas. In this cascaded scheme, a photon flux beyond ≈3 × 1013 s-1 is generated at 22.3 eV, with 5 × 10-5 conversion efficiency that surpasses similar harmonics directly driven by the fundamental by two orders-of-magnitude. The enhancement arises from both wavelength scaling of the atomic dipole and improved spatio-temporal phase matching, confirmed by simulations. Finally, spectral isolation of a single 72-meV-wide harmonic renders this bright, 50-kHz extreme-ultraviolet source a powerful tool for ultrafast photoemission, nanoscale imaging and other applications.

  14. New advances in protection against solar ultraviolet radiation in textiles for summer clothing.

    Science.gov (United States)

    Aguilera, José; de Gálvez, María Victoria; Sánchez-Roldán, Cristina; Herrera-Ceballos, Enrique

    2014-01-01

    Clothing is considered one of the most important tools for photoprotection against harmful solar ultraviolet radiation (UVR). The standard for sun-protective clothing is based on erythema despite other biological effects of UVR on the skin. We analyzed the potential protection against UVR in fabrics destined for summer clothing based on several action spectra. We examined 50 garments classified by type of fabric composition, structure of the fiber yarn and color. The ultraviolet protection factor was calculated based on fabric ultraviolet transmittance corrected for erythema according to the EU standard E-13758 as well as the UVA transmittance of fabrics. UVR protection was also analyzed in base of different action spectra as for previtamin D3, nonmelanoma skin cancer, photoimmunosuppression and photoaging. Most knitted fabrics used for sports T-shirts offered excellent ratings for ultraviolet protection while normal shirts showed very low ratings, particularly against photoaging. The cover is the most influential variable in fabric photoprotection, having an exponential relationship with the UPF. The relation between cover and UVA protection was linearly negative. Information about ultraviolet protection in textiles used for summer clothing should be included in labeling as some types of fabrics, especially those used for shirts, offer very low UVR protection. © 2014 The American Society of Photobiology.

  15. Occupational applications of ultraviolet radiation

    International Nuclear Information System (INIS)

    Eriksen, P.

    1987-01-01

    A large population of workers are exposed to ultraviolet radiation in various occupational environments which often necessitates protection. Since ultraviolet radiation may create other environmental problems an occupational hazard- and protection evaluation can be complicated. Threshold Limit Values adopted by the American Conference of Governmental Industrial Hygienists (ACGIH) on ultraviolet radiation are used in most countries as guidelines for risk assessment and control measures. This review addresses the levels of ultraviolet radiation met in occupational environments, its measurement and evaluation, and discusses different protection methods. Ultraviolet lasers are beginning to find their way into industrial processes but are still limited in number and they will not be covered here. Emphasis is on broad band incoherent radiation in high risk environments such as welding, and on the evaluation of protective eyewear, see-through curtains and plastics. Other occupational risks associated with the emission of ultraviolet radiation are discussed

  16. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  17. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    Science.gov (United States)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  18. Nanomanipulation of 2 inch wafer fabrication of vertically aligned carbon nanotube arrays by nanoimprint lithography

    DEFF Research Database (Denmark)

    Bu, Ian Y. Y.; Eichhorn, Volkmar; Carlson, Kenneth

    2011-01-01

    Carbon nanotube (CNT) arrays are typically defined by electron beam lithography (EBL), and hence limited to small areas due to the low throughput. To obtain wafer‐scale fabrication we propose large area thermal nanoimprint lithography (NIL). A 2‐inch stamp master is defined using EBL for subsequent......, efficient production of wafer‐scale/larger arrays of CNTs has been achieved. The CNTs have been deposited by wafer‐scale plasma enhanced chemical vapour deposition (PECVD) of C2H2/NH3. Substrates containing such nanotubes have been used to automate nanorobotic manipulation sequences of individual CNTs...

  19. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  20. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    Science.gov (United States)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  1. Uniformity of LED light illumination in application to direct imaging lithography

    Science.gov (United States)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  2. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun

    2009-04-06

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold was placed on a spin-coated UV-curable resin on a substrate. The polymer then moved into the cavity of the mold by capillary action and then solidified after exposure to UV radiation. The uncured resin was forced to migrate into the cavity of a micropatterned PDMS mold by capillarity, and then exposed to UV radiation under a high-energy mercury lamp with intensity. A rotary pump was then turned on, decreasing the air pressure in the chamber. SEM images were taken with a high-resolution SEM at an acceleration voltage greater than 15 kV. It was observed that when the air pressure was rapidly reduced to a low vacuum, the top layer moved into the nanochannels with a meniscus at the interface between the nanoscale PUA and the base structure.

  3. New self-assembly strategies for next generation lithography

    Science.gov (United States)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  4. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  5. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  6. Sequential infiltration synthesis for advanced lithography

    Energy Technology Data Exchange (ETDEWEB)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2017-10-10

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  7. Recent advances in X-ray lithography

    International Nuclear Information System (INIS)

    Cerrina, F.

    1992-01-01

    We report some significant developments in the area of X-ray technology, in the area of the modeling of image formation, in distortion control and in mask replication. Early simple models have been replaced by complete optical calculations based on physical optics and including all relevant factors. These models provide good agreement with the available experimental results. In the area of mask distortions, the use of finite element analysis models has clarified the roles played by the various sources of stress and explained in greater detail the origin of temperature changes. These progress have paved the way to the optimization of the exposure system and to the achievement of the large exposure latitude potential of X-ray lithography. (author)

  8. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    Science.gov (United States)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  9. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    International Nuclear Information System (INIS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-01-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1–1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  10. Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect

    Directory of Open Access Journals (Sweden)

    Dongxu Ren

    2016-04-01

    Full Text Available A multi-repeated photolithography method for manufacturing an incremental linear scale using projection lithography is presented. The method is based on the average homogenization effect that periodically superposes the light intensity of different locations of pitches in the mask to make a consistent energy distribution at a specific wavelength, from which the accuracy of a linear scale can be improved precisely using the average pitch with different step distances. The method’s theoretical error is within 0.01 µm for a periodic mask with a 2-µm sine-wave error. The intensity error models in the focal plane include the rectangular grating error on the mask, static positioning error, and lithography lens focal plane alignment error, which affect pitch uniformity less than in the common linear scale projection lithography splicing process. It was analyzed and confirmed that increasing the repeat exposure number of a single stripe could improve accuracy, as could adjusting the exposure spacing to achieve a set proportion of black and white stripes. According to the experimental results, the effectiveness of the multi-repeated photolithography method is confirmed to easily realize a pitch accuracy of 43 nm in any 10 locations of 1 m, and the whole length accuracy of the linear scale is less than 1 µm/m.

  11. Deep lithography with protons Modelling and predicting the performances of a novel fabrication technology for micro-optical components

    CERN Document Server

    Volckaerts, B; Veretennicoff, I; Thienpont, H

    2002-01-01

    We developed a simulation package that predicts 3D-dose distributions in proton irradiated poly(methylmetacrylate) samples considering primary energy transfer and scattering phenomena. In this paper, we apply this code to predict the surface flatness and maximum thickness of micro-optical and mechanical structures fabricated with deep lithography with protons (DLP). We compare these simulation results with experimental data and highlight the fundamental differences between DLP and deep X-ray lithography.

  12. Integrated lithography to prepare periodic arrays of nano-objects

    International Nuclear Information System (INIS)

    Sipos, Áron; Szalai, Anikó; Csete, Mária

    2013-01-01

    We present an integrated lithography method to prepare versatile nano-objects with variable shape and nano-scaled substructure, in wavelength-scaled periodic arrays with arbitrary symmetry. The idea is to illuminate colloid sphere monolayers by polarized beams possessing periodic lateral intensity modulations. Finite element method was applied to determine the effects of the wavelength, polarization and angle of incidence of the incoming beam, and to predict the characteristics of nano-objects, which can be fabricated on thin metal layer covered substrates due to the near-field enhancement under silica colloid spheres. The inter-object distance is controlled by varying the relative orientation of the periodic intensity modulation with respect to the silica colloid sphere monolayer. It is shown that illuminating silica colloid sphere monolayers by two interfering beams, linear patterns made of elliptical holes appear in case of linear polarization, while circularly polarized beams result in co-existent rounded objects, as more circular nano-holes and nano-crescents. The size of the nano-objects and their sub-structure is determined by the spheres diameter and by the wavelength. We present various complex plasmonic patterns made of versatile nano-objects that can be uniquely fabricated applying the inherent symmetry breaking possibilities in the integrated lithography method.

  13. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  14. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  15. Magnetic anisotropy in a permalloy microgrid fabricated by near-field optical lithography

    International Nuclear Information System (INIS)

    Li, S. P.; Lebib, A.; Peyrade, D.; Natali, M.; Chen, Y.; Lew, W. S.; Bland, J. A. C.

    2001-01-01

    We report the fabrication and magnetic properties of permalloy microgrids prepared by near-field optical lithography and characterized using high-sensitivity magneto-optical Kerr effect techniques. A fourfold magnetic anisotropy induced by the grid architecture is identified. [copyright] 2001 American Institute of Physics

  16. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  17. Shadow edge lithography for nanoscale patterning and manufacturing

    International Nuclear Information System (INIS)

    Bai, John G; Chang, C-L; Chung, Jae-Hyun; Lee, Kyong-Hoon

    2007-01-01

    We demonstrate a wafer-scale nanofabrication method using the shadow effect in physical vapor deposition. An analytical model is presented to predict the formation of nanoscale gaps created by the shadow effect of a prepatterned edge on a deposition plane. The theoretical prediction agrees quantitatively with the widths of the fabricated nanogaps and nanochannels. In the diffusion experiments, both λ-DNA and fluorescein molecules were successfully introduced into the nanochannels. The proposed shadow edge lithography has potential to be a candidate for mass-producing nanostructures

  18. The superconducting x-ray lithography source program at Brookhaven

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G. P.; Heese, R. N.; Vignola, G.; Murphy, J. B.; Godel, J. B.; Hsieh, H.; Galayda, J.; Seifert, A.; Knotek, M. L.

    1989-07-01

    A compact electron storage ring with superconducting dipole magnets, is being developed at the National Synchrotron Light Source at Brookhaven. The parameters of the source have been optimized for its future use as an x-ray source for lithography. This first ring is a prototype which will be used to study the operating characteristics of machines of this type with particular attention being paid to low-energy injection and long beam lifetime.

  19. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    Science.gov (United States)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  20. Business dynamics of lithography at very low k1 factors

    Science.gov (United States)

    Harrell, Sam; Preil, Moshe E.

    1999-07-01

    Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.

  1. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  2. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  3. Comparison of two personal ultraviolet index monitors for sun awareness in South Africa

    Directory of Open Access Journals (Sweden)

    Caradee Y. Wright

    2013-01-01

    Full Text Available Exposure to solar ultraviolet (UV radiation is known to have both adverse and beneficial consequences for human health. Sunburn and skin cancer are probably the most well-known acute and chronic adverse health impacts. These themes have recently been discussed in the media for the general public; consequently interest in sun protection is growing. The promotion of the use of practical personal strategies to reduce adverse health risks, such as healthy sun behaviour, sun protection mechanisms and solar ultraviolet radiation awareness tools, is increasing. One such tool is the personal UV index (UVI monitor, promoted commercially as a viable tool for sun awareness; however, such instruments have not been scientifically evaluated in a South African context. Here, two different types of personal UVI monitors, commercially available in South Africa, were compared with a research-grade UVB biometer for a continuous 7-h period on 02 March 2012 in Pretoria. One of the two personal UVI monitors showed reasonable agreement with the UVB biometer, whereas the other monitor overestimated UVI by up to 4 UVI units. When comparing two identical products manufactured by the same company, one monitor overestimated UVI twofold, suggesting inter-instrument variability may be a concern. Commercially available, personal UVI monitors should be used with caution as a public health tool for sun awareness in South Africa.

  4. Illumination system for X-ray lithography

    International Nuclear Information System (INIS)

    Buckley, W.D.

    1989-01-01

    An X-ray lithography system is described, comprising: a point source of X-Ray radiation; a wafer plane disposed in spaced relation to the point source of X-Ray radiation; a mask disposed between the point source of X-Ray radiation and the wafer plane whereby X-Ray radiation from the point source of X-ray radiation passes through the mask to the water plane; and X-Ray absorbent means mounted between the point source of X-Ray radiation and the wafer plane, the X-Ray absorbent means being of quadratically absorption from maximum absorption at the center to minimum absorption at the edge so as to have a radial absorption gradient profile to compensate for radial flux variation of the X-Ray radiation

  5. A simple electron-beam lithography system

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Madsen, Dorte Nørgaard; Bøggild, Peter

    2005-01-01

    A large number of applications of electron-beam lithography (EBL) systems in nanotechnology have been demonstrated in recent years. In this paper we present a simple and general-purpose EBL system constructed by insertion of an electrostatic deflector plate system at the electron-beam exit...... of the column of a scanning electron microscope (SEM). The system can easily be mounted on most standard SEM systems. The tested setup allows an area of up to about 50 x 50 pm to be scanned, if the upper limit for acceptable reduction of the SEM resolution is set to 10 run. We demonstrate how the EBL system can...... be used to write three-dimensional nanostructures by electron-beam deposition. (C) 2004 Elsevier B.V. All rights reserved....

  6. Review of vacuum systems for x-ray lithography light sources

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    This paper will review and give a status report on vacuum systems for X-Ray lithography light sources. It will include conventional machines and compact machines (machines using superconducting magnets). The vacuum systems will be described and compared with regard to basic machine parameters, pumping systems, types of pumps, chamber design and material, gauging and diagnostics, and machine performane. 23 refs., 8 figs., 1 tab

  7. Vacuum system design for a superconducting X-ray lithography light source

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    A superconducting electron storage ring for X-ray lithography (SXLS) is to be built at Brookhaven National Laboratory (BNL). The goal is to design and construct a light source specifically dedicated to X-ray lithography production and which would be used as a prototype in a technology transfer to American industry. The machine will be built in two phases: phase I, a low energy ring (200 MeV, 500 mA) using all room temperature magnets which will be used primarily for low energy injection studies. Phase II will be a full energy machine (690 MeV, 500 mA) where the room temperature 180 0 dipole magnets of phase I will be replaced with superconducting magnets. The machine, with a racetrack shape and a circumference of 8.5 m, is designed to be portable and replaceable as a single unit. This paper will discuss the vacuum system design for both phases; i.e. gas desorption, warm bore vs cold bore, ion trapping, clearing electrodes, and diagnostic instrumentation. (author)

  8. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  9. Advanced in-situ electron-beam lithography for deterministic nanophotonic device processing

    Energy Technology Data Exchange (ETDEWEB)

    Kaganskiy, Arsenty; Gschrey, Manuel; Schlehahn, Alexander; Schmidt, Ronny; Schulze, Jan-Hindrik; Heindel, Tobias; Rodt, Sven, E-mail: srodt@physik.tu-berlin.de; Reitzenstein, Stephan [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Strittmatter, André [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Otto-von-Guericke Universität Magdeburg, Universitätsplatz 2, D-39106 Magdeburg (Germany)

    2015-07-15

    We report on an advanced in-situ electron-beam lithography technique based on high-resolution cathodoluminescence (CL) spectroscopy at low temperatures. The technique has been developed for the deterministic fabrication and quantitative evaluation of nanophotonic structures. It is of particular interest for the realization and optimization of non-classical light sources which require the pre-selection of single quantum dots (QDs) with very specific emission features. The two-step electron-beam lithography process comprises (a) the detailed optical study and selection of target QDs by means of CL-spectroscopy and (b) the precise retrieval of the locations and integration of target QDs into lithographically defined nanostructures. Our technology platform allows for a detailed pre-process determination of important optical and quantum optical properties of the QDs, such as the emission energies of excitonic complexes, the excitonic fine-structure splitting, the carrier dynamics, and the quantum nature of emission. In addition, it enables a direct and precise comparison of the optical properties of a single QD before and after integration which is very beneficial for the quantitative evaluation of cavity-enhanced quantum devices.

  10. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    Science.gov (United States)

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  11. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    Energy Technology Data Exchange (ETDEWEB)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  12. Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node

    Science.gov (United States)

    Van Den Broeke, Douglas J.; Laidig, Thomas L.; Chen, J. Fung; Wampler, Kurt E.; Hsu, Stephen D.; Shi, Xuelong; Socha, Robert J.; Dusa, Mircea V.; Corcoran, Noel P.

    2004-08-01

    Imaging contact and via layers continues to be one of the major challenges to be overcome for 65nm node lithography. Initial results of using ASML MaskTools' CPL Technology to print contact arrays through pitch have demonstrated the potential to further extend contact imaging to a k1 near 0.30. While there are advantages and disadvantages for any potential RET, the benefits of not having to solve the phase assignment problem (which can lead to unresolvable phase conflicts), of it being a single reticle - single exposure technique, and its application to multiple layers within a device (clear field and dark field) make CPL an attractive, cost effective solution to low k1 imaging. However, real semiconductor circuit designs consist of much more than regular arrays of contact holes and a method to define the CPL reticle design for a full chip circuit pattern is required in order for this technique to be feasible in volume manufacturing. Interference Mapping Lithography (IML) is a novel approach for defining optimum reticle patterns based on the imaging conditions that will be used when the wafer is exposed. Figure 1 shows an interference map for an isolated contact simulated using ASML /1150 settings of 0.75NA and 0.92/0.72/30deg Quasar illumination. This technique provides a model-based approach for placing all types features (scattering bars, anti-scattering bars, non-printing assist features, phase shifted and non-phase shifted) for the purpose of enhancing the resolution of the target pattern and it can be applied to any reticle type including binary (COG), attenuated phase shifting mask (attPSM), alternating aperture phase shifting mask (altPSM), and CPL. In this work, we investigate the application of IML to generate CPL reticle designs for random contact patterns that are typical for 65nm node logic devices. We examine the critical issues related to using CPL with Interference Mapping Lithography including controlling side lobe printing, contact patterns with

  13. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    International Nuclear Information System (INIS)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-01-01

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  14. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-03-04

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  15. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  16. Multilayer, Stacked Spiral Copper Inductors on Silicon with Micro-Henry Inductance Using Single-Level Lithography

    Directory of Open Access Journals (Sweden)

    Timothy Reissman

    2012-01-01

    Full Text Available We present copper structures composed of multilayer, stacked inductors (MLSIs with tens of micro-Henry inductance for use in low frequency (sub 100 MHz, power converter technology. Unique to this work is the introduction of single-level lithography over the traditional two-level approach to create each inductor layer. The result is a simplified fabrication process which results in a reduction in the number of lithography steps per inductor (metal layer and a reduction in the necessary alignment precision. Additionally, we show that this fabrication process yields strong adhesion amongst the layers, since even after a postprocess abrasion technique at the inner diameter of the inductors, no shearing occurs and connectivity is preserved. In total, three separate structures were fabricated using the single-level lithography approach, each with a three-layered, stacked inductor design but with varied geometries. Measured values for each of the structures were extracted, and the following results were obtained: inductance values of 24.74, 17.25, and 24.74 μH, self-resonances of 9.87, 5.72, and 10.58 MHz, and peak quality factors of 2.26, 2.05, and 4.6, respectively. These values are in good agreement with the lumped parameter model presented.

  17. Layout compliance for triple patterning lithography: an iterative approach

    Science.gov (United States)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  18. Combined dose and geometry correction (DMG) for low energy multi electron beam lithography (5kV): application to the 16nm node

    Science.gov (United States)

    Martin, Luc; Manakli, Serdar; Bayle, Sebastien; Belledent, Jérôme; Soulan, Sebastien; Wiedemann, Pablo; Farah, Abdi; Schiavone, Patrick

    2012-03-01

    Lithography faces today many challenges to meet the ITRS road-map. 193nm is still today the only existing industrial option to address high volume production for the 22nm node. Nevertheless to achieve such a resolution, double exposure is mandatory for critical level patterning. EUV lithography is still challenged by the availability of high power source and mask defectivity and suffers from a high cost of ownership perspective. Its introduction is now not foreseen before 2015. Parallel to these mask-based technologies, maskless lithography regularly makes significant progress in terms of potential and maturity. The massively parallel e-beam solution appears as a real candidate for high volume manufacturing. Several industrial projects are under development, one in the US, with the KLA REBL project and two in Europe driven by IMS Nanofabrication (Austria; MAPPER (The Netherlands). Among the developments to be performed to secure the takeoff of the multi-beam technology, the availability of a rapid and robust data treatment solution will be one of the major challenges. Within this data preparation flow, advanced proximity effect corrections must be implemented to address the 16nm node and below. This paper will detail this process and compare correction strategies in terms of robustness and accuracy. It will be based on results obtained using a MAPPER tool within the IMAGINE program driven by CEA-LETI, in Grenoble, France. All proximity effects corrections and the dithering step were performed using the software platform Inscale® from Aselta Nanographics. One important advantage of Inscale® is the ability to combine both model based dose and geometry adjustment to accurately pattern critical features. The paper will focus on the advantage of combining those two corrections at the 16nm node instead of using only geometry corrections. Thanks to the simulation capability of Inscale®, pattern fidelity and correction robustness will be evaluated and compared between

  19. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    Science.gov (United States)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  20. Playing with small objects Nano lithography and manipulation A.K. ...

    Indian Academy of Sciences (India)

    Table of contents. Playing with small objects Nano lithography and manipulation A.K.Raychaudhuri Department of Physics IISc · PowerPoint Presentation · Slide 3 · Slide 4 · Slide 5 · Slide 6 · Atomic Force Microscopy · Slide 8 · Slide 9 · Slide 10 · Slide 11 · Slide 12 · Slide 13 · Slide 14 · Slide 15 · Slide 16 · Slide 17 · Slide 18.

  1. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  2. Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control

    Science.gov (United States)

    Blancquaert, Yoann; Dezauzier, Christophe; Depre, Jerome; Miqyass, Mohamed; Beltman, Jan

    2013-04-01

    Continued tightening of overlay control budget in semiconductor lithography drives the need for improved metrology capabilities. Aggressive improvements are needed for overlay metrology speed, accuracy and precision. This paper is dealing with the on product metrology results of a scatterometry based platform showing excellent production results on resolution, precision, and tool matching for overlay. We will demonstrate point to point matching between tool generations as well as between target sizes and types. Nowadays, for the advanced process nodes a lot of information is needed (Higher order process correction, Reticle fingerprint, wafer edge effects) to quantify process overlay. For that purpose various overlay sampling schemes are evaluated: ultra- dense, dense and production type. We will show DBO results from multiple target type and shape for on product overlay control for current and future node down to at least 14 nm node. As overlay requirements drive metrology needs, we will evaluate if the new metrology platform meets the overlay requirements.

  3. 40 keV Shaped electron beam lithography for LIGA intermediate mask fabrication

    NARCIS (Netherlands)

    Luttge, R.; Adam, D.; Burkhardt, F.; Hoke, F.; Schacke, H.; Schmidt, M.; Wolf, H.; Schmidt, A.

    1999-01-01

    High precision LIGA masks require a soft X-ray pattern transfer from intermediate masks by means of electron beam lithography. Such a process has been realized using an upgraded Leica ZBA 23 machine with an acceleration voltage of 40 kV. Three process variations of the developer system, so called GG

  4. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    Science.gov (United States)

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  5. Photodetector of ultraviolet radiation

    International Nuclear Information System (INIS)

    Dorogan, V.; Branzari, V.; Vieru, T.; Manole, M.; Canter, V.

    2000-01-01

    The invention relates to photodetectors on base of semiconductors of ultraviolet radiation and may be used in optoelectronic system for determining the intensity and the dose of ultraviolet radiation emitted by the Sun or other sources. Summary of the invention consists in the fact that in the photodetector of ultraviolet radiation the superficial potential barrier is divided into two identical elements, electrically isolated each of the other, one of them being covered with a layer of transparent material for visible and infrared radiation and absorption the ultra violet radiation. The technical result consists in mutual compensation of visible and infrared components of the radiation spectrum

  6. Future Directions in Ultraviolet Spectroscopy

    Science.gov (United States)

    Sonneborn, George (Editor); Moos, Warren; VanSteenberg, Michael

    2009-01-01

    The 'Future Directions in Ultraviolet Spectroscopy' conference was inspired by the accomplishments of the Far Ultraviolet Spectroscopic Explorer (FUSE) Mission. The FUSE mission was launched in June 1999 and spent over eight years exploring the far-ultraviolet universe, gathering over 64 million seconds of high-resolution spectral data on nearly 3000 astronomical targets. The goal of this conference was not only to celebrate the accomplishments of FUSE, but to look toward the future and understand the major scientific drivers for the ultraviolet capabilities of the next generation fo space observatories. Invited speakers presented discussions based on measurements made by FUSE and other ultraviolet instruments, assessed their connection with measurements made with other techniques and, where appropriate, discussed the implications of low-z measurements for high-z phenomena. In addition to the oral presentations, many participants presented poster papers. The breadth of these presentation made it clear that much good science is still in progress with FUSE data and that these result will continue to have relevance in many scientific areas.

  7. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    Science.gov (United States)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  8. Photonic integrated circuits: new challenges for lithography

    Science.gov (United States)

    Bolten, Jens; Wahlbrink, Thorsten; Prinzen, Andreas; Porschatis, Caroline; Lerch, Holger; Giesecke, Anna Lena

    2016-10-01

    In this work routes towards the fabrication of photonic integrated circuits (PICs) and the challenges their fabrication poses on lithography, such as large differences in feature dimension of adjacent device features, non-Manhattan-type features, high aspect ratios and significant topographic steps as well as tight lithographic requirements with respect to critical dimension control, line edge roughness and other key figures of merit not only for very small but also for relatively large features, are highlighted. Several ways those challenges are faced in today's low-volume fabrication of PICs, including the concept multi project wafer runs and mix and match approaches, are presented and possible paths towards a real market uptake of PICs are discussed.

  9. Development of GaN-based nanosensors using surface charge lithography

    International Nuclear Information System (INIS)

    Popa, Veaceslav; Braniste, Tudor; Volciuc, Olesea; Pavlidis, Dimitris; Sarua, Andrei; Kuball, Martin; Heard, Peter

    2011-01-01

    Semiconductor nanotechnology is a fast developing branch of modern engineering that offers perspectives for the development of electronic devices with superior parameters. A special and important niche in nanotechnology is allocated to the fabrication of nanosensors which are expected to exhibit higher sensitivity in comparison with classical microelectronic sensors. Various aspects of fabrication of GaN based nanosensors using Surface Charge Lithography are discussed and preliminary tests for gas sensors applications are presented.

  10. Lithography-based automation in the design of program defect masks

    Science.gov (United States)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  11. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    Science.gov (United States)

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  12. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  13. Experimental demonstration of line-width modulation in plasmonic lithography using a solid immersion lens-based active nano-gap control

    International Nuclear Information System (INIS)

    Lee, Won-Sup; Kim, Taeseob; Choi, Guk-Jong; Lim, Geon; Joe, Hang-Eun; Gang, Myeong-Gu; Min, Byung-Kwon; Park, No-Cheol; Moon, Hyungbae; Kim, Do-Hyung; Park, Young-Pil

    2015-01-01

    Plasmonic lithography has been used in nanofabrication because of its utility beyond the diffraction limit. The resolution of plasmonic lithography depends on the nano-gap between the nanoaperture and the photoresist surface—changing the gap distance can modulate the line-width of the pattern. In this letter, we demonstrate solid-immersion lens based active non-contact plasmonic lithography, applying a range of gap conditions to modulate the line-width of the pattern. Using a solid-immersion lens-based near-field control system, the nano-gap between the exit surface of the nanoaperture and the media can be actively modulated and maintained to within a few nanometers. The line-widths of the recorded patterns using 15- and 5-nm gaps were 47 and 19.5 nm, respectively, which matched closely the calculated full-width at half-maximum. From these results, we conclude that changing the nano-gap within a solid-immersion lens-based plasmonic head results in varying line-width patterns

  14. Latex particle template lift-up guided gold wire-networks via evaporation lithography

    KAUST Repository

    Lone, Saifullah; Vakarelski, Ivan Uriev; Chew, Basil; Wang, Zhihong; Thoroddsen, Sigurdur T

    2014-01-01

    We describe a hybrid methodology that combines a two dimensional (2D) monolayer of latex particles (with a pitch size down to 1 μm) prepared by horizontal dry deposition, lift-up of a 2D template onto flat surfaces and evaporation lithography to fabricate metal micro- and nano wire-networks. This journal is

  15. Fabrication and Characterization of Three Dimensional Photonic Crystals Generated by Multibeam Interference Lithography

    Science.gov (United States)

    Chen, Ying-Chieh

    2009-01-01

    Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…

  16. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    Science.gov (United States)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  17. The fabrication of highly ordered silver nanodot patterns by platinum assisted nanoimprint lithography

    International Nuclear Information System (INIS)

    Yoo, Hae-Wook; Jung, Jin-Mi; Lee, Su-kyung; Jung, Hee-Tae

    2011-01-01

    Silver has been widely used for optical sensing and imaging applications which benefit from localized surface plasmon resonance (LSPR) in a nanoscale configuration. Many attempts have been made to fabricate and control silver nanostructures in order to improve the high performance in sensing and other applications. However, a fatal mechanical weakness of silver and a lack of durability in oxygen-rich conditions have disrupted the manufacturing of reproducible nanostructures by the top-down lithography approach. In this study, we suggest a steady fabrication strategy to obtain highly ordered silver nanopatterns that are able to provide tunable LSPR characteristics. By using a protecting layer of platinum on a silver surface in the lithography process, we successfully obtained large-area (2.7 x 2.7 mm 2 ) silver nanopatterns with high reproducibility. This large-area silver nanopattern was capable of enhancing the low concentration of a Cy3 fluorescence signal (∼10 -10 M) which was labeled with DNA oligomers.

  18. Inhibition of seagrass photosynthesis by ultraviolet-B radiation.

    Science.gov (United States)

    Trocine, R P; Rice, J D; Wells, G N

    1981-07-01

    Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme Kütz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated.Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. This mechanism effectively attenuated photosynthetic inhibition induced by ultraviolet-B dose rates and dosages in excess of natural conditions. Syringodium appeared to rely primarily on a thick epidermal cell layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species.Observations obtained in this study seem to suggest the possibility of anthocyanin and/or other flavonoid synthesis as an adaptation to long term ultraviolet-B irradiation by these species. In addition, Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation.

  19. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  20. Dr.LiTHO: a development and research lithography simulator

    Science.gov (United States)

    Fühner, Tim; Schnattinger, Thomas; Ardelean, Gheorghe; Erdmann, Andreas

    2007-03-01

    This paper introduces Dr.LiTHO, a research and development oriented lithography simulation environment developed at Fraunhofer IISB to flexibly integrate our simulation models into one coherent platform. We propose a light-weight approach to a lithography simulation environment: The use of a scripting (batch) language as an integration platform. Out of the great variety of different scripting languages, Python proved superior in many ways: It exhibits a good-natured learning-curve, it is efficient, available on virtually any platform, and provides sophisticated integration mechanisms for existing programs. In this paper, we will describe the steps, required to provide Python bindings for existing programs and to finally generate an integrated simulation environment. In addition, we will give a short introduction into selected software design demands associated with the development of such a framework. We will especially focus on testing and (both technical and user-oriented) documentation issues. Dr.LiTHO Python files contain not only all simulation parameter settings but also the simulation flow, providing maximum flexibility. In addition to relatively simple batch jobs, repetitive tasks can be pooled in libraries. And as Python is a full-blown programming language, users can add virtually any functionality, which is especially useful in the scope of simulation studies or optimization tasks, that often require masses of evaluations. Furthermore, we will give a short overview of the numerous existing Python packages. Several examples demonstrate the feasibility and productiveness of integrating Python packages into custom Dr.LiTHO scripts.

  1. Inhibition of seagrass photosynthesis by ultraviolet-B radiation

    International Nuclear Information System (INIS)

    Trocine, R.P.; Rice, J.D.; Wells, G.N.

    1981-01-01

    Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme (Kuetz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated. Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. Syringodium appeared to rely primarily on a thick epidermal cell layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species. Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation

  2. Harmful effects of ultraviolet radiation

    International Nuclear Information System (INIS)

    Anon.

    1989-01-01

    Tanning for cosmetic purposes by sunbathing or by using artificial tanning devices is widespread. The hazards associated with exposure to ultraviolet radiation are of concern to the medical profession. Depending on the amount and form of the radiation, as well as on the skin type of the individual exposed, ultraviolet radiation causes erythema, sunburn, photodamage (photoaging), photocarcinogenesis, damage to the eyes, alteration of the immune system of the skin, and chemical hypersensitivity. Skin cancers most commonly produced by ultraviolet radiation are basal and squamous cell carcinomas. There also is much circumstantial evidence that the increase in the incidence of cutaneous malignant melanoma during the past half century is related to increased sun exposure, but this has not been proved. Effective and cosmetically acceptable sunscreen preparations have been developed that can do much to prevent or reduce most harmful effects to ultraviolet radiation if they are applied properly and consistently. Other safety measures include (1) minimizing exposure to ultraviolet radiation, (2) being aware of reflective surfaces while in the sun, (3) wearing protective clothing, (4) avoiding use of artificial tanning devices, and (5) protecting infants and children

  3. Effect of ultraviolet exposure on mitochondrial respiratory system

    Energy Technology Data Exchange (ETDEWEB)

    Noda, K [Kurume Univ., Fukuoka (Japan). School of Medicine

    1975-09-01

    To find the photodynamic effect of ultraviolet light on the mitochondrial respiratory chain, mitochondria were obtained from rat livers, and the suspension was exposed to an extensive ultraviolet light. The oxygen consumption was measured polarographically with a Clark oxygen electrode. The effect of ultraviolet exposure on the five states of respiratory control (Chance and Williams), the P/O ratio, and the respiratory control index in mitochondria was discussed. The ultraviolet light with a dose of 9.6 x 10/sup 6/ erg/cm/sup 2/ caused the oxidative phosphorylation in mitochondria to uncouple. The 2nd phosphorylation site of the respiratory chain was susceptible to ultraviolet exposure. The stimulation of latent ATPase activity in mitochondria following exposure was observed by increasing exposure of ultraviolet light. However, DNP-stimulated ATPase was found to be stable in activity. The uncoupling of the respiratory chain by ultraviolet exposure was not detected if the mitochondrial suspension was preincubated with bovine serum albumin before exposure. The changes in light absorption of the mitochondrial suspension were followed at 520 nm after exposure. A close correlation was found between the ultraviolet exposure and swelling in mitochondria. But, the reversing contraction was observed by adding ATP to the swelled mitochondria. The peroxide compound was formed in mitochondria irradiated with ultraviolet light. The amount of compounds formed was dependent on the radiant energy of ultraviolet light. The possible mechanisms involved in the photodynamic effect of ultraviolet light to the mitochondrial respiration system were discussed.

  4. 75 FR 81643 - In the Matter of Certain Semiconductor Products Made by Advanced Lithography Techniques and...

    Science.gov (United States)

    2010-12-28

    ... Semiconductor Products Made by Advanced Lithography Techniques and Products Containing Same; Notice of... Mexico) (``STC''), alleging a violation of section 337 in the importation, sale for [[Page 81644

  5. Subwavelength optical lithography via classical light: A possible implementation

    Science.gov (United States)

    You, Jieyu; Liao, Zeyang; Hemmer, P. R.; Zubairy, M. Suhail

    2018-04-01

    The resolution of an interferometric optical lithography system is about the half wavelength of the illumination light. We proposed a method based on Doppleron resonance to achieve a resolution beyond half wavelength [Phys. Rev. Lett. 96, 163603 (2006), 10.1103/PhysRevLett.96.163603]. Here, we analyze a possible experimental demonstration of this method in the negatively charged silicon-vacancy (SiV-) system by considering realistic experimental parameters. Our results show that quarter wavelength resolution and beyond can be achieved in this system even in room temperature without using perturbation theory.

  6. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  7. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    Science.gov (United States)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  8. Mutagenesis of Trichoderma Viride by Ultraviolet and Plasma

    International Nuclear Information System (INIS)

    Yao Risheng; Li Manman; Deng Shengsong; Hu Huajia; Wang Huai; Li Fenghe

    2012-01-01

    Considering the importance of a microbial strain capable of increased cellulase production, a mutant strain UP4 of Trichoderma viride was developed by ultraviolet (UV) and plasma mutation. The mutant produced a 21.0 IU/mL FPase which was 98.1% higher than that of the parent strain Trichoderma viride ZY-1. In addition, the effect of ultraviolet and plasma mutagenesis was not merely simple superimposition of single ultraviolet mutation and single plasma mutation. Meanwhile, there appeared a capsule around some of the spores after the ultraviolet and plasma treatment, namely, the spore surface of the strain became fuzzy after ultraviolet or ultraviolet and plasma mutagenesis.

  9. Mutagenesis of Trichoderma Viride by Ultraviolet and Plasma

    Science.gov (United States)

    Yao, Risheng; Li, Manman; Deng, Shengsong; Hu, Huajia; Wang, Huai; Li, Fenghe

    2012-04-01

    Considering the importance of a microbial strain capable of increased cellulase production, a mutant strain UP4 of Trichoderma viride was developed by ultraviolet (UV) and plasma mutation. The mutant produced a 21.0 IU/mL FPase which was 98.1% higher than that of the parent strain Trichoderma viride ZY-1. In addition, the effect of ultraviolet and plasma mutagenesis was not merely simple superimposition of single ultraviolet mutation and single plasma mutation. Meanwhile, there appeared a capsule around some of the spores after the ultraviolet and plasma treatment, namely, the spore surface of the strain became fuzzy after ultraviolet or ultraviolet and plasma mutagenesis.

  10. Extreme ultraviolet resist materials for sub-7 nm patterning.

    Science.gov (United States)

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  11. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.

    2017-01-01

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  12. Photodetector of ultra-violet radiation

    International Nuclear Information System (INIS)

    Dorogan, V.; Vieru, T.; Coseac, V.; Chirita, F.

    1999-01-01

    The invention relates to photodetectors on the semiconductors base, in particular, to photodetectors of ultra-violet radiation and can be used in the optoelectronics systems for determining the intensity and dose of ultraviolet radiation emitted by the Sun and other sources. In the structure of the photodetector of ultraviolet radiation with a superficial potential barrier formed of semiconductors A 3 B 5 with the prohibited power width Eg 1 , solid solutions thereof with the prohibited power width Eg 2 and SnO 2 or ITO, in the semiconductors A 3 B 5 at a surface distance less than the absorption length of the visible radiation it is formed an isotype heterojunction between the semiconductors A 3 B 5 and solid solutions thereof with the prohibited power width Eg 2 > Eg 1 . The technical result consists in manufacturing of a photodetector sensitive solely to the ultraviolet radiation

  13. Ultraviolet light - nature's own disinfection process

    Energy Technology Data Exchange (ETDEWEB)

    Munkeberg, T [Thorolf Gregersen a/s, Oslo (Norway)

    1978-05-18

    Ultraviolet radiation from the sun is the means by which natural pollution products, as well as much of the smaller amount of pollution products produced by man, are converted and returned to the cycle of nature. Artificial ultraviolet radiation offers an optimum method for the disinfection of drinking water and can be used in the long term without undesireable effects on man or the enviromment. There is no evidence that ultraviolet irradiation leads to radiation resistant mutations of bacteria. The geometrical arrangement of ultraviolet disinfection units is described and the capacities of typical units is mentioned as being 600-800 m/sup 3/ /hr, though there is no reason why this should not be increased.

  14. Ultraviolet light - nature's own disinfection process

    International Nuclear Information System (INIS)

    Munkeberg, T.

    1978-01-01

    Ultraviolet radiation from the sun is the means by which natural pollution products, as well as much of the smaller amount of pollution products produced by man, are converted and returned to the cycle of nature. Artificial ultraviolet radiation offers an optimum method for the disinfection of drinking water and can be used in the long term without undesireable effects on man or the enviromment. There is no evidence that ultraviolet irradiation leads to radiation resistant mutations of bacteria. The geometrical arrangement of ultraviolet disinfection units is described and the capacities of typical units is mentioned as being 600-800 m 3 /hr, though there is no reason why this should not be increased. (JIW)

  15. Imprint lithography provides topographical nanocues to guide cell growth in primary cortical cell culture

    NARCIS (Netherlands)

    Xie, S.; Luttge, R.

    2014-01-01

    In this paper, we describe a technology platform to study the effect of nanocues on the cell growth direction in primary cortical cell culture. Topographical cues to cells are provided using nanoscale features created by Jet and Flash Imprint Lithography, coated with polyethylenimine. We

  16. 75 FR 44015 - Certain Semiconductor Products Made by Advanced Lithography Techniques and Products Containing...

    Science.gov (United States)

    2010-07-27

    ... Advanced Lithography Techniques and Products Containing Same; Notice of Investigation AGENCY: U.S... violations of section 337 based upon the importation into the United States, the sale for importation, and the sale within the United States after importation of certain semiconductor products made by advanced...

  17. Comparison of tool feed influence in CNC polishing between a novel circular-random path and other pseudo-random paths.

    Science.gov (United States)

    Takizawa, Ken; Beaucamp, Anthony

    2017-09-18

    A new category of circular pseudo-random paths is proposed in order to suppress repetitive patterns and improve surface waviness on ultra-precision polished surfaces. Random paths in prior research had many corners, therefore deceleration of the polishing tool affected the surface waviness. The new random path can suppress velocity changes of the polishing tool and thus restrict degradation of the surface waviness, making it suitable for applications with stringent mid-spatial-frequency requirements such as photomask blanks for EUV lithography.

  18. Far-ultraviolet spectral changes of titanium dioxide with gold nanoparticles by ultraviolet and visible light

    Science.gov (United States)

    Tanabe, Ichiro; Kurawaki, Yuji

    2018-05-01

    Attenuated total reflectance spectra including the far-ultraviolet (FUV, ≤ 200 nm) region of titanium dioxide (TiO2) with and without gold (Au) nanoparticles were measured. A newly developed external light-irradiation system enabled to observe spectral changes of TiO2 with Au nanoparticles upon light irradiations. Absorption in the FUV region decreased and increased by the irradiation with ultraviolet and visible light, respectively. These spectral changes may reflect photo-induced electron transfer from TiO2 to Au nanoparticles under ultraviolet light and from Au nanoparticles to TiO2 under visible light, respectively.

  19. Ultraviolet spectrophotometry of three LINERs

    Science.gov (United States)

    Goodrich, R. W.; Keel, W. C.

    1986-01-01

    Three galaxies known to be LINERs were observed spectroscopically in the ultraviolet in an attempt to detect the presumed nonthermal continuum source thought to be the source of photoionization in the nuclei. NGC 4501 was found to be too faint for study with the IUE spectrographs, while NGC 5005 had an extended ultraviolet light profile. Comparison with the optical light profile of NGC 5005 indicates that the ultraviolet source is distributed spatially in the same manner as the optical starlight, probably indicating that the ultraviolet excess is due to a component of hot stars in the nucleus. These stars contribute detectable absorption features longward of 2500 A; together with optical data, the IUE spectra suggest a burst of star formation about 1 billion yr ago, with a lower rate continuing to produce a few OB stars. In NGC 4579, a point source contributing most of the ultraviolet excess is found that is much different than the optical light distribution. Furthermore, the ultraviolet to X-ray spectral index in NGC 4579 is 1.4, compatible with the UV to X-ray indices found for samples of Seyfert galaxies. This provides compelling evidence for the detection of the photoionizing continuum in NGC 4579 and draws the research fields of normal galaxies and active galactic nuclei closer together. The emission-line spectrum of NGC 4579 is compared with calculations from a photoionization code, CLOUDY, and several shock models. The photoionization code is found to give superior results, adding to the increasing weight of evidence that the LINER phenomenon is essentially a scaled-down version of the Seyfert phenomenon.

  20. Ultraviolet safety assessments of insect light traps.

    Science.gov (United States)

    Sliney, David H; Gilbert, David W; Lyon, Terry

    2016-01-01

    Near-ultraviolet (UV-A: 315-400 nm), "black-light," electric lamps were invented in 1935 and ultraviolet insect light traps (ILTs) were introduced for use in agriculture around that time. Today ILTs are used indoors in several industries and in food-service as well as in outdoor settings. With recent interest in photobiological lamp safety, safety standards are being developed to test for potentially hazardous ultraviolet emissions. A variety of UV "Black-light" ILTs were measured at a range of distances to assess potential exposures. Realistic time-weighted human exposures are shown to be well below current guidelines for human exposure to ultraviolet radiation. These UV-A exposures would be far less than the typical UV-A exposure in the outdoor environment. Proposals are made for realistic ultraviolet safety standards for ILT products.

  1. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li

    2017-06-26

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  2. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  3. Realization of a diamond based high density multi electrode array by means of Deep Ion Beam Lithography

    International Nuclear Information System (INIS)

    Picollo, F.; Battiato, A.; Bernardi, E.; Boarino, L.; Enrico, E.; Forneris, J.; Gatto Monticone, D.; Olivero, P.

    2015-01-01

    In the present work we report about a parallel-processing ion beam fabrication technique whereby high-density sub-superficial graphitic microstructures can be created in diamond. Ion beam implantation is an effective tool for the structural modification of diamond: in particular ion-damaged diamond can be converted into graphite, therefore obtaining an electrically conductive phase embedded in an optically transparent and highly insulating matrix. The proposed fabrication process consists in the combination of Deep Ion Beam Lithography (DIBL) and Focused Ion Beam (FIB) milling. FIB micromachining is employed to define micro-apertures in the contact masks consisting of thin (<10 μm) deposited metal layers through which ions are implanted in the sample. A prototypical single-cell biosensor was realized with the above described technique. The biosensor has 16 independent electrodes converging inside a circular area of 20 μm diameter (typical neuroendocrine cells size) for the simultaneous recording of amperometric signals

  4. X-ray lithography for micro- and nano-fabrication at ELETTRA for interdisciplinary applications

    International Nuclear Information System (INIS)

    Di Fabrizio, E; Fillipo, R; Cabrini, S

    2004-01-01

    ELETTRA (http://www.elettra.trieste.it/index.html) is a third generation synchrotron radiation source facility operating at Trieste, Italy, and hosts a wide range of research activities in advanced materials analysis and processing, biology and nano-science at several various beam lines. The energy spectrum of ELETTRA allows x-ray nano-lithography using soft (1.5 keV) and hard x-ray (10 keV) wavelengths. The Laboratory for Interdisciplinary Lithography (LIILIT) was established in 1998 as part of an Italian national initiative on micro- and nano-technology project of INFM and is funded and supported by the Italian National Research Council (CNR), INFM and ELETTRA. LILIT had developed two dedicated lithographic beam lines for soft (1.5 keV) and hard x-ray (10 keV) for micro- and nano-fabrication activities for their applications in engineering, science and bio-medical applications. In this paper, we present a summary of our research activities in micro- and nano-fabrication involving x-ray nanolithography at LILIT's soft and hard x-ray beam lines

  5. FAINT NEAR-ULTRAVIOLET/FAR-ULTRAVIOLET STANDARDS FROM SWIFT/UVOT, GALEX, AND SDSS PHOTOMETRY

    International Nuclear Information System (INIS)

    Siegel, Michael H.; Hoversten, Erik A.; Roming, Peter W. A.; Brown, Peter

    2010-01-01

    At present, the precision of deep ultraviolet photometry is somewhat limited by the dearth of faint ultraviolet standard stars. In an effort to improve this situation, we present a uniform catalog of 11 new faint (u ∼ 17) ultraviolet standard stars. High-precision photometry of these stars has been taken from the Sloan Digital Sky Survey and Galaxy Evolution Explorer archives and combined with new data from the Swift Ultraviolet Optical Telescope to provide precise photometric measures extending from the near-infrared to the far-ultraviolet. These stars were chosen because they are known to be hot (20, 000 eff < 50, 000 K) DA white dwarfs with published Sloan spectra that should be photometrically stable. This careful selection allows us to compare the combined photometry and Sloan spectroscopy to models of pure hydrogen atmospheres to both constrain the underlying properties of the white dwarfs and test the ability of white dwarf models to predict the photometric measures. We find that the photometry provides good constraints on white dwarf temperatures, which demonstrates the ability of Swift/UVOT to investigate the properties of hot luminous stars. We further find that the models reproduce the photometric measures in all 11 passbands to within their systematic uncertainties. Within the limits of our photometry, we find the standard stars to be photometrically stable. This success indicates that the models can be used to calibrate additional filters to our standard system, permitting easier comparison of photometry from heterogeneous sources. The largest source of uncertainty in the model fitting is the uncertainty in the foreground reddening curve, a problem that is especially acute in the UV.

  6. X-ray lithography source (SXLS) vacuum system

    International Nuclear Information System (INIS)

    Schuchman, J.C.; Aloia, J.; Hsieh, H.; Kim, T.; Pjerov, S.

    1989-01-01

    In 1988 Brookhaven National Laboratory (BNL) was awarded a contract to design and construct a compact light source for x-ray lithography. This award is part of a technology transfer-to-American-industry program. The contract is for an electron storage ring designed for 690 MeV-500 ma operations. It has a racetrack configuration with a circumference to 8.5 meters. The machine is to be constructed in two phases. Phase I (200 MeV-500ma) will primarily be for low energy injection studies and will incorporate all room temperature magnets. For Phase II the two room temperature dipole magnets will be replaced with (4T) superconducting magnets and operation will be at 690 MeV. This paper describes the vacuum system for this machine. 9 refs

  7. Selective binding of oligonucleotide on TiO2 surfaces modified by swift heavy ion beam lithography

    International Nuclear Information System (INIS)

    Vicente Pérez-Girón, J.; Hirtz, M.; McAtamney, C.; Bell, A.P.; Antonio Mas, J.; Jaafar, M.; Luis, O. de; Fuchs, H.

    2014-01-01

    We have used swift heavy-ion beam based lithography to create patterned bio-functional surfaces on rutile TiO 2 single crystals. The applied lithography method generates a permanent and well defined periodic structure of micrometre sized square holes having nanostructured TiO 2 surfaces, presenting different physical and chemical properties compared to the surrounding rutile single crystal surface. On the patterned substrates selective binding of oligonucleotides molecules is possible at the surfaces of the holes. This immobilisation process is only being controlled by UV light exposure. The patterned transparent substrates are compatible with fluorescence detection techniques, are mechanically robust, have a high tolerance to extreme chemical and temperature environments, and apparently do not degrade after ten cycles of use. These qualities make the patterned TiO 2 substrates useful for potential biosensor applications

  8. Probing Leader Cells in Endothelial Collective Migration by Plasma Lithography Geometric Confinement

    OpenAIRE

    Yongliang Yang; Nima Jamilpour; Baoyin Yao; Zachary S. Dean; Reza Riahi; Pak Kin Wong

    2016-01-01

    When blood vessels are injured, leader cells emerge in the endothelium to heal the wound and restore the vasculature integrity. The characteristics of leader cells during endothelial collective migration under diverse physiological conditions, however, are poorly understood. Here we investigate the regulation and function of endothelial leader cells by plasma lithography geometric confinement generated. Endothelial leader cells display an aggressive phenotype, connect to follower cells via pe...

  9. Clean solutions to the incoming wafer quality impact on lithography process yield limits in a dynamic copper/low-k research and development environment

    Science.gov (United States)

    Lysaght, Patrick S.; Ybarra, Israel; Sax, Harry; Gupta, Gaurav; West, Michael; Doros, Theodore G.; Beach, James V.; Mello, Jim

    2000-06-01

    The continued growth of the semiconductor manufacturing industry has been due, in large part, to improved lithographic resolution and overlay across increasingly larger chip areas. Optical lithography continues to be the mainstream technology for the industry with extensions of optical lithography being employed to support 180 nm product and process development. While the industry momentum is behind optical extensions to 130 nm, the key challenge will be maintaining an adequate and affordable process latitude (depth of focus/exposure window) necessary for 10% post-etch critical dimension (CD) control. If the full potential of optical lithography is to be exploited, the current lithographic systems can not be compromised by incoming wafer quality. Impurity specifications of novel Low-k dielectric materials, plating solutions, chemical-mechanical planarization (CMP) slurries, and chemical vapor deposition (CVD) precursors are not well understood and more stringent control measures will be required to meet defect density targets as identified in the National Technology Roadmap for Semiconductors (NTRS). This paper identifies several specific poor quality wafer issues that have been effectively addressed as a result of the introduction of a set of flexible and reliable wafer back surface clean processes developed on the SEZ Spin-Processor 203 configured for processing of 200 mm diameter wafers. Patterned wafers have been back surface etched by means of a novel spin process contamination elimination (SpCE) technique with the wafer suspended by a dynamic nitrogen (N2) flow, device side down, via the Bernoulli effect. Figure 1 illustrates the wafer-chuck orientation within the process chamber during back side etch processing. This paper addresses a number of direct and immediate benefits to the MicraScan IIITM deep-ultraviolet (DUV) step-and-scan system at SEMATECH. These enhancements have resulted from the resolution of three significant problems: (1) back surface

  10. Disinfection Effect of Film Cassettes by Ultraviolet Irradiation

    International Nuclear Information System (INIS)

    Kweon, Dae Cheol; Park, Peom

    2001-01-01

    A bacteria infection on film cassette contact surface was examined at the diagnostic radiology department. Studies have demonstrated a bactericidal effect of ultraviolet irradiation, and to assess the contamination level on film cassette contact surface as a predictor of patient prevent from nosocomial infection. The study showed that the laboratory result was identified non-pathologic and pathologic bacterial in the five different cassette size of the contact surface. Film cassettes were exposed to ultraviolet light for 1, 2 and 3 minutes. Ultraviolet light disinfection practices suitable for bacteria. The study concludes that presence of a bacterial infection will prevent a using antiseptic technique on film cassette contact surface. In conclusion, ultraviolet irradiate on film cassette over the surface more than 2 minutes. Ultraviolet dose of 1565 μW · s/cm 2 Win in 30 second relative to ultraviolet dose in time

  11. Extending i-line capabilities through variance characterization and tool enhancement

    Science.gov (United States)

    Miller, Dan; Salinas, Adrian; Peterson, Joel; Vickers, David; Williams, Dan

    2006-03-01

    Continuous economic pressures have moved a large percent of integrated device manufacturing (IDM) operations either overseas or to foundry operations over the last 10 years. These pressures have left the IDM fabs in the U.S. with required COO improvements in order to maintain operations domestically. While the assets of many of these factories are at a very favorable point in the depreciation life cycle, the equipment and processes are constrained to the quality of the equipment in its original state and the degradation over its installed life. With the objective to enhance output and improve process performance, this factory and their primary lithography process tool supplier have been able to extend the usable life of the existing process tools, increase the output of the tool base, and improve the distribution of the CDs on the product produced. Texas Instruments Incorporated lead an investigation with the POLARIS ® Systems & Services business of FSI International to determine the sources of variance in the i-line processing of a wide array of IC device types. Data from the sources of variance were investigated such as PEB temp, PEB delay time, develop recipe, develop time, and develop programming. While PEB processes are a primary driver of acid catalyzed resists, the develop mode is shown in this work to have an overwhelming impact on the wafer to wafer and across wafer CD performance of these i-line processes. These changes have been able to improve the wafer to wafer CD distribution by more than 80 %, and the within wafer CD distribution by more than 50 % while enabling a greater than 50 % increase in lithography cluster throughput. The paper will discuss the contribution from each of the sources of variance and their importance in overall system performance.

  12. Nanostructured Polymer Brushes by UV-Assisted Imprint Lithography and Surface-Initiated Polymerization for Biological Functions

    NARCIS (Netherlands)

    Benetti, Edmondo Maria; Acikgoz, C.; Sui, Xiaofeng; Vratzov, Boris; Hempenius, Mark A.; Huskens, Jurriaan; Vancso, Gyula J.

    2011-01-01

    Functional polymer brush nanostructures are obtained by combining step-and-flash imprint lithography (SFIL) with controlled, surface-initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub-100 nm range. The patterns exhibit

  13. Accelerated yield learning in agressive lithography

    Science.gov (United States)

    Monahan, Kevin M.; Ashkenaz, Scott M.; Chen, Xing; Lord, Patrick J.; Merrill, Mark A.; Quattrini, Rich; Wiley, James N.

    2000-06-01

    As exposure wavelengths decrease from 248 nm to 193, 157, and even 13 nm (EUV), small process defects can cause collapse of the lithographic process window near the limits of resolution, particularly for the gate and contact structures in high- performance devices. Such sensitivity poses a challenge for lithography process module control. In this work, we show that yield loss can be caused by a combination of macro, micro, CD, and overlay defects. A defect is defined as any yield- affecting process variation. Each defect, regardless of cause, is assumed to have a specific 'kill potential.' The accuracy of the lithographic yield model can be improved by identifying those defects with the highest kill potential or, more importantly, those that pose the highest economic risk. Such economic considerations have led us to develop a simple heuristic model for understanding sampling strategies in defect metrology and for linking metrology capability to yield and profitability.

  14. Ultraviolet Spectroscopy of Asteroid(4) Vesta

    Science.gov (United States)

    Li, Jian-Yang; Bodewits, Dennis; Feaga, Lori M.; Landsman, Wayne; A'Hearn, Michael F.; Mutchler, Max J.; Russell, Christopher T.; McFadden, Lucy A.; Raymond, Carol A.

    2011-01-01

    We report a comprehensive review of the UV-visible spectrum and rotational lightcurve of Vesta combining new observations by Hubble Space Telescope and Swift with archival International Ultraviolet Explorer observations. The geometric albedos of Vesta from 220 nm to 953 nm arc derived by carefully comparing these observations from various instruments at different times and observing geometries. Vesta has a rotationally averaged geometric albedo of 0.09 at 250 nm, 0.14 at 300 nm, 0.26 at 373 nm, 0.38 at 673 nm, and 0.30 at 950 nm. The linear spectral slope in the ultraviolet displays a sharp minimum ncar sub-Earth longitude of 20deg, and maximum in the eastern hemisphere. This is completely consistent with the distribution of the spectral slope in the visible wavelength. The uncertainty of the measurement in the ultraviolet is approx.20%, and in the visible wavelengths better than 10%. The amplitude of Vesta's rotational lightcurves is approx.10% throughout the range of wavelengths we observed, but is smaller at 950 nm (approx.6%) ncar the 1-micron mafic band center. Contrary to earlier reports, we found no evidence for any difference between the phasing of the ultraviolet and visible/ncar-infrared lightcurves with respect to sub-Earth longitude. Vesta's average spectrum between 220 and 950 nm can well be described by measured reflectance spectra of fine particle howardite-like materials of basaltic achondrite meteorites. Combining this with the in-phase behavior of the ultraviolet, visible. and ncar-infrared lightcurves, and the spectral slopes with respect to the rotational phase, we conclude that there is no global ultraviolet/visible reversal on Vesta. Consequently, this implies lack of global space weathering on Vesta. Keyword,: Asteroid Vesta; Spectrophotometry; Spectroscopy; Ultraviolet observations; Hubble Space Telescope observations

  15. Disinfection Effect of Film Cassettes by Ultraviolet Irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kweon, Dae Cheol; Park, Peom [Ajou Univ., Suwon (Korea, Republic of)

    2001-12-15

    A bacteria infection on film cassette contact surface was examined at the diagnostic radiology department. Studies have demonstrated a bactericidal effect of ultraviolet irradiation, and to assess the contamination level on film cassette contact surface as a predictor of patient prevent from nosocomial infection. The study showed that the laboratory result was identified non-pathologic and pathologic bacterial in the five different cassette size of the contact surface. Film cassettes were exposed to ultraviolet light for 1, 2 and 3 minutes. Ultraviolet light disinfection practices suitable for bacteria. The study concludes that presence of a bacterial infection will prevent a using antiseptic technique on film cassette contact surface. In conclusion, ultraviolet irradiate on film cassette over the surface more than 2 minutes. Ultraviolet dose of 1565 {mu}W {center_dot} s/cm{sup 2}Win in 30 second relative to ultraviolet dose in time.

  16. Resveratrol anti-ultraviolet-induced guinea pig skin injury

    International Nuclear Information System (INIS)

    Li Wenxing; Zhao Ying

    2014-01-01

    Objective: To Estimate on the protection effect of Stilbene on skin damage induced by ultraviolet radiation. Methods: After the normal skin in guinea pig under the intervene of Resveratrol was irradiated with over- dose of ultraviolet rays (UVB and UVA), the samples in every group were matched and compared. Results: The skin tissue in the Resveratrol intervene group irradiated by ultraviolet rays didn't change obviously as compared with that in the self-control group. But, the damage skin tissue in the control group irradiated by ultraviolet did change significantly as compared with that in the Stilbene intervene group. Conclusion: Resveratrol is a good material to protect the skin from damage effect by ultraviolet radiation. (authors)

  17. Application of high-resolution film for lithography to synchrotron X-ray topography

    International Nuclear Information System (INIS)

    Mizuno, Kaoru; Ito, Kazuyoshi; Iwami, Masayuki; Hashimoto, Eiji; Kino, Takao.

    1994-01-01

    A high-resolution film for lithography is applied to a detector for synchrotron radiation topography, instead of a nuclear plate. The film shows much better resolution than that of the plate although exposure time an about 500 times longer is required. The size distribution of interstitial loops grown as vacancy sources in a nearly perfect aluminum crystal after a temperature rise is examined from the while beam topograph. (author)

  18. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  19. Ultraviolet-radiation-curable paints

    Energy Technology Data Exchange (ETDEWEB)

    Grosset, A M; Su, W F.A.; Vanderglas, E

    1981-09-30

    In product finishing lines, ultraviolet radiation curing of paints on prefabricated structures could be more energy efficient than curing by natural gas fired ovens, and could eliminate solvent emission. Diffuse ultraviolet light can cure paints on three dimensional metal parts. In the uv curing process, the spectral output of radiation sources must complement the absorption spectra of pigments and photoactive agents. Photosensitive compounds, such as thioxanthones, can photoinitiate unsaturated resins, such as acrylated polyurethanes, by a free radical mechanism. Newly developed cationic photoinitiators, such as sulfonium or iodonium salts (the so-called onium salts) of complex metal halide anions, can be used in polymerization of epoxy paints by ultraviolet light radiation. One-coat enamels, topcoats, and primers have been developed which can be photoinitiated to produce hard, adherent films. This process has been tested in a laboratory scale unit by spray coating these materials on three-dimensional objects and passing them through a tunnel containing uv lamps.

  20. Optimization of droplets for UV-NIL using coarse-grain simulation of resist flow

    Science.gov (United States)

    Sirotkin, Vadim; Svintsov, Alexander; Zaitsev, Sergey

    2009-03-01

    A mathematical model and numerical method are described, which make it possible to simulate ultraviolet ("step and flash") nanoimprint lithography (UV-NIL) process adequately even using standard Personal Computers. The model is derived from 3D Navier-Stokes equations with the understanding that the resist motion is largely directed along the substrate surface and characterized by ultra-low values of the Reynolds number. By the numerical approximation of the model, a special finite difference method is applied (a coarse-grain method). A coarse-grain modeling tool for detailed analysis of resist spreading in UV-NIL at the structure-scale level is tested. The obtained results demonstrate the high ability of the tool to calculate optimal dispensing for given stamp design and process parameters. This dispensing provides uniform filled areas and a homogeneous residual layer thickness in UV-NIL.

  1. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  2. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  3. Ultraviolet light in the use of water disinfection

    International Nuclear Information System (INIS)

    Dabbagh, R.

    1999-01-01

    Ultraviolet light is an effective method in the use of water disinfection for swimming pools, potable water and industry required water. For many reasons Ultraviolet light and Ultraviolet compounded with chlorine (Ultraviolet/chlorine) has been brought to attention ed in resent years. In this research, a swimming pool water disinfection was carried out by means of a system with the use of a reactor which was made of stainless steel (SS-304) and with many another standards required. Operation of system was carried out at first in the pilot plant and then installation in essential water treatment integrated. Inactivation of pollution index, E. Coli or Total coliform and Pseudomonas aeroginosa studies with 6000,16000 and 30000 μW.s/cm 2 Ultraviolet dose and then in presence of 0.3,0.6,0.9 and 1.2 mg/1 free chlorine (Ultraviolet/chlorine). In swimming pools minimum free chlorine residual usually is 1.5 mg/1. Optimum Ultraviolet dose was 16000 μW.s/cm 2 attention to 50 percent Ultraviolet absorption ca sued to TSS,TDS and turbidity. In the Ultraviolet/chlorine system suitable rate was 16000μW.s/cm 2 Ultraviolet dose/0.6 mg/1 chlorine in the 2.4 * 10 5 CFU/100 ml for Total coliform and 3600 CFU/100 ml for Pseudomonas aeroginosa. Most probable number (MPN) estimated multiple tube fermentation technique. In this way the flow rate for system indicated about 240 cm 3 /s or 0.9 m 3 /h. The samples polluted for secondary pollution with 54000 CFU/100 ml for E. Coli and 1800 CFU/100ml Pseudomonas aeroginosa. The number of microbes decreased to zero duration after 45 minutes contact time in presence of free chlorine residual in samples. In practical conditions which that disinfectant system was installed in essential water treatment circuit under 1.4 atm hydraulic pressure no growth was seen for pollution index in disinfected water with Ultraviolet in microbial density about 840 CFU/100 ml for Total coliform and 12 CFU/100 ml for pseudomonas aeroginosa. Attention to lower

  4. On the improvement of heterodyne displacement interferometry : Enhancing measurement linearity and system modularity

    NARCIS (Netherlands)

    Meskers, A.J.H.

    2014-01-01

    Lithographic exposure equipment for integrated circuit manufacturing requires ever more accurate position measurement systems, which is currently led by the advent of Extreme UltraViolet (EUV)-lithography machines. This PhD-research describes an interferometric displacement measurement system that

  5. A NOISE ADAPTIVE FUZZY EQUALIZATION METHOD FOR PROCESSING SOLAR EXTREME ULTRAVIOLET IMAGES

    Energy Technology Data Exchange (ETDEWEB)

    Druckmueller, M., E-mail: druckmuller@fme.vutbr.cz [Institute of Mathematics, Faculty of Mechanical Engineering, Brno University of Technology, Technicka 2, 616 69 Brno (Czech Republic)

    2013-08-15

    A new image enhancement tool ideally suited for the visualization of fine structures in extreme ultraviolet images of the corona is presented in this paper. The Noise Adaptive Fuzzy Equalization method is particularly suited for the exceptionally high dynamic range images from the Atmospheric Imaging Assembly instrument on the Solar Dynamics Observatory. This method produces artifact-free images and gives significantly better results than methods based on convolution or Fourier transform which are often used for that purpose.

  6. Impact of polymer film thickness and cavity size on polymer flow during embossing : towards process design rules for nanoimprint lithography.

    Energy Technology Data Exchange (ETDEWEB)

    Schunk, Peter Randall; King, William P. (Georgia Institute of Technology, Atlanta, GA); Sun, Amy Cha-Tien; Rowland, Harry D. (Georgia Institute of Technology, Atlanta, GA)

    2006-08-01

    This paper presents continuum simulations of polymer flow during nanoimprint lithography (NIL). The simulations capture the underlying physics of polymer flow from the nanometer to millimeter length scale and examine geometry and thermophysical process quantities affecting cavity filling. Variations in embossing tool geometry and polymer film thickness during viscous flow distinguish different flow driving mechanisms. Three parameters can predict polymer deformation mode: cavity width to polymer thickness ratio, polymer supply ratio, and Capillary number. The ratio of cavity width to initial polymer film thickness determines vertically or laterally dominant deformation. The ratio of indenter width to residual film thickness measures polymer supply beneath the indenter which determines Stokes or squeeze flow. The local geometry ratios can predict a fill time based on laminar flow between plates, Stokes flow, or squeeze flow. Characteristic NIL capillary number based on geometry-dependent fill time distinguishes between capillary or viscous driven flows. The three parameters predict filling modes observed in published studies of NIL deformation over nanometer to millimeter length scales. The work seeks to establish process design rules for NIL and to provide tools for the rational design of NIL master templates, resist polymers, and process parameters.

  7. Application status and prospect of X-ray lithography technology

    International Nuclear Information System (INIS)

    Xie Changqing; Chen Dapeng; Liu Ming; Ye Tianchun; Yi Futing

    2004-01-01

    Because of its many merits, such as high resolution, large depth of focus, large field size, high throughput, large process latitude, easy extendibility to 50 nm and below ground rule, and so on, the Proximity X-ray Lithography (PXL) is very attractive for the 100 nm and smaller ground rule integrated circuit manufacturing. In this paper, the international research and development status of PXL is briefly introduced firstly, and both its application status and prospect in nanoelectronics research, Monolithic Microwave Integrated Circuits (MMIC) production and silicon-based Ultra Large Scale Integrated Circuits (ULSIC) production are described, and the recent research progress in home PXL is also presented briefly. (authors)

  8. Double-layer imprint lithography on wafers and foils from the submicrometer to the millimeter scale

    NARCIS (Netherlands)

    Moonen, P.F.; Yakimets, I.; Peter, M.; Meinders, E.R.; Huskens, J.

    2011-01-01

    In this paper, a thermal imprint technique, double-layer nanoimprint lithography (dlNIL), is introduced, allowing complete filling of features in the dimensional range of submicrometer to millimeter. The imprinting and filling quality of dlNIL was studied on Si substrates as a model system and

  9. An analysis of the repair processes in ultraviolet-irradiated Micrococcus luteus using purified ultraviolet-endonuclease

    International Nuclear Information System (INIS)

    Tomilin, N.V.; Zherebtsov, S.V.

    1982-01-01

    The measurement of the frequency of endonucleolytic incisions in ultraviolet-irradiated DNA serves as the test for the presence of pyrimidine dimers. In accordance with this approach, the lysates of three Micrococcus luteus strains containing radioactively labeled chromosomes were treated with purified M. luteus ultraviolet-endonuclease to trace segregation of dimers amongst parental and newly synthesized DNA and their removal during postreplication and excision DNA repair. A considerable proportion of the dimers in all strains tested proved to be insensitive to the action of exogenous incising enzyme. The use of chloramphenicol as an inhibitor of postirradiation protein synthesis in combination with ultraviolet-endonuclease treatment of DNA allowed to reveal at least two alternative pathways of postreplication repair: constitutively active recombinational pathway and inducible nonrecombinational one. (Auth.)

  10. Monolithic and Flexible ZnS/SnO2 Ultraviolet Photodetectors with Lateral Graphene Electrodes.

    Science.gov (United States)

    Zhang, Cheng; Xie, Yunchao; Deng, Heng; Tumlin, Travis; Zhang, Chi; Su, Jheng-Wun; Yu, Ping; Lin, Jian

    2017-05-01

    A continuing trend of miniaturized and flexible electronics/optoelectronic calls for novel device architectures made by compatible fabrication techniques. However, traditional layer-to-layer structures cannot satisfy such a need. Herein, a novel monolithic optoelectronic device fabricated by a mask-free laser direct writing method is demonstrated in which in situ laser induced graphene-like materials are employed as lateral electrodes for flexible ZnS/SnO 2 ultraviolet photodetectors. Specifically, a ZnS/SnO 2 thin film comprised of heterogeneous ZnS/SnO 2 nanoparticles is first coated on polyimide (PI) sheets by a solution process. Then, CO 2 laser irradiation ablates designed areas of the ZnS/SnO 2 thin film and converts the underneath PI into highly conductive graphene as the lateral electrodes for the monolithic photodetectors. This in situ growth method provides good interfaces between the graphene electrodes and the semiconducting ZnS/SnO 2 resulting in high optoelectronic performance. The lateral electrode structure reduces total thickness of the devices, thus minimizing the strain and improving flexibility of the photodetectors. The demonstrated lithography-free monolithic fabrication is a simple and cost-effective method, showing a great potential for developement into roll-to-roll manufacturing of flexible electronics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Solar ultraviolet irradiance variations: a review

    International Nuclear Information System (INIS)

    Lean, J.

    1987-01-01

    Despite the geophysical importance of solar ultraviolet radiation, specific aspects of its temporal variations have not yet been adequately determined experimentally, nor are the mechanisms for the variability completely understood. Satellite observations have verified the reality of solar ultraviolet irradiance variations over time scales of days and months, and model calculations have confirmed the association of these short-term variations with the evolution and rotation of regions of enhanced magnetic activity on the solar disc. However, neither rocket nor satellite measurements have yet been made with sufficient accuracy and regularity to establish unequivocally the nature of the variability over the longer time of the 11-year solar cycle. The comparative importance for the long-term variations of local regions of enhanced magnetic activity and global scale activity perturbations is still being investigated. Solar ultraviolet irradiance variations over both short and long time scales are reviewed, with emphasis on their connection to solar magnetic activity. Correlations with ground-based measures of solar variability are examined because of the importance of the ground-based observations as historical proxies of ultraviolet irradiance variations. Current problems in understanding solar ultraviolet irradiance variations are discussed, and the measurements planned for solar cycle 22, which may resolve these problems, are briefly described. copyright American Geophysical Union 1987

  12. 21 CFR 880.6710 - Medical ultraviolet water purifier.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Medical ultraviolet water purifier. 880.6710... Miscellaneous Devices § 880.6710 Medical ultraviolet water purifier. (a) Identification. A medical ultraviolet water purifier is a device intended for medical purposes that is used to destroy bacteria in water by...

  13. Stellar extreme ultraviolet astronomy

    International Nuclear Information System (INIS)

    Cash, W.C. Jr.

    1978-01-01

    The design, calibration, and launch of a rocket-borne imaging telescope for extreme ultraviolet astronomy are described. The telescope, which employed diamond-turned grazing incidence optics and a ranicon detector, was launched November 19, 1976, from the White Sands Missile Range. The telescope performed well and returned data on several potential stellar sources of extreme ultraviolet radiation. Upper limits ten to twenty times more sensitive than previously available were obtained for the extreme ultraviolet flux from the white dwarf Sirius B. These limits fall a factor of seven below the flux predicted for the star and demonstrate that the temperature of Sirius B is not 32,000 K as previously measured, but is below 30,000 K. The new upper limits also rule out the photosphere of the white dwarf as the source of the recently reported soft x-rays from Sirius. Two other white dwarf stars, Feige 24 and G191-B2B, were observed. Upper limits on the flux at 300 A were interpreted as lower limits on the interstellar hydrogen column densities to these stars. The lower limits indicate interstellar hydrogen densitites of greater than .02 cm -3 . Four nearby stars (Sirius, Procyon, Capella, and Mirzam) were observed in a search for intense low temperature coronae or extended chromospheres. No extreme ultraviolet radiation from these stars was detected, and upper limits to their coronal emisson measures are derived

  14. Ultraviolet Communication for Medical Applications

    Science.gov (United States)

    2015-06-01

    DEI procured several UVC phosphors and tested them with vacuum UV (VUV) excitation. Available emission peaks include: 226 nm, 230 nm, 234 nm, 242...SUPPLEMENTARY NOTES Report contains color. 14. ABSTRACT Under this Phase II SBIR effort, Directed Energy Inc.’s (DEI) proprietary ultraviolet ( UV ...15. SUBJECT TERMS Non-line-of-sight (NLOS), networking, optical communication, plasma-shells, short range, ultraviolet ( UV ) light 16. SECURITY

  15. Rapid manufacturing of low-noise membranes for nanopore sensors by trans-chip illumination lithography

    International Nuclear Information System (INIS)

    Janssen, Xander J A; Jonsson, Magnus P; Plesa, Calin; Soni, Gautam V; Dekker, Cees; Dekker, Nynke H

    2012-01-01

    In recent years, the concept of nanopore sensing has matured from a proof-of-principle method to a widespread, versatile technique for the study of biomolecular properties and interactions. While traditional nanopore devices based on a nanopore in a single layer membrane supported on a silicon chip can be rapidly fabricated using standard microfabrication methods, chips with additional insulating layers beyond the membrane region can provide significantly lower noise levels, but at the expense of requiring more costly and time-consuming fabrication steps. Here we present a novel fabrication protocol that overcomes this issue by enabling rapid and reproducible manufacturing of low-noise membranes for nanopore experiments. The fabrication protocol, termed trans-chip illumination lithography, is based on illuminating a membrane-containing wafer from its backside such that a photoresist (applied on the wafer’s top side) is exposed exclusively in the membrane regions. Trans-chip illumination lithography permits the local modification of membrane regions and hence the fabrication of nanopore chips containing locally patterned insulating layers. This is achieved while maintaining a well-defined area containing a single thin membrane for nanopore drilling. The trans-chip illumination lithography method achieves this without relying on separate masks, thereby eliminating time-consuming alignment steps as well as the need for a mask aligner. Using the presented approach, we demonstrate rapid and reproducible fabrication of nanopore chips that contain small (12 μm × 12 μm) free-standing silicon nitride membranes surrounded by insulating layers. The electrical noise characteristics of these nanopore chips are shown to be superior to those of simpler designs without insulating layers and comparable in quality to more complex designs that are more challenging to fabricate. (paper)

  16. Process strategies for ultra-deep x-ray lithography at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Mancini, D.C.; Moldovan, N.; Divan, R.; De Carlo, F.; Yaeger, J.

    2001-01-01

    For the past five years, we have been investigating and advancing processing capabilities for deep x-ray lithography (DXRL) using synchrotron radiation from a bending magnet at the Advanced Photon Source (APS), with an emphasis on ultra-deep structures (1mm to 1cm thick). The use of higher-energy x-rays has presented many challenges in developing optimal lithographic techniques for high-aspect ratio structures: mask requirements, resist preparation, exposure, development, and post-processing. Many problems are more severe for high-energy exposure of thicker films than for sub-millimeter structures and affect resolution, processing time, adhesion, damage, and residue. A number of strategies have been created to overcome the challenges and limitations of ultra-deep x-ray lithography (UDXRL), that have resulted in the current choices for mask, substrate, and process flow at the APS. We describe our current process strategies for UDXRL, how they address the challenges presented, and their current limitations. We note especially the importance of the process parameters for use of the positive tone resist PMMA for UDXRL, and compare to the use of negative tone resists such as SU-8 regarding throughput, resolution, adhesion, damage, and post-processing.

  17. Fabrication of superconducting MgB2 nanostructures by an electron beam lithography-based technique

    Science.gov (United States)

    Portesi, C.; Borini, S.; Amato, G.; Monticone, E.

    2006-03-01

    In this work, we present the results obtained in fabrication and characterization of magnesium diboride nanowires realized by an electron beam lithography (EBL)-based method. For fabricating MgB2 thin films, an all in situ technique has been used, based on the coevaporation of B and Mg by means of an e-gun and a resistive heater, respectively. Since the high temperatures required for the fabrication of good quality MgB2 thin films do not allow the nanostructuring approach based on the lift-off technique, we structured the samples combining EBL, optical lithography, and Ar milling. In this way, reproducible nanowires 1 μm long have been obtained. To illustrate the impact of the MgB2 film processing on its superconducting properties, we measured the temperature dependence of the resistance on a nanowire and compared it to the original magnesium diboride film. The electrical properties of the films are not degraded as a consequence of the nanostructuring process, so that superconducting nanodevices may be obtained by this method.

  18. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    Science.gov (United States)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  19. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    Science.gov (United States)

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Inactivation of mitochondrial ATPase by ultraviolet light

    International Nuclear Information System (INIS)

    Chavez, E.; Cuellar, A.

    1984-01-01

    The present work describes experiments that show that far-ultraviolet irradiation induce the inhibition of ATPase activity in both membrane-bound and soluble F1. It was also found that ultraviolet light promotes the release of tightly bound adenine nucleotides from F1-ATPase. Experiments carried out with submitochondrial particles indicate that succinate partially protects against these effects of ultraviolet light. Titration of sulfhydryl groups in both irradiated submitochondrial particles and soluble F1-ATPase indicates that a conformational change induced by photochemical modifications of amino acid residues appears involved in the inactivation of the enzyme. Finally, experiments are described which show that the tyrosine residue located in the active site of F1-ATPase is modified by ultraviolet irradiation

  1. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  2. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  3. 21 CFR 878.4635 - Ultraviolet lamp for tanning.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Ultraviolet lamp for tanning. 878.4635 Section 878... tanning. (a) Identification. An ultraviolet lamp for tanning is a device that is a lamp (including a fixture) intended to provide ultraviolet radiation to tan the skin. See § 1040.20 of this chapter. (b...

  4. Ultraviolet safety assessments of insect light traps

    OpenAIRE

    Sliney, David H.; Gilbert, David W.; Lyon, Terry

    2016-01-01

    ABSTRACT Near-ultraviolet (UV-A: 315?400?nm), ?black-light,? electric lamps were invented in 1935 and ultraviolet insect light traps (ILTs) were introduced for use in agriculture around that time. Today ILTs are used indoors in several industries and in food-service as well as in outdoor settings. With recent interest in photobiological lamp safety, safety standards are being developed to test for potentially hazardous ultraviolet emissions. A variety of UV ?Black-light? ILTs were measured at...

  5. Formation of isolated carbon nanofibers with hot-wire CVD using nanosphere lithography as catalyst patterning technique

    NARCIS (Netherlands)

    Houweling, Z.S.; Verlaan, V.; ten Grotenhuis, G.T.; Schropp, R.E.I.

    2008-01-01

    Recently the site-density control of carbon nanotubes (CNTs) has attracted much attention as this has become critical for its many applications. To obtain an ordered array of catalyst nanoparticles with good monodispersity nanosphere lithography (NSL) is used. These nanoparticles are tested as

  6. Compact electron storage ring JESCOS with normalconducting or superconducting magnets for X-ray lithography

    International Nuclear Information System (INIS)

    Anton, F.; Klein, U.; Krischel, D.; Anderberg, B.

    1992-01-01

    The layouts of a normal conducting electron storage ring and a storage ring with superconducting bending magnets are presented. The storage rings have a critical wavelength of 1 nm and are designed as compact sources for X-ray lithography. Each ring fits into a shielded room with a diameter of 14 m. (author) 3 refs.; 5 figs.; 1 tab

  7. Pollen DNA repair after treatment with the mutagens 4-nitroquinoline-1-oxide, ultraviolet and near-ultraviolet irradiation, and boron dependence of repair

    International Nuclear Information System (INIS)

    Jackson, J.F.; Linskens, H.F.; Katholieke Universiteit Nijmegen

    1979-01-01

    Irradiation of dry, mature pollen from Petuna hybrida with near-ultraviolet light from an erythemal-sunlamp gave rise to a repair-like, unscheduled DNA synthesis during the early stages of in vitro germination. Like that brought about by far-ultraviolet light from a germicidal lamp, this DNA synthesis is enhanced by hydroxyurea added to the germination medium, and reduced by photoreactivating light given after ultraviolet irradiation and before germination begins. It is concluded that pollen, often receiving considerable exposure to sunlight, has, in addition to the protection afforded by the ultraviolet filtering effects of yellow pigments, also the capacity to repair ultraviolet produced changes in DNA, by both photoreactivation and dark repair processes. (orig./AJ) [de

  8. Fabrication of hexagonal star-shaped and ring-shaped patterns arrays by Mie resonance sphere-lens-lithography

    Science.gov (United States)

    Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui

    2018-05-01

    Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.

  9. In-orbit Calibrations of the Ultraviolet Imaging Telescope

    Science.gov (United States)

    Tandon, S. N.; Subramaniam, Annapurni; Girish, V.; Postma, J.; Sankarasubramanian, K.; Sriram, S.; Stalin, C. S.; Mondal, C.; Sahu, S.; Joseph, P.; Hutchings, J.; Ghosh, S. K.; Barve, I. V.; George, K.; Kamath, P. U.; Kathiravan, S.; Kumar, A.; Lancelot, J. P.; Leahy, D.; Mahesh, P. K.; Mohan, R.; Nagabhushana, S.; Pati, A. K.; Kameswara Rao, N.; Sreedhar, Y. H.; Sreekumar, P.

    2017-09-01

    The Ultra-Violet Imaging Telescope (UVIT) is one of the payloads in ASTROSAT, the first Indian Space Observatory. The UVIT instrument has two 375 mm telescopes: one for the far-ultraviolet (FUV) channel (1300-1800 Å), and the other for the near-ultraviolet (NUV) channel (2000-3000 Å) and the visible (VIS) channel (3200-5500 Å). UVIT is primarily designed for simultaneous imaging in the two ultraviolet channels with spatial resolution better than 1.″8, along with provisions for slit-less spectroscopy in the NUV and FUV channels. The results of in-orbit calibrations of UVIT are presented in this paper.

  10. A Powerful Mitochondria-Targeted Iron Chelator Affords High Photoprotection against Solar Ultraviolet A Radiation

    OpenAIRE

    Reelfs, Olivier; Abbate, Vincenzo; Hider, Robert C.; Pourzand, Charareh

    2016-01-01

    Mitochondria are the principal destination for labile iron, making these organelles particularly susceptible to oxidative damage on exposure to ultraviolet A (UVA, 320?400 nm), the oxidizing component of sunlight. The labile iron-mediated oxidative damage caused by UVA to mitochondria leads to necrotic cell death via adenosine triphosphate depletion. Therefore, targeted removal of mitochondrial labile iron via highly specific tools from these organelles may be an effective approach to protect...

  11. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  12. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    Science.gov (United States)

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  13. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  14. Resist-based measurement of contrast transfer function in a 0.3-NA microfield optic

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    Although extreme ultraviolet (EUV) lithography offers the possibility of very high-resolution patterning, the projection optics must be of extremely high quality in order to meet this potential. One key metric of the projection optic quality is the contrast transfer function (CTF), which is a measure of the aerial image contrast as a function of pitch. A static microfield exposure tool based on the 0.3-NA MET optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. This tool provides a platform for a wide variety of research into EUV lithography. In this work we present resist-based measurements of the contrast transfer function for the MET optic. These measurements are based upon line/space patterns printed in several different EUV photoresists. The experimental results are compared with the CTF in aerial-image simulations using the aberrations measured in the projection optic using interferometry. In addition, the CTF measurements are conducted for both bright-field and dark-field mask patterns. Finally, the orientation dependence of the CTF is measured in order to evaluate the effect of non-rotationally symmetric lens aberrations. These measurements provide valuable information in interpreting the results of other experiments performed using the MET and similar systems

  15. ULTRAVIOLET TECHNOLOGY FOR FOOD PRESERVATION

    OpenAIRE

    Guedes, AMM; Novello, D; Mendes, GMD; Cristianini, M

    2009-01-01

    ULTRAVIOLET TECHNOLOGY FOR FOOD PRESERVATION This literature review article had as objective to gather information about ultraviolet (UV) technology utilization on the food industry, its effects and potential application. Aspects as the origin, concept and applications of the technology on the equipment industry and running mechanisms were approached. The application of UV radiation on food decontamination is still little used due its low penetration, but it is known that it can be easily app...

  16. The impact of 14-nm photomask uncertainties on computational lithography solutions

    Science.gov (United States)

    Sturtevant, John; Tejnil, Edita; Lin, Tim; Schultze, Steffen; Buck, Peter; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-04-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.

  17. Accurate lithography simulation model based on convolutional neural networks

    Science.gov (United States)

    Watanabe, Yuki; Kimura, Taiki; Matsunawa, Tetsuaki; Nojima, Shigeki

    2017-07-01

    Lithography simulation is an essential technique for today's semiconductor manufacturing process. In order to calculate an entire chip in realistic time, compact resist model is commonly used. The model is established for faster calculation. To have accurate compact resist model, it is necessary to fix a complicated non-linear model function. However, it is difficult to decide an appropriate function manually because there are many options. This paper proposes a new compact resist model using CNN (Convolutional Neural Networks) which is one of deep learning techniques. CNN model makes it possible to determine an appropriate model function and achieve accurate simulation. Experimental results show CNN model can reduce CD prediction errors by 70% compared with the conventional model.

  18. Mechanical design of SXLS (Superconducting X-ray Lithography Source) radio-frequency cavity

    Energy Technology Data Exchange (ETDEWEB)

    Mortazavi, P.; Sharma, S.; Keane, J.; Thomas, M.

    1989-01-01

    This paper presents the mechanical design of a Radio-Frequency (RF) cavity to be used on a compact storage ring for Superconducting X-ray Lithography Source (SXLS). Various design features of this cavity are discussed, including basic geometrical configuration, structural design, initial and operational tuning, vacuum multipactoring, power window, and damping of higher order modes. A second application of this cavity design for beam life extension in an existing storage ring is also described. 2 refs., 6 figs.

  19. Mechanical design of SXLS [Superconducting X-ray Lithography Source] radio-frequency cavity

    International Nuclear Information System (INIS)

    Mortazavi, P.; Sharma, S.; Keane, J.; Thomas, M.

    1989-01-01

    This paper presents the mechanical design of a Radio-Frequency (RF) cavity to be used on a compact storage ring for Superconducting X-ray Lithography Source (SXLS). Various design features of this cavity are discussed, including basic geometrical configuration, structural design, initial and operational tuning, vacuum multipactoring, power window, and damping of higher order modes. A second application of this cavity design for beam life extension in an existing storage ring is also described. 2 refs., 6 figs

  20. Selective binding of oligonucleotide on TiO{sub 2} surfaces modified by swift heavy ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Vicente Pérez-Girón, J. [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Emerging Viruses Department Heinrich Pette Institute, Hamburg 20251 (Germany); Hirtz, M. [Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology - KIT, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); McAtamney, C.; Bell, A.P. [Advanced Microscopy Laboratory, CRANN, Trinity College Dublin, Dublin 2 (Ireland); Antonio Mas, J. [Laboratorio de Genómica del Centro de Apoyo Tecnológico, Universidad Rey Juan Carlos, Campus de Alcorcón 28922, Madrid (Spain); Jaafar, M. [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Departamento de Física de la Materia Condensada, Facultad de Ciencias, Universidad Autónoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Luis, O. de [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Departamento de Bioquímica, Fisiología y Genética Molecular, Facultad de Ciencias de la Salud, Universidad Rey Juan Carlos, Campus de Alcorcón, 28922 Madrid (Spain); Fuchs, H. [Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology - KIT, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Physical Institute and Center for Nanotechnology (CeNTech), Wilhelm-Klemm-Straße 10, University of Münster (Germany); and others

    2014-11-15

    We have used swift heavy-ion beam based lithography to create patterned bio-functional surfaces on rutile TiO{sub 2} single crystals. The applied lithography method generates a permanent and well defined periodic structure of micrometre sized square holes having nanostructured TiO{sub 2} surfaces, presenting different physical and chemical properties compared to the surrounding rutile single crystal surface. On the patterned substrates selective binding of oligonucleotides molecules is possible at the surfaces of the holes. This immobilisation process is only being controlled by UV light exposure. The patterned transparent substrates are compatible with fluorescence detection techniques, are mechanically robust, have a high tolerance to extreme chemical and temperature environments, and apparently do not degrade after ten cycles of use. These qualities make the patterned TiO{sub 2} substrates useful for potential biosensor applications.

  1. In-orbit Calibrations of the Ultraviolet Imaging Telescope

    Energy Technology Data Exchange (ETDEWEB)

    Tandon, S. N. [Inter-University Center for Astronomy and Astrophysics, Pune (India); Subramaniam, Annapurni; Sankarasubramanian, K.; Sriram, S.; Stalin, C. S.; Mondal, C.; Sahu, S.; Joseph, P.; Barve, I. V.; George, K.; Kamath, P. U.; Kathiravan, S.; Kumar, A.; Lancelot, J. P.; Mahesh, P. K. [Indian Institute of Astrophysics, Koramangala II Block, Bangalore-560034 (India); Girish, V. [ISRO Satellite Centre, HAL Airport Road, Bangalore 560017 (India); Postma, J.; Leahy, D. [University of Calgary, 2500 University Drive NW, Calgary, Alberta Canada (Canada); Hutchings, J. [National Research Council of Canada, Herzberg Astronomy and Astrophysics, 5071 West Saanich Road, Victoria, BC V9E 2E7 (Canada); Ghosh, S. K., E-mail: purni@iiap.res.in [National Centre for Radio Astrophysics, Pune (India); and others

    2017-09-01

    The Ultra-Violet Imaging Telescope (UVIT) is one of the payloads in ASTROSAT, the first Indian Space Observatory. The UVIT instrument has two 375 mm telescopes: one for the far-ultraviolet (FUV) channel (1300–1800 Å), and the other for the near-ultraviolet (NUV) channel (2000–3000 Å) and the visible (VIS) channel (3200–5500 Å). UVIT is primarily designed for simultaneous imaging in the two ultraviolet channels with spatial resolution better than 1.″8, along with provisions for slit-less spectroscopy in the NUV and FUV channels. The results of in-orbit calibrations of UVIT are presented in this paper.

  2. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    Science.gov (United States)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  3. Ultraviolet radiation therapy and UVR dose models

    Energy Technology Data Exchange (ETDEWEB)

    Grimes, David Robert, E-mail: davidrobert.grimes@oncology.ox.ac.uk [School of Physical Sciences, Dublin City University, Glasnevin, Dublin 9, Ireland and Cancer Research UK/MRC Oxford Institute for Radiation Oncology, Gray Laboratory, University of Oxford, Old Road Campus Research Building, Oxford OX3 7DQ (United Kingdom)

    2015-01-15

    Ultraviolet radiation (UVR) has been an effective treatment for a number of chronic skin disorders, and its ability to alleviate these conditions has been well documented. Although nonionizing, exposure to ultraviolet (UV) radiation is still damaging to deoxyribonucleic acid integrity, and has a number of unpleasant side effects ranging from erythema (sunburn) to carcinogenesis. As the conditions treated with this therapy tend to be chronic, exposures are repeated and can be high, increasing the lifetime probability of an adverse event or mutagenic effect. Despite the potential detrimental effects, quantitative ultraviolet dosimetry for phototherapy is an underdeveloped area and better dosimetry would allow clinicians to maximize biological effect whilst minimizing the repercussions of overexposure. This review gives a history and insight into the current state of UVR phototherapy, including an overview of biological effects of UVR, a discussion of UVR production, illness treated by this modality, cabin design and the clinical implementation of phototherapy, as well as clinical dose estimation techniques. Several dose models for ultraviolet phototherapy are also examined, and the need for an accurate computational dose estimation method in ultraviolet phototherapy is discussed.

  4. Ultraviolet radiation therapy and UVR dose models

    International Nuclear Information System (INIS)

    Grimes, David Robert

    2015-01-01

    Ultraviolet radiation (UVR) has been an effective treatment for a number of chronic skin disorders, and its ability to alleviate these conditions has been well documented. Although nonionizing, exposure to ultraviolet (UV) radiation is still damaging to deoxyribonucleic acid integrity, and has a number of unpleasant side effects ranging from erythema (sunburn) to carcinogenesis. As the conditions treated with this therapy tend to be chronic, exposures are repeated and can be high, increasing the lifetime probability of an adverse event or mutagenic effect. Despite the potential detrimental effects, quantitative ultraviolet dosimetry for phototherapy is an underdeveloped area and better dosimetry would allow clinicians to maximize biological effect whilst minimizing the repercussions of overexposure. This review gives a history and insight into the current state of UVR phototherapy, including an overview of biological effects of UVR, a discussion of UVR production, illness treated by this modality, cabin design and the clinical implementation of phototherapy, as well as clinical dose estimation techniques. Several dose models for ultraviolet phototherapy are also examined, and the need for an accurate computational dose estimation method in ultraviolet phototherapy is discussed

  5. Fabrication and characterization of one- and two-dimensional regular patterns produced employing multiple exposure holographic lithography

    DEFF Research Database (Denmark)

    Tamulevičius, S.; Jurkevičiute, A.; Armakavičius, N.

    2017-01-01

    In this paper we describe fabrication and characterization methods of two-dimensional periodic microstructures in photoresist with pitch of 1.2 urn and lattice constant 1.2-4.8 μm, formed using two-beam multiple exposure holographic lithography technique. The regular structures were recorded empl...

  6. Some aspects of vacuum ultraviolet radiation physics

    CERN Document Server

    Damany, Nicole; Vodar, Boris

    2013-01-01

    Some Aspects of Vacuum Ultraviolet Radiation Physics presents some data on the state of research in vacuum ultraviolet radiation in association with areas of physics. Organized into four parts, this book begins by elucidating the optical properties of solids in the vacuum ultraviolet region (v.u.v.), particularly the specific methods of determination of optical constants in v.u.v., the properties of metals, and those of ionic insulators. Part II deals with molecular spectroscopy, with emphasis on the spectra of diatomic and simple polyatomic molecules, paraffins, and condensed phases. Part III

  7. Ultraviolet Imaging Telescope images of the reflection nebula NGC 7023 - Derivation of ultraviolet scattering properties of dust grains

    Science.gov (United States)

    Witt, Adolf N.; Petersohn, Jens K.; Bohlin, Ralph C.; O'Connell, Robert W.; Roberts, Morton S.; Smith, Andrew M.; Stecher, Theodore P.

    1992-01-01

    The Ultraviolet Imaging Telescope as part of the Astro-1 mission, was used to obtain high-resolution surface brightness distribution data in six ultraviolet wavelength bands for the bright reflection nebula NGC 7023. From the quantitative comparison of the measured surface brightness gradients ratios of nebular to stellar flux, and detail radial surface brightness profiles with corresponding data from the visible, two major conclusions results: (1) the scattering in the near- and far-ultraviolet in this nebula is more strongly forward-directed than in the visible; (2) the dust albedo in the ultraviolet for wavelengths not less than 140 nm is identical to that in the visible, with the exception of the 220 nm bump in the extinction curve. In the wavelengths region of the bump, the albedo is reduced by 25 to 30 percent in comparison with wavelengths regions both shorter and longer. This lower albedo is expected, if the bump is a pure absorption feature.

  8. Thermo-curable epoxy systems for nanoimprint lithography

    International Nuclear Information System (INIS)

    Wu, Chun-Chang; Hsu, Steve Lien-Chung

    2010-01-01

    In this work, we have used solvent-free thermo-curable epoxy systems for low-pressure and moderate-temperature nanoimprint lithography (NIL). The curing kinetic parameters and conversion of diglycidyl ether of bisphenol A (DGEBA) resin with different ambient-cure 930 and 954 hardeners were studied by the isothermal DSC technique. They are useful for the study of epoxy resins in the imprinting application. The DGEBA/930 and DGEBA/954 epoxy resists can be imprinted to obtain high-density nano- and micro-scale patterns on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The DGEBA/930 epoxy resin is not only suitable for resist material, but also for plastic mold material. Highly dense nanometer patterns can be successfully imprinted using a UV-curable resist from the DGEBA/930 epoxy mold. Using the replicated DGEBA/930 epoxy mold instead of the expensive master can prevent brittle failure of the silicon molds in the NIL

  9. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  10. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  11. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  12. Zero expansion glass ceramic ZERODUR® roadmap for advanced lithography

    Science.gov (United States)

    Westerhoff, Thomas; Jedamzik, Ralf; Hartmann, Peter

    2013-04-01

    The zero expansion glass ceramic ZERODUR® is a well-established material in microlithography in critical components as wafer- and reticle-stages, mirrors and frames in the stepper positioning and alignment system. The very low coefficient of thermal expansion (CTE) and its extremely high CTE homogeneity are key properties to achieve the tight overlay requirements of advanced lithography processes. SCHOTT is continuously improving critical material properties of ZERODUR® essential for microlithography applications according to a roadmap driven by the ever tighter material specifications broken down from the customer roadmaps. This paper will present the SCHOTT Roadmap for ZERODUR® material property development. In the recent years SCHOTT established a physical model based on structural relaxation to describe the coefficient of thermal expansion's temperature dependence. The model is successfully applied for the new expansion grade ZERODUR® TAILORED introduced to the market in 2012. ZERODUR® TAILORED delivers the lowest thermal expansion of ZERODUR® products at microlithography tool application temperature allowing for higher thermal stability for tighter overlay control in IC production. Data will be reported demonstrating the unique CTE homogeneity of ZERODUR® and its very high reproducibility, a necessary precondition for serial production for microlithography equipment components. New data on the bending strength of ZERODUR® proves its capability to withstand much higher mechanical loads than previously reported. Utilizing a three parameter Weibull distribution it is possible to derive minimum strength values for a given ZERODUR® surface treatment. Consequently the statistical uncertainties of the earlier approach based on a two parameter Weibull distribution have been eliminated. Mechanical fatigue due to stress corrosion was included in a straightforward way. The derived formulae allows calculating life time of ZERODUR® components for a given stress

  13. Fundamental study of droplet spray characteristics in photomask cleaning for advanced lithography

    Science.gov (United States)

    Lu, C. L.; Yu, C. H.; Liu, W. H.; Hsu, Luke; Chin, Angus; Lee, S. C.; Yen, Anthony; Lee, Gaston; Dress, Peter; Singh, Sherjang; Dietze, Uwe

    2010-09-01

    The fundamentals of droplet-based cleaning of photomasks are investigated and performance regimes that enable the use of binary spray technologies in advanced mask cleaning are identified. Using phase Doppler anemometry techniques, the effect of key performance parameters such as liquid and gas flow rates and temperature, nozzle design, and surface distance on droplet size, velocity, and distributions were studied. The data are correlated to particle removal efficiency (PRE) and feature damage results obtained on advanced photomasks for 193-nm immersion lithography.

  14. Fabrication and improvement of nanopillar InGaN/GaN light-emitting diodes using nanosphere lithography

    DEFF Research Database (Denmark)

    Fadil, Ahmed; Ou, Yiyu; Zhan, Teng

    2015-01-01

    Surface-patterning technologies have enabled the improvement of currently existinglight-emitting diodes (LEDs) and can be used to overcome the issue of low quantum efficiency ofgreen GaN-based LEDs. We have applied nanosphere lithography to fabricate nanopillars onInGaN∕GaN quantum-well LEDs. By ...

  15. Outdoor ultraviolet exposure of children and adolescents

    International Nuclear Information System (INIS)

    Diffey, B.L.; Gibson, C.J.

    1996-01-01

    The weekday and weekend outdoor ultraviolet exposure of young people from primary and secondary schools in three geographically distinct regions of England was determined over a 3-month period in summer. Ultraviolet exposure was measured using personal film badges worn by each young person and time spent outdoors, in hourly intervals, assessed using exposure records. In each area a class of 9-10 year-old children from a primary school and a class of 14-15-year-old adolescents from a secondary school took part, giving a total of 180 subjects. We found that primary school children received higher outdoor ultraviolet exposure than young people in secondary schools, and geographical differences in exposure could not be accounted for solely by differences in ambient ultraviolet. There was little difference between the exposure of males and females. Children and adolescents did not behave as homogeneous groups with regard to exposure. (Author)

  16. Positioning performance of a maglev fine positioning system

    Energy Technology Data Exchange (ETDEWEB)

    Wronosky, J.B.; Smith, T.G.; Jordan, J.D.; Darnold, J.R.

    1996-12-01

    A wafer positioning system was recently developed by Sandia National Laboratories for an Extreme Ultraviolet Lithography (EUVL) research tool. The system, which utilizes a magnetically levitated fine stage to provide ultra-precise positioning in all six degrees of freedom, incorporates technological improvements resulting from four years of prototype development experience. System enhancements, implemented on a second generation design for an ARPA National Center for Advanced Information Component Manufacturing (NCAICM) project, introduced active structural control for the levitated structure of the system. Magnetic levitation (maglev) is emerging as an important technology for wafer positioning systems in advanced lithography applications. The advantages of maglev stem from the absence of physical contact. The resulting lack of friction enables accurate, fast positioning. Maglev systems are mechanically simple, accomplishing full six degree-of-freedom suspension and control with a minimum of moving parts. Power-efficient designs, which reduce the possibility of thermal distortion of the platen, are achievable. Manufacturing throughput will be improved in future systems with the addition of active structural control of the positioning stages. This paper describes the design, implementation, and functional capability of the maglev fine positioning system. Specifics regarding performance design goals and test results are presented.

  17. Sub-micron silicon nitride waveguide fabrication using conventional optical lithography.

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Kamyab, Lobna; Rostami, Ali; Capolino, Filippo; Boyraz, Ozdal

    2015-03-09

    We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

  18. Biological applications of ultraviolet free-electron lasers

    International Nuclear Information System (INIS)

    Sutherland, J.C.

    1997-10-01

    This review examines the possibilities for biological research using the three ultraviolet free-electron lasers that are nearing operational status in the US. The projected operating characteristics of major interest in biological research of the free-electron lasers at Brookhaven National Laboratory, the Thomas Jefferson National Accelerator Facility, and Duke University are presented. Experimental applications in the areas of far- and vacuum ultraviolet photophysics and photochemistry, structural biology, environmental photobiology, and medical research are discussed and the prospects for advances in these areas, based upon the characteristics of the new ultraviolet free-electron lasers, are evaluated

  19. Plasmonic Nanostructures Prepared by Soft UV Nanoimprint Lithography and Their Application in Biological Sensing

    Directory of Open Access Journals (Sweden)

    Grégory Barbillon

    2012-01-01

    Full Text Available We prepared high-density plasmonic nanostructures on a glass substrate. By using soft UV nanoimprint lithography, gold nanodisks with a diameter of 65 nm were obtained on an area of 1 mm2. We tested these gold nanosensors in the biotin/streptavidin system to study their selectivity and sensitivity of detection. The prepared gold nanodisks could detect streptavidin at 10 pM.

  20. Efficacy of an automated ultraviolet C device in a shared hospital bathroom.

    Science.gov (United States)

    Cooper, Jesse; Bryce, Elizabeth; Astrakianakis, George; Stefanovic, Aleksandra; Bartlett, Karen

    2016-12-01

    Toilet flushing can contribute to disease transmission by generating aerosolized bacteria and viruses that can land on nearby surfaces or follow air currents. Aerobic and anaerobic bacterial bioaerosol loads, and bacterial counts on 2 surfaces in a bathroom with a permanently installed, automated ultraviolet C (UVC) irradiation device, were significantly lower than in a comparable bathroom without the UVC device. Permanently installed UVC lights may be a useful supplementary decontamination tool in shared patient bathrooms. Copyright © 2016 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  1. Ultraviolet extinction in M-supergiant circumstellar envelopes

    International Nuclear Information System (INIS)

    Buss, R.H. Jr.; Snow, T.P. Jr.

    1986-01-01

    Using International Ultraviolet (IUS) archival low-dispersion spectra, ultraviolet spectral extinctions were derived for the circumstellar envelopes of two M supergiants: HD 60414 and HD 213310. The observed stellar systems belong to a class of widely-separated spectroscopic binaries that are called VV Cephei stars. The total extinction was calculated by dividing the reddened fluxes with unreddened comparison fluxes of similar stars (g B2.5 for HD 213310 and a normalized s+B3 for HD 60414) from the reference atlas. After substracting the interstellar extinctions, which were estimated from the E(B-V) reddening of nearby stars, the resultant circumstellar extinctions were normalized at about 3.5 inverse microns. Not only is the 2175 A extinction bump absent in the circumstellar extinctions, but the far-ultraviolet extinction rise is also absent. The rather flat, ultraviolet extinction curves were interpreted as signatures of a population of noncarbonaceous, oxygen-rich grains with diameters larger than the longest observed wavelength

  2. High performance Si immersion gratings patterned with electron beam lithography

    Science.gov (United States)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  3. Aberration measurement of projection optics in lithographic tools based on two-beam interference theory

    International Nuclear Information System (INIS)

    Ma Mingying; Wang Xiangzhao; Wang Fan

    2006-01-01

    The degradation of image quality caused by aberrations of projection optics in lithographic tools is a serious problem in optical lithography. We propose what we believe to be a novel technique for measuring aberrations of projection optics based on two-beam interference theory. By utilizing the partial coherent imaging theory, a novel model that accurately characterizes the relative image displacement of a fine grating pattern to a large pattern induced by aberrations is derived. Both even and odd aberrations are extracted independently from the relative image displacements of the printed patterns by two-beam interference imaging of the zeroth and positive first orders. The simulation results show that by using this technique we can measure the aberrations present in the lithographic tool with higher accuracy

  4. Aberration measurement of projection optics in lithographic tools based on two-beam interference theory.

    Science.gov (United States)

    Ma, Mingying; Wang, Xiangzhao; Wang, Fan

    2006-11-10

    The degradation of image quality caused by aberrations of projection optics in lithographic tools is a serious problem in optical lithography. We propose what we believe to be a novel technique for measuring aberrations of projection optics based on two-beam interference theory. By utilizing the partial coherent imaging theory, a novel model that accurately characterizes the relative image displacement of a fine grating pattern to a large pattern induced by aberrations is derived. Both even and odd aberrations are extracted independently from the relative image displacements of the printed patterns by two-beam interference imaging of the zeroth and positive first orders. The simulation results show that by using this technique we can measure the aberrations present in the lithographic tool with higher accuracy.

  5. Efficient Excitation of Channel Plasmons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron L. C.; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate the highly efficient (>50%) conversion of freely propagating light to channel plasmon-polaritons (CPPs) in gold V-groove waveguides using compact 1.6 μm long waveguide-termination coupling mirrors. Our straightforward fabrication process, involving UV-lithography and crystallographic...... silicon etching, forms the coupling mirrors innately and ensures exceptional-quality, wafer-scale device production. We tailor the V-shaped profiles by thermal silicon oxidation in order to shift initially wedge-located modes downward into the V-grooves, resulting in well-confined CPPs suitable...

  6. Ultraviolet fire detector

    Science.gov (United States)

    Turnage, J. E.; Linford, R. M. F.; Cornish, S. D.

    1976-01-01

    System is capable of detecting ultraviolet light emitted by match size flame at distance of 10 ft. System is not affected by high energy or particulate radiation and is therefore particularly suited for applications around nuclear plants and X-ray equipment.

  7. Prediction of physicochemical properties of FCC feedstock by Chemometric analysis of their ultraviolet spectrum

    International Nuclear Information System (INIS)

    Baldrich Ferrer, Carlos A

    2008-01-01

    Chemometric analysis by Partial Least Squares (PLS) has been applied in this work to correlate the ultraviolet spectrum of combined Fluid Catalytic Cracking (FCC) feedstock with their physicochemical properties. The prediction errors obtained in the validation process using refinery samples demonstrate the accuracy of the predicted properties. This new analytical methodology allows obtaining in one analysis detailed information about the most important physicochemical properties of FCC feedstock and could be used as a valuable tool for operational analysis

  8. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  9. Subwavelength single layer absorption resonance antireflection coatings

    NARCIS (Netherlands)

    Huber, S. P.; van de Kruijs, R. W. E.; Yakshin, A. E.; Zoethout, E.; Boller, K.-J.; F. Bijkerk,

    2014-01-01

    We present theoretically derived design rules for an absorbing resonance antireflection coating for the spectral range of 100 &\\#x02013; 400 nm, applied here on top of a molybdenum-silicon multilayer mirror (Mo/Si MLM) as commonly used in extreme ultraviolet lithography. The design rules for

  10. Subwavelength single layer absorption resonance antireflection coatings

    NARCIS (Netherlands)

    Huber, Sebastiaan; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Zoethout, E.; Boller, Klaus J.; Bijkerk, Frederik

    2014-01-01

    We present theoretically derived design rules for an absorbing resonance antireflection coating for the spectral range of 100−400 nm, applied here on top of a molybdenum-silicon multilayer mirror (Mo/Si MLM) as commonly used in extreme ultraviolet lithography. The design rules for optimal

  11. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  12. Ultraviolet spectrophotometer for measuring columnar atmospheric ozone from aircraft

    Science.gov (United States)

    Hanser, F. A.; Sellers, B.; Briehl, D. C.

    1978-01-01

    An ultraviolet spectrophotometer (UVS) to measure downward solar fluxes from an aircraft or other high altitude platform is described. The UVS uses an ultraviolet diffuser to obtain large angular response with no aiming requirement, a twelve-position filter wheel with narrow (2-nm) and broad (20-nm) bandpass filters, and an ultraviolet photodiode. The columnar atmospheric ozone above the UVS (aircraft) is calculated from the ratios of the measured ultraviolet fluxes. Comparison with some Dobson station measurements gives agreement to 2%. Some UVS measured ozone profiles over the Pacific Ocean for November 1976 are shown to illustrate the instrument's performance.

  13. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  14. Integration of organic based Schottly junctions into crossbar arrays by standard UV lithography

    DEFF Research Database (Denmark)

    Katsia, E.; Tallarida, G.; Kutrzeba-Kotowska, B.

    2008-01-01

    organic based Schottky diodes in a crossbar architecture, by standard UV lithography. The proposed integration route features a limited number of process steps and prevents the exposure of the active materials to UV. This approach was developed using poly(3-hexylthiophene) as a model compound...... and was successfully applied to different organic semiconductors. The electrical characteristics of the as prepared junctions reveal the successful patterning and demonstrate the compatibility of the process sequence steps with the organic materials....

  15. Stratospheric ozone, ultraviolet radiation and climate change

    International Nuclear Information System (INIS)

    Boucher, O.

    2008-01-01

    It is well known that an overexposure to ultraviolet radiation is associated with a number of health risks such as an increased risk of cataracts and skin cancers. At a time when climate change is often blamed for all our environmental problems, what is the latest news about the stratospheric ozone layer and other factors controlling ultraviolet radiation at the surface of the Earth? Will the expected changes in the chemical composition of the atmosphere and changes in our climate increase or decrease the risk for skin cancer? This article investigates the role of the various factors influencing ultraviolet radiation and presents the latest knowledge on the subject. (author)

  16. Stimulation of hair cells with ultraviolet light

    Science.gov (United States)

    Azimzadeh, Julien B.; Fabella, Brian A.; Hudspeth, A. J.

    2018-05-01

    Hair bundles are specialized organelles that transduce mechanical inputs into electrical outputs. To activate hair cells, physiologists have resorted to mechanical methods of hair-bundle stimulation. Here we describe a new method of hair-bundle stimulation, irradiation with ultraviolet light. A hair bundle illuminated by ultraviolet light rapidly moves towards its tall edge, a motion typically associated with excitatory stimulation. The motion disappears upon tip-link rupture and is associated with the opening of mechanotransduction channels. Hair bundles can be induced to move sinusoidally with oscillatory modulation of the stimulation power. We discuss the implications of ultraviolet stimulation as a novel hair-bundle stimulus.

  17. Extreme Ultraviolet Explorer Bright Source List

    Science.gov (United States)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  18. Telescience - Concepts And Contributions To The Extreme Ultraviolet Explorer Mission

    Science.gov (United States)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-10-01

    A goal of the telescience concept is to allow scientists to use remotely located instruments as they would in their laboratory. Another goal is to increase reliability and scientific return of these instruments. In this paper we discuss the role of transparent software tools in development, integration, and postlaunch environments to achieve hands on access to the instrument. The use of transparent tools helps to reduce the parallel development of capability and to assure that valuable pre-launch experience is not lost in the operations phase. We also discuss the use of simulation as a rapid prototyping technique. Rapid prototyping provides a cost-effective means of using an iterative approach to instrument design. By allowing inexpensive produc-tion of testbeds, scientists can quickly tune the instrument to produce the desired scientific data. Using portions of the Extreme Ultraviolet Explorer (EUVE) system, we examine some of the results of preliminary tests in the use of simulation and tran-sparent tools. Additionally, we discuss our efforts to upgrade our software "EUVE electronics" simulator to emulate a full instrument, and give the pros and cons of the simulation facilities we have developed.

  19. Deterministic Integration of Quantum Dots into on-Chip Multimode Interference Beamsplitters Using in Situ Electron Beam Lithography.

    Science.gov (United States)

    Schnauber, Peter; Schall, Johannes; Bounouar, Samir; Höhne, Theresa; Park, Suk-In; Ryu, Geun-Hwan; Heindel, Tobias; Burger, Sven; Song, Jin-Dong; Rodt, Sven; Reitzenstein, Stephan

    2018-04-11

    The development of multinode quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates, and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of preselected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with g (2) (0) = 0.13 ± 0.02. Due to its high patterning resolution as well as spectral and spatial control, in situ electron beam lithography allows for integration of preselected quantum emitters into complex photonic systems. Being a scalable single-step approach, it paves the way toward multinode, fully integrated quantum photonic chips.

  20. Supercritical CO2 drying of poly(methyl methacrylate) photoresist for deep x-ray lithography: a brief note

    Science.gov (United States)

    Shukla, Rahul; Abhinandan, Lala; Sharma, Shivdutt

    2017-07-01

    Poly(methyl methacrylate) (PMMA) is an extensively used positive photoresist for deep x-ray lithography. The post-development release of the microstructures of PMMA becomes very critical for high aspect ratio fragile and freestanding microstructures. Release of high aspect ratio comb-drive microstructure of PMMA made by one-step x-ray lithography (OXL) is studied. The effect of low-surface tension Isopropyl alcohol (IPA) over water is investigated for release of the high aspect ratio microstructures using conventional and supercritical (SC) CO2 drying. The results of conventional drying are also compared for the samples released or dried in both in-house developed and commercial SC CO2 dryer. It is found that in all cases the microstructures of PMMA are permanently deformed and damaged while using SC CO2 for drying. For free-standing high aspect ratio microstructures of PMMA made by OXL, it is advised to use low-surface tension IPA over DI water. However, this brings a limitation on the design of the microstructure.