WorldWideScience

Sample records for ultra-low-energy ion implantation

  1. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  2. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  3. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  4. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  5. Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing

    International Nuclear Information System (INIS)

    Whelan, S.; La Magna, A.; Privitera, V.; Mannino, G.; Italia, M.; Bongiorno, C.; Fortunato, G.; Mariucci, L.

    2003-01-01

    Excimer laser annealing (ELA) of ultra-low-energy (ULE) B-ion implanted Si has been performed. High-resolution transmission electron microscopy has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been investigated as a function of the laser energy density (melted depth), the implant dose, and the number of laser pulses (melt time). The activated and retained dose has been evaluated with spreading resistance profiling and secondary ion mass spectrometry. A significant amount of the implanted dopant was lost from the sample during ELA. However, the dopant that was retained in crystal material was fully activated following rapid resolidification. At an atomic concentration below the thermodynamic limit, the activation efficiency (dose activated/dose implanted into Si material) was a constant for a fixed melt depth, irrespective of the dose implanted and hence the total activated dose was raised as the implant dose was increased. The electrical activation was increased for high laser energy density annealing when the dopant was redistributed over a deeper range

  6. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  7. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    Science.gov (United States)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  8. Ultra-low-energy ion-beam synthesis of nanometer-separated Si nanoparticles and Ag nanocrystals 2D layers

    Science.gov (United States)

    Carrada, M.; Haj Salem, A.; Pecassou, B.; Paillard, V.; Ben Assayag, G.

    2018-03-01

    2D networks of Si and Ag nanocrystals have been fabricated in the same SiO2 matrix by Ultra-Low-Energy Ion-Beam-Synthesis. Our synthesis scheme differs from a simple sequential ion implantation and its key point is the control of the matrix integrity through an appropriate intermediate thermal annealing. Si nanocrystal layer is synthesised first due to high thermal budget required for nucleation, while the second Ag nanocrystal plane is formed during a subsequent implantation due to the high diffusivity of Ag in silica. The aim of this work is to show how it is possible to overcome the limitation related to ion mixing and implantation damage to obtain double layers of Si-NCs and Ag-NCs with controlled characteristics. For this, we take advantage of annealing under slight oxidizing ambient to control the oxidation of Si-NCs and the Si excess in the matrix. The nanocrystal characteristics and in particular their position and size can be adjusted thanks to a compromise between the implantation energy, the implanted dose for both Si and Ag ions and the intermediate annealing conditions (atmosphere, temperature and duration).

  9. Ultra-low-energy (<10 eV/u) ion beam bombardment effect on naked DNA

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongkumkoon, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Chiang Mai 50290 (Thailand); Suwannakachorn, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-05-01

    Highlights: • Decelerated ultra-low energy ion beam bombarded naked DNA. • DNA form change induced by ion bombardment was investigated. • N-ion bombardment at 32 eV induced DNA single and double strand breaks. • Ar-ion bombardment at a-few-hundreds eV induced DNA single strand break. - Abstract: Since ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range, it is very interesting to know effects from ultra-low-energy ion interaction with DNA for understanding ion-beam-induced genetic mutation. Tens-keV Ar- and N-ion beams were decelerated to ultra-low energy ranging from 20 to 100 eV, or only a few to 10 eV/u, to bombard naked plasmid DNA. The bombarded DNA was analyzed using gel electrophoresis for DNA form changes. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks after bombarded by tens-eV ion beam. N-ion beam was found more effective in inducing DNA change and mutation than Ar-ion beam. The study demonstrated that the ion bombardment with energy as low as several-tens eV was able to break DNA strands and thus potentially to cause genetic modification of biological cells. The experimental results were discussed in terms of direct atomic collision between the ions and DNA atoms.

  10. Ultra-low-energy (<10 eV/u) ion beam bombardment effect on naked DNA

    International Nuclear Information System (INIS)

    Thopan, P.; Thongkumkoon, P.; Prakrajang, K.; Suwannakachorn, D.; Yu, L.D.

    2014-01-01

    Highlights: • Decelerated ultra-low energy ion beam bombarded naked DNA. • DNA form change induced by ion bombardment was investigated. • N-ion bombardment at 32 eV induced DNA single and double strand breaks. • Ar-ion bombardment at a-few-hundreds eV induced DNA single strand break. - Abstract: Since ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range, it is very interesting to know effects from ultra-low-energy ion interaction with DNA for understanding ion-beam-induced genetic mutation. Tens-keV Ar- and N-ion beams were decelerated to ultra-low energy ranging from 20 to 100 eV, or only a few to 10 eV/u, to bombard naked plasmid DNA. The bombarded DNA was analyzed using gel electrophoresis for DNA form changes. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks after bombarded by tens-eV ion beam. N-ion beam was found more effective in inducing DNA change and mutation than Ar-ion beam. The study demonstrated that the ion bombardment with energy as low as several-tens eV was able to break DNA strands and thus potentially to cause genetic modification of biological cells. The experimental results were discussed in terms of direct atomic collision between the ions and DNA atoms

  11. Measurement of ultra-low ion energy of decelerated ion beam using a deflecting electric field

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P.; Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In investigation on ultra-low-energy ion bombardment effect on DNA, an ion beam deceleration lens was developed for high-quality ultra-low-energy ion beam. Measurement of the ion energy after deceleration was necessary to confirm the ion beam really decelerated as theoretically predicted. In contrast to conventional methods, this work used a simple deflecting electrostatic field after the deceleration lens to bend the ion beam. The beam bending distance depended on the ion energy and was described and simulated. A system for the measurement of the ion beam energy was constructed. It consisted of a pair of parallel electrode plates to generate the deflecting electrical field, a copper rod measurement piece to detect ion beam current, a vernier caliper to mark the beam position, a stepping motor to translate the measurement rod, and a webcam-camera to read the beam bending distance. The entire system was installed after the ion-beam deceleration lens inside the large chamber of the bioengineering vertical ion beam line. Moving the measurement rod across the decelerated ion beam enabled to obtain beam profiles, from which the beam bending distance could be known and the ion beam energy could be calculated. The measurement results were in good agreement with theoretical and simulated results.

  12. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  13. An ion beam deceleration lens for ultra-low-energy ion bombardment of naked DNA

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P.; Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongkumkoon, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuldyuld@gmail.com [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Highlights: ► An ion beam deceleration lens was designed and constructed. ► The deceleration lens was installed and tested. ► The decelerated ion beam energy was measured using an electrical field. ► Decelerated ultra-low-energy ion beam bombarded naked DNA. ► Ion beam with energy of a few tens of eV could break DNA strands. -- Abstract: Study of low-energy ion bombardment effect on biological living materials is of significance. High-energy ion beam irradiation of biological materials such as organs and cells has no doubt biological effects. However, ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range. To investigate effects from very-low-energy ion bombardment on biological materials, an ion beam deceleration lens is necessary for uniform ion energy lower than keV. A deceleration lens was designed and constructed based on study of the beam optics using the SIMION program. The lens consisted of six electrodes, able to focus and decelerate primary ion beam, with the last one being a long tube to obtain a parallel uniform exiting beam. The deceleration lens was installed to our 30-kV bioengineering-specialized ion beam line. The final decelerated-ion energy was measured using a simple electrostatic field to bend the beam to range from 10 eV to 1 keV controlled by the lens parameters and the primary beam condition. In a preliminary test, nitrogen ion beam at 60 eV decelerated from a primary 20-keV beam bombarded naked plasmid DNA. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks. The study demonstrated that the ion bombardment with energy as low as several-tens eV was possible to break DNA strands and thus potential to cause genetic modification of biological cells.

  14. An ion beam deceleration lens for ultra-low-energy ion bombardment of naked DNA

    International Nuclear Information System (INIS)

    Thopan, P.; Prakrajang, K.; Thongkumkoon, P.; Suwannakachorn, D.; Yu, L.D.

    2013-01-01

    Highlights: ► An ion beam deceleration lens was designed and constructed. ► The deceleration lens was installed and tested. ► The decelerated ion beam energy was measured using an electrical field. ► Decelerated ultra-low-energy ion beam bombarded naked DNA. ► Ion beam with energy of a few tens of eV could break DNA strands. -- Abstract: Study of low-energy ion bombardment effect on biological living materials is of significance. High-energy ion beam irradiation of biological materials such as organs and cells has no doubt biological effects. However, ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range. To investigate effects from very-low-energy ion bombardment on biological materials, an ion beam deceleration lens is necessary for uniform ion energy lower than keV. A deceleration lens was designed and constructed based on study of the beam optics using the SIMION program. The lens consisted of six electrodes, able to focus and decelerate primary ion beam, with the last one being a long tube to obtain a parallel uniform exiting beam. The deceleration lens was installed to our 30-kV bioengineering-specialized ion beam line. The final decelerated-ion energy was measured using a simple electrostatic field to bend the beam to range from 10 eV to 1 keV controlled by the lens parameters and the primary beam condition. In a preliminary test, nitrogen ion beam at 60 eV decelerated from a primary 20-keV beam bombarded naked plasmid DNA. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks. The study demonstrated that the ion bombardment with energy as low as several-tens eV was possible to break DNA strands and thus potential to cause genetic modification of biological cells

  15. Luminescent ultra-small gold nanoparticles obtained by ion implantation in silica

    Energy Technology Data Exchange (ETDEWEB)

    Cesca, T., E-mail: tiziana.cesca@unipd.it [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy); Maurizio, C.; Kalinic, B.; Scian, C. [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy); Trave, E.; Battaglin, G. [Department of Molecular Sciences and Nanosystems, Ca’ Foscari University of Venice, Dorsoduro 2137, I-30123 Venice (Italy); Mazzoldi, P.; Mattei, G. [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy)

    2014-05-01

    The room temperature photoluminescence properties of ultra-small Au nanoclusters (made by 5–10 atoms) obtained by ion implantation in silica are presented. The results show a broad and intense luminescent emission in three different spectral regions around 750 nm, 980 nm and 1150 nm. The luminescence properties of the molecule-like Au clusters have been also correlated to the energy-transfer process to Er{sup 3+} ions in Au–Er co-implanted silica samples. A partial quenching of the 980 nm component is observed due to the Er{sup 3+} absorption level at 980 nm that acts as a de-excitation channel through which the photon energy is transferred from the Au nanoclusters to the Er ions, eventually producing the Er-related emission at 1.5 microns.

  16. Studies of ultra shallow n+-p junctions formed by low-energy As-implantation

    International Nuclear Information System (INIS)

    Girginoudi, D.; Georgoulas, N.; Thanailakis, A.; Polychroniadis, E.K.

    2004-01-01

    The generation and the evolution of extended defects in ultra-shallow n + -p junctions, formed by As ion implantation into silicon at low energies of 15, 10 and 5 keV and a dose of 1 x 10 15 cm -2 , and rapid thermal annealing (RTA) at temperatures of 650 deg. C ≤T ≤ 950 deg. C have been studied using transmission electron microscopy (TEM) measurements. The generated defects in the end-of-range region are dislocation loops, which grew larger and their density decreased with increasing annealing temperature. Reduction in the implantation energy causes a decrease in defect size and density as well as in dissolution temperature. The loops dissolved at 950 deg. C for 15 and 10 keV, whereas for 5 keV they dissolved at 850 deg. C. Arsenic transient enhanced diffusion (TED) studied by ToF-SIMS measurements was observed at temperatures above 650 deg. C for all implantation energies, with markedly less TED for the 5 keV, although As segregates near the surface region. The results suggest that the surface plays a key role on the formation and the dissolution of the dislocation loops and the As TED, by acting as a perfect sink of point defects. A significant degradation in electrical activation efficiency and a sharp increase in sheet resistance were observed at the low energy of 5 keV. In addition, the increase of temperature causes a slight decrease in electrical activation efficiency. Out-diffusion of As (10-25%) plays a significant role in the electrically active fraction of the dopant, due to the extreme proximity to the surface of high As concentrations. Junctions shallower than 40 nm, with 50-40% of the implanted dose electrically active and sheet resistance of 370-320 ohm/square, were obtained for the 5 keV. Finally, the TED during RTA was correctly simulated using a RTA model implemented in SSUPREM4 of the process simulator, including the dislocation loops and the dose loss

  17. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  18. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  19. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  20. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  1. Monte carlo simulation of penetration range distribution of ion beam with low energy implanted in plant seeds

    International Nuclear Information System (INIS)

    Huang Xuchu; Hou Juan; Liu Xiaoyong

    2009-01-01

    The depth and density distribution of V + ion beam implanted into peanut seed is simulated by the Monte Carlo method. The action of ions implanted in plant seeds is studied by the classical collision theory of two objects, the electronic energy loss is calculated by Lindhard-Scharff formulation. The result indicates that the depth of 200keV V + implanted into peanut seed is 5.57μm, which agrees with experimental results, and the model is appropriate to describe this interaction. This paper provides a computational method for the depth and density distribution of ions with low energy implanted in plant seeds. (authors)

  2. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    International Nuclear Information System (INIS)

    Ye Hui; Ma Jingming; Feng Chun; Cheng Ying; Zhu Suwen; Cheng Beijiu

    2009-01-01

    In the process of the fermentation of steroid C 11 α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar + ) and nitrogen ion (N + ). The results showed that the optimal ion implantation was N + with an optimum dose of 2.08 x 10 15 ions/cm 2 , with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation. (ion beam bioengineering)

  3. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  4. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  5. Radiation damage in urania crystals implanted with low-energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Tien Hien, E-mail: tien-hien.nguyen@u-psud.fr [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Garrido, Frédérico; Debelle, Aurélien; Mylonas, Stamatis [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Nowicki, Lech [The Andrzej Soltan Institute for Nuclear Studies, Hoza 69, 00-681 Warsaw (Poland); Thomé, Lionel; Bourçois, Jérôme; Moeyaert, Jérémy [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France)

    2014-05-01

    Implantations with low-energy ions (470-keV Xe and 500-keV La with corresponding ion range Rp ∼ 85 nm and range straggling ΔRp ∼ 40 nm) have been performed to investigate both radiation and chemical effects due to the incorporation of different species in UO{sub 2} (urania) crystals. The presence of defects was monitored in situ after each implantation fluence step by the RBS/C technique. Channelling data were analysed afterwards by Monte-Carlo simulations with a model of defects involving (i) randomly displaced atoms (RDA) and (ii) distorted rows, i.e. bent channels (BC). While increasing the ion fluence, the accumulation of RDA leads to a steep increase of the defect fraction in the range from 4 to 7 dpa regardless of the nature of bombarding ions followed by a saturation plateau over a large dpa range. A clear difference of 6% in the yield of saturation plateaus between irradiation with Xe and La ions was observed. Conversely, the evolutions of the fraction of BC showed a similar regular increase with increasing ion fluence for both ions. Moreover, this increase is shifted to a larger fluence in comparison to the sharp increase step of RDA. This phenomenon indicates a continuous structural modification of UO{sub 2} crystals under irradiation unseen by the measurement of RDA.

  6. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  7. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  8. Improved generation of single nitrogen-vacancy centers in diamond by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Naydenov, Boris; Beck, Johannes; Steiner, Matthias; Balasubramanian, Gopalakrishnan; Jelezko, Fedor; Wrachtrup, Joerg [3. Institute of Physics, University of Stuttgart (Germany); Richter, Vladimir; Kalish, Rafi [Solid State Institute, Technion City, Haifa (Israel); Achard, Jocelyn [Laboratoire d' Ingenieurie des Materiaux et des Hautes Pressions, CNRS, Villetaneuse (France)

    2010-07-01

    Nitrogen-vacancy (NV) centers in diamond have recently attracted the attention of many research groups due to their possible application as quantum bits (qubits), ultra low magnetic field sensors and single photon sources. These color centers can be produced by nitrogen ion implantation, although the yield is usually below 5 % at low ion energies. Here we report an increase of the NV production efficiency by subsequently implanting carbon ions in the area of implanted nitrogen ions. This method improves the production yield by more than 50 %. We also show that very low nitrogen concentration (below 0.1 ppb) in diamond can be determined by converting the intrinsic nitrogen atoms to single NV centers and detecting the latter using a confocal microscope.

  9. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M

    2004-02-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications.

  10. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    International Nuclear Information System (INIS)

    Normand, P.; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M.

    2004-01-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications

  11. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  12. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  13. Ultra thin layer activation by recoil implantation of radioactive heavy ions. Applicability in wear and corrosion studies

    International Nuclear Information System (INIS)

    Lacroix, O.; Sauvage, T.; Blondiaux, G.; Guinard, L.

    1997-07-01

    A new calibration procedure is proposed for the application of recoil implantation of radioactive heavy ions (energies between a few hundred keV and a few MeV) into the near surface of materials as part of a research programme on sub-micrometric wear or corrosion phenomena. The depth profile of implanted radioelements is performed by using ultra thin deposited films obtained by cathode sputtering under argon plasma. Two curves for 56 Co ion in nickel have been determined for implantation depths of 110 and 200 nm, respectively, and stress the feasibility and reproducibility of this method for such activated depths. The achieved surface loss detection sensitivities are about 1 and 2 nm respectively. The on line detection mode is performed directly on the sample of interest. A general description of the method is presented. A study of the reaction kinematics followed by a general treatment on the irradiation parameters to be adopted are also developed with the intention of using the ultra thin layer activation method (UTLA) to further applications in research and industry. (author)

  14. Li-Ion, Ultra-capacitor Based Hybrid Energy Module

    National Research Council Canada - National Science Library

    Daboussi, Zaher; Paryani, Anil; Khalil, Gus; Catherino, Henry; Gargies, Sonya

    2007-01-01

    .... To determine the optimum utilization of ultra-capacitors in applications where high power density and high energy density are required, an optimized Li-Ion/Ultra-capacitor Hybrid Energy Module (HEM...

  15. Laser activation of Ultra Shallow Junctions (USJ) doped by Plasma Immersion Ion Implantation (PIII)

    International Nuclear Information System (INIS)

    Vervisch, Vanessa; Larmande, Yannick; Delaporte, Philippe; Sarnet, Thierry; Sentis, Marc; Etienne, Hasnaa; Torregrosa, Frank; Cristiano, Fuccio; Fazzini, Pier Francesco

    2009-01-01

    Today, the main challenges for the realization of the source/drain extensions concern the ultra-low energy implantation and the activation of the maximum amount of dopants with a minimized diffusion. Among the different annealing processes, one solution is the laser thermal annealing. Many studies [F. Torregrosa, C. Laviron, F. Milesi, M. Hernandez, H. Faik, J. Venturini, Proc. 14th International Conference on Ion Implant Technology, 2004; M. Hernandez, J. Venturini, D. Zahorski, J. Boulmer, D. Debarre, G. Kerrien, T. Sarnet, C. Laviron, M.N Semeria, D. Camel, J.L Santailler, Appl. Surf. Sci. 208-209 (2003) 345-351] have shown that the association of Plasma Immersion Ion Implantation (PIII) and Laser Thermal Process (LTP) allows to obtain junctions of a few nanometers with a high electrical activation. All the wafers studied have been implanted by PULSION (PIII implanter developed by Ion Beam Services) with an acceleration voltage of 1 kV and a dose of 6 x 10 15 at./cm 2 . In this paper, we compare the annealing process achieved with three excimer lasers: ArF, KrF and XeCl with a wavelength of respectively 193, 248 and 308 nm. We analyse the results in terms of boron activation and junction depth. To complete this study, we have observed the effect of pre-amorphization implantation (PAI) before PIII process on boron implantation and boron activation. We show that Ge PAI implanted by classical beam line allows a decrease of the junction depth from 20 down to 12 nm in the as-implanted condition. Transmission Electron Microscopy (TEM) analyses were performed in order to study the structure of pre-amorphized silicon and to estimate the thickness of the amorphous layer. In order to determine the sheet resistance (R s ) and the junction depth (X j ), we have used the four-point probe technique (4PP) and secondary ion mass spectrometry (SIMS) analysis. To complete the electrical characterizations some samples have been analyzed by non-contact optical measurements. All the

  16. SIMS analyses of ultra-low-energy B ion implants in Si: Evaluation of profile shape and dose accuracy

    International Nuclear Information System (INIS)

    Magee, C.W.; Hockett, R.S.; Bueyueklimanli, T.H.; Abdelrehim, I.; Marino, J.W.

    2007-01-01

    Numerous experimental studies for near-surface analyses of B in Si have shown that the B distribution within the top few nanometers is distorted by secondary ion mass spectrometry (SIMS) depth profiling with O 2 -flooding or normal incidence O 2 bombardment. Furthermore, the presence of surface oxide affects the X j determination as well as B profile shape when SIMS analyses are conducted while fully oxidizing the analytical area. Nuclear techniques such as elastic recoil detection (ERD), nuclear reaction analysis (NRA), and high-resolution Rutherford backscattering spectrometry (HR-RBS), are known to provide a profile shape near the surface that is free of artifacts. Comparisons with SIMS analyses have shown that SIMS analyses without fully oxidizing the analytical area agree well with these techniques at sufficiently high concentrations (where the nuclear techniques are applicable). The ability to measure both the B profile and an oxide marker with this non-oxidizing SIMS technique also allows accurate positioning of the B profile with respect to the SiO 2 /Si interface. This SIMS analysis protocol has been used to study the differences in near-surface dopant distribution for plasma-based implants. This study specifically focuses on measuring near-surface profile shapes as well as total implant doses for ultra-shallow B implants in Si especially those made with high peak B concentrations

  17. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  18. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  19. Low preveance ion source bridges low and high intensities in ion implantation

    International Nuclear Information System (INIS)

    Orr, F.D.; Mayhall, D.

    1976-01-01

    The Low Perveance Ion Source developed by Accelerators, Inc. offers the Semiconductor Industry the advantage of processing medium to high intensity implants on a system which will also implant 200 to 300 wafers an hour at MOS doses. Stable source beam currents can be varied over three orders of magnitude by variation of a single source parameter. This source uses a new computer designed Low Perveance extraction optics which is completely new to the Ion Implantation Industry. Test data and calculations are shown which define the versatility of this system. Scanned currents from 1 microamp to 400 microamps allow for a variety of production processing. Beam characteristics feature low energy spread (less than 10 eV) and low divergence (less than 3 degrees). Beam control optics consist of a double focusing analyzing magnet and two triplet quadrupoles. The source may be fitted with an oven for feeding of solid materials and analyzed beam currents in the milliamp range for development purposes. The batch processing, hybrid scanning end station is most applicable for high current beams as well as high volume batch processings of MOS Implants. Results of development work toward increased currents using both solid and gas feed material with the Low Perveance source are presented. System improvements including Accel-Decel and a third extraction element are discussed

  20. Ultra-low energy storage ring at FLAIR

    International Nuclear Information System (INIS)

    Welsch, Carsten P.; Papash, A. I.; Gorda, O.; Harasimowicz, J.; Karamyshev, O.; Karamysheva, G.; Newton, D.; Panniello, M.; Putignano, M.; Siggel-King, M. R. F.; Smirnov, A.

    2012-01-01

    The Ultra-low energy electrostatic Storage Ring (USR) at the future Facility for Low-energy Antiproton and Ion Research (FLAIR) will provide cooled beams of antiprotons in the energy range between 300 keV down to 20 keV and possibly less. The USR has been completely redesigned over the past three years. The ring structure is based on a “split achromat” lattice that allows in-ring experiments with internal gas jet target. Beam parameters might be adjusted in a wide range: from very short pulses in the nanosecond regime to a Coasting beam. In addition, a combined fast and slow extraction scheme was developed that allows for providing external experiments with cooled beams of different time structure. Detailed investigations of the USR, including studies into the ring’s long term beam dynamics, life time, equilibrium momentum spread and equilibrium lateral spread during collisions with an internal target were carried out. New tools and beam handling techniques for diagnostics of ultra-low energy ions at beam intensities less than 10 6 were developed by the QUASAR Group. In this paper, progress on the USR project will be presented with an emphasis on the expected beam parameters available to the experiments at FLAIR.

  1. Defect diffusion during annealing of low-energy ion-implanted silicon

    International Nuclear Information System (INIS)

    Bedrossian, P.J.; Caturla, M.J.; Diaz de la Rubia, T.

    1997-01-01

    The authors present a new approach for investigating the kinetics of defect migration during annealing of low-energy, ion-implanted silicon, employing a combination of computer simulations and atomic-resolution tunneling microscopy. Using atomically-clean Si(111)-7 x 7 as a sink for bulk point defects created by 5 keV Xe and Ar irradiation, they observe distinct, temperature-dependent surface arrival rates for vacancies and interstitials. A combination of simulation tools provides a detailed description of the processes that underlie the observed temperature-dependence of defect segregation, and the predictions of the simulations agree closely with the experimental observations

  2. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  3. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  4. Synthesis of 5'-CMP and 5'-dCMP in aqueous solution induced by low energy ions implantation

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2001-01-01

    Low energy N + ions produced by N 2 are accelerated and then introduced into aqueous solution to induce chemical reactions. This process avoids the need of a vacuum chamber and makes it possible to investigate the actions of low energy ions in aqueous solution. In order to explore prebiotic synthesis of nucleotide via reaction between low energy ions and aqueous solution under the primitive earth conditions, low energy N + is implanted into aqueous solution containing cytosine, D-ribose, D-2-deoxyribose and NH 4 H 2 PO 4 . It is confirmed that 5'-CMP and 5'-dCMP are produced by HPLC and 1 H-NMR analyses. The relation between yields of 5'-CMP and 5'-dCMP and irradiation time has been obtained

  5. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  6. Activation of ion implanted Si for backside processing by Ultra-fast Laser Thermal Annealing: Energy homogeneity and micro-scale sheet resistance

    DEFF Research Database (Denmark)

    Huet, K.; Lin, Rong; Boniface, C

    2009-01-01

    In this paper ion activation of implanted silicon using ultra-fast laser thermal annealing (LTA) process was discussed. The results stated that there was high dopant activation using LTA process for over 70%, excellent within shot activation uniformity, and there was a possibility for overlap...... parameter optimization. It was observed that, for activation LTA process, shallow box-shaped profiles- high diffusivity of B in liquids and high-temperatures was observed only near the surface in a submicrosecond timescale. Possible solutions were suggested as to low-cost and high-end for overlap...

  7. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  8. The present state and perspectives of low-energy heavy ion biology

    International Nuclear Information System (INIS)

    Yuan Chengling; Yu Zengliang

    2004-01-01

    The interaction between low-energy ions and matter has been concerned rarely comparing to that of high-energy ions. It is even more unusual to find studies of the interaction of low-energy ions and complicated organisms. However, the discovery of bioeffects induced by ion beam implantation has opened a new branch in the field of ion beam applications in the life science--Low-energy Heavy Ion Biology. The mutagenic effect of low energy heavy ions was firstly reported in 1986 in rice. Since then, a damage mechanism involved in energy absorption, mass deposition, and charge exchange has been proposed. Accumulating evidence has indicated that these three factors are key determinants in the bioeffects induced by low energy heavy ions, which has opened new opportunities for mutational breeding, gene transferring, cell modification, and cell fusion. In recent years, the ion beam implantation technique has been widely applied in many fields, and increasing research interest in the field has been seen. The authors summarize recent advances in research on the role of low-energy ions in terms of the mechanisms and applications

  9. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  10. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    Energy Technology Data Exchange (ETDEWEB)

    Sangwijit, K. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Bang Khen, Chiang Mai 50290 (Thailand); Pitakrattananukool, S. [School of Science, University of Phayao, Muang, Phayao 56000 (Thailand); Anuntalabhochai, S. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand)

    2015-12-15

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 10{sup 12} to 1 × 10{sup 17} ions/cm{sup 2} treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  11. Accurate depth profiling for ultra-shallow implants using backside-SIMS

    International Nuclear Information System (INIS)

    Hongo, Chie; Tomita, Mitsuhiro; Takenaka, Miyuki

    2004-01-01

    We studied methods for accurate depth profiling for ultra-shallow implants using backside-SIMS. For the measurement of ultra-shallow profiles, the effects of surface transient and atomic mixing are not negligible. Therefore, we applied backside-SIMS to analyze ultra-shallow doping in order to exclude these effects. Backside-SIMS profiles show a sharper ion implantation tail than surface-side-SIMS profiles. In addition, the primary ion energy dependence becomes weaker when backside-SIMS is used [Surf. Interf. Anal. 29 (2000) 362; Appl. Surf. Sci. 203-204 (2003) 264; J. Vac. Sci. Technol. B 21 (2003) 1422]. However, the peak concentration of the backside sample was lower than that of the surface-side sample. Therefore, the sample flatness was estimated using the SIMS response function. Furthermore, SIMS profiles were simulated using SIMS response functions. This simulation shows how the sample flatness affects the SIMS profile

  12. Nano-patterning of perpendicular magnetic recording media by low-energy implantation of chemically reactive ions

    International Nuclear Information System (INIS)

    Martin-Gonzalez, M.S.; Briones, F.; Garcia-Martin, J.M.; Montserrat, J.; Vila, L.; Faini, G.; Testa, A.M.; Fiorani, D.; Rohrmann, H.

    2010-01-01

    Magnetic nano-patterning of perpendicular hard disk media with perpendicular anisotropy, but preserving disk surface planarity, is presented here. Reactive ion implantation is used to locally modify the chemical composition (hence the magnetization and magnetic anisotropy) of the Co/Pd multilayer in irradiated areas. The procedure involves low energy, chemically reactive ion irradiation through a resist mask. Among N, P and As ions, P are shown to be most adequate to obtain optimum bit density and topography flatness for industrial Co/Pd multilayer media. The effect of this ion contributes to isolate perpendicular bits by destroying both anisotropy and magnetic exchange in the irradiated areas. Low ion fluences are effective due to the stabilization of atomic displacement levels by the chemical effect of covalent impurities.

  13. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  14. Ion beam studies. Part 1. The retardation of ion beams to very low energies in an implantation accelerator

    International Nuclear Information System (INIS)

    Freeman, J.H.; Temple, W.; Beanland, D.; Gard, G.A.

    1976-02-01

    The design and operation of a compact electrostatic lens for the retardation and focussing of high intensity beams of heavy ions down to energies in the range 10 to 1,000 eV is described. The use of such beams for low-energy ion implantation and for the production of uniform ion-deposited layers is outlined. The practical behaviour of the lens is shown to be in agreement with computer calculations and the theoretical model is used to delineate and explain the boundary conditions under which the focussing behaviour becomes anomalous. The calculated and measured effects of space-charge repulsion on the quality of focussing are compared and it is demonstrated that a simple retardation lens design can be effectively employed at high flux. (author)

  15. The temperature effect of low-energy ion beam implantation on seed

    International Nuclear Information System (INIS)

    Chang Shenghe; Su Mingjie; Qin Guangyong; Wu Yuping; Zhao Haizhen

    2005-01-01

    The temperature effects of low-energy ion beam implantation on the seed germination were studied. Maize dry seeds were covered with copy paper, aluminum foil and without cover, respectively. Results showed that the germination rate of the seeds covered with paper which was the bad heat transmitter was the highest among three treatments, while that covered with aluminum foil which can transmit heat energy well was the least. The germination rate of the seeds covered with nothing was the second. Temperature affected seeds germination markedly. Generally the temperature of the target room inhibited the seeds' germination. After minus the effects of the temperature in the target room, the germination rates of the seeds were modified in this paper. The modified germination rate curve was also provided. (authors)

  16. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  17. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  18. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  19. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  20. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  1. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  2. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  3. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  4. Ultra-low energy electrons from fast heavy-ion helium collisions: the `target Cusp`

    Energy Technology Data Exchange (ETDEWEB)

    Schmitt, W. [Freiburg Univ. (Germany)]|[Gesellschaft fuer Schwerionenforschung mbH, Darmstadt (Germany); Moshammer, R.; Kollmus, H.; Ullrich, J. [Freiburg Univ. (Germany); O`Rourke, F.S.C. [Queen`s Univ., Belfast, Northern Ireland (United Kingdom); Sarkadi, L. [Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete; Mann, R. [Gesellschaft fuer Schwerionenforschung mbH, Darmstadt (Germany); Hagmann, S. [Kansas State Univ., Manhattan, KS (United States). J.R. MacDonald Lab.; Olson, R.E. [Missouri Univ., Rolla, MO (United States). Dept. of Physics

    1998-09-01

    Doubly differential cross sections d{sup 2}{sigma}/dv {sub parallel} dv {sub perpendicular} {sub to} have been obtained by mapping the 3-dimensional velocity space of ultra-low and low-energy electrons (1.5 meV{<=} E{sub e}{<=}100 eV) emitted in singly ionizing 3.6 MeV/u Au{sup 53+} on helium collisions. A sharp ({Delta}E{sub e} {sub perpendicular} {sub to} {sup FWHM} {<=} 22 meV) asymmetric peak centered at vertical stroke anti {nu} vertical stroke =0 is observed to emerge at ultra-low energies from the strongly forward shifted low-energy electron velocity distribution. The shape of this ``target cusp``, which is very sensitive on the details of the two-center potential, is in excellent accord with theoretical CTMC and CDW-EIS predictions. (orig.)

  5. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M.V. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A.J. [Australian National Univ., Canberra, ACT (Australia); Treglio, J.R.

    1996-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  6. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M V [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A J [Australian National Univ., Canberra, ACT (Australia); Treglio, J R

    1997-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  7. Development of Linear Mode Detection for Top-down Ion Implantation of Low Energy Sb Donors

    Science.gov (United States)

    Pacheco, Jose; Singh, Meenakshi; Bielejec, Edward; Lilly, Michael; Carroll, Malcolm

    2015-03-01

    Fabrication of donor spin qubits for quantum computing applications requires deterministic control over the number of implanted donors and the spatial accuracy to within which these can be placed. We present an ion implantation and detection technique that allows us to deterministically implant a single Sb ion (donor) with a resulting volumetric distribution of performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. The work was supported by Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  8. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  9. Activation and thermal stability of ultra-shallow B+-implants in Ge

    International Nuclear Information System (INIS)

    Yates, B. R.; Darby, B. L.; Jones, K. S.; Petersen, D. H.; Hansen, O.; Lin, R.; Nielsen, P. F.; Romano, L.; Doyle, B. L.; Kontos, A.

    2012-01-01

    The activation and thermal stability of ultra-shallow B + implants in crystalline (c-Ge) and preamorphized Ge (PA-Ge) following rapid thermal annealing was investigated using micro Hall effect and ion beam analysis techniques. The residual implanted dose of ultra-shallow B + implants in Ge was characterized using elastic recoil detection and was determined to correlate well with simulations with a dose loss of 23.2%, 21.4%, and 17.6% due to ion backscattering for 2, 4, and 6 keV implants in Ge, respectively. The electrical activation of ultra-shallow B + implants at 2, 4, and 6 keV to fluences ranging from 5.0 × 10 13 to 5.0 × 10 15 cm −2 was studied using micro Hall effect measurements after annealing at 400–600 °C for 60 s. For both c-Ge and PA-Ge, a large fraction of the implanted dose is rendered inactive due to the formation of a presumable B-Ge cluster. The B lattice location in samples annealed at 400 °C for 60 s was characterized by channeling analysis with a 650 keV H + beam by utilizing the 11 B(p, α)2α nuclear reaction and confirmed the large fraction of off-lattice B for both c-Ge and PA-Ge. Within the investigated annealing range, no significant change in activation was observed. An increase in the fraction of activated dopant was observed with increasing energy which suggests that the surface proximity and the local point defect environment has a strong impact on B activation in Ge. The results suggest the presence of an inactive B-Ge cluster for ultra-shallow implants in both c-Ge and PA-Ge that remains stable upon annealing for temperatures up to 600 °C.

  10. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted $^{163}$Ho ions

    CERN Document Server

    Gastaldo, L.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of $^{163}$Ho using MMCs having the radioactive $^{163}$Ho ions implanted in the absorber. The implantation of $^{163}$Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. In addition an optimized detector design for future $^{163}$Ho experiments is presented.

  11. Activation and thermal stability of ultra-shallow B+-implants in Ge

    DEFF Research Database (Denmark)

    Yates, B. R.; Darby, B. L.; Petersen, Dirch Hjorth

    2012-01-01

    The activation and thermal stability of ultra-shallow B+ implants in crystalline (c-Ge) and preamorphized Ge (PA-Ge) following rapid thermal annealing was investigated using micro Hall effect and ion beam analysis techniques. The residual implanted dose of ultra-shallow B+ implants in Ge...... from 5.0 × 1013 to 5.0 × 1015 cm-2 was studied using micro Hall effect measurements after annealing at 400-600 °C for 60 s. For both c-Ge and PA-Ge, a large fraction of the implanted dose is rendered inactive due to the formation of a presumable B-Ge cluster. The B lattice location in samples annealed...... was characterized using elastic recoil detection and was determined to correlate well with simulations with a dose loss of 23.2%, 21.4%, and 17.6% due to ion backscattering for 2, 4, and 6 keV implants in Ge, respectively. The electrical activation of ultra-shallow B+ implants at 2, 4, and 6 keV to fluences ranging...

  12. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  13. Theoretical ion implantation profiles for low energy protons under channeling conditions

    International Nuclear Information System (INIS)

    Nobel, J.A.; Sabin, J.R.; Trickey, S.B.

    1994-01-01

    The authors present early results from the CHANNEL code, which simulates the passage of ionized projectiles through bulk solids. CHANNEL solves the classical equations of motion for the projectile using a force obtained from the gradient of the quantum mechanically derived coulombic potential of the solid (determined via a full potential augmented plane wave (FLAPW) calculation on the bulk) and a quantum mechanical energy dissipation term, the stopping power, as determined from the method of Echenique, Neiminen, and Ritchie. The code then generates the trajectory of the ionic projectile for a given incident position on the unit cell face and an initial velocity. The authors use CHANNEL to generate an ion (proton) implantation profile for the test case of simple cubic hydrogen with the projectile's initial velocity parallel to the (100) channel. Further preliminary results for ion implantation profiles of protons in diamond structure Si, with initial velocity along the (100) and (110) channels, are given

  14. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    Science.gov (United States)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  15. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    International Nuclear Information System (INIS)

    Gastaldo, L.; Ranitzsch, P.C.-O.; Seggern, F. von; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163 Ho using MMCs having the radioactive 163 Ho ions implanted in the absorber. The isotope 163 Ho decays through electron capture to 163 Dy and features the smallest known Q EC value. This peculiarity makes 163 Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163 Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163 Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163 Ho experiments is presented

  16. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  17. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  18. Electrical activation and spin coherence of ultra low doseantimony implants in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Tyryshkin, A.M.; de Sousa, R.; Whaley, K.B.; Bokor,J.; Liddle, J.A.; Persaud, A.; Shangkuan, J.; Chakarov, I.; Lyon, S.A.

    2005-07-13

    We implanted ultra low doses (0.2 to 2 x 10{sup 11} cm{sup -2}) of Sb ions into isotopically enriched {sup 28}Si, and probed electrical activation and electron spin relaxation after rapid thermal annealing. Strong segregation of dopants towards both Si{sub 3}N{sub 4} and SiO{sub 2} interfaces limits electrical activation. Pulsed Electron Spin Resonance shows that spin echo decay is sensitive to the dopant profiles, and the interface quality. A spin decoherence time, T{sub 2}, of 1.5 ms is found for profiles peaking 25 nm below a Si/SiO{sub 2} interface, increasing to 2.1 ms when the surface is passivated with hydrogen. These measurements provide benchmark data for the development of devices in which quantum information is encoded in donor electron spins.

  19. Aerosol nucleation in an ultra-low ion density environment

    DEFF Research Database (Denmark)

    Pedersen, Jens Olaf Pepke; Enghoff, Martin Andreas Bødker; Paling, Sean M.

    2012-01-01

    Ion-induced nucleation has been studied in a deep underground ultra-low background radiation environment where the role of ions can be distinguished from alternative neutral aerosol nucleation mechanisms. Our results demonstrate that ions have a significant effect on the production of small...... sulfuric acid–water clusters over a range of sulfuric acid concentrations although neutral nucleation mechanisms remain evident at low ionization levels. The effect of ions is found both to enhance the nucleation rate of stable clusters and the initial growth rate. The effects of possible contaminations...

  20. The synthesis of nucleotide in the aqueous solution induced by low energy ions

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2000-08-01

    A new apparatus was designed to induce reactions in aqueous solution by introducing low energy ions into the aqueous solution, this apparatus overcome the defaults of the old ones which demanded vacuum and made it possible to study the action among solutions, it also expanded the ion implantation biology. The role of low energy ions was introduced into the study of the origin of life, primitive earth conditions were imitated to study prior-life synthesis of nucleotide by introducing low energy ions into aqueous solution, low energy N + was implanted into adenine supersaturation solution including D-ribose and NH 4 H 2 PO 4 , it was confirmed that 5'-AMP was gained by HPLC analysis of the products. In comparison with other methods in this field, this one is simpler and nearer to the primitive earth conditions, thus it provided a new try for the studying of the origin of life

  1. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  2. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  3. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    Science.gov (United States)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  4. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  5. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  6. Deep levels induced by low energy B+ implantation into Ge-preamorphised silicon in correlation with end of range formation

    International Nuclear Information System (INIS)

    Benzohra, Mohamed; Olivie, Francois; Idrissi-Benzohra, Malika; Ketata, Kaouther; Ketata, Mohamed

    2002-01-01

    It is well established that low energy B + ion implantation into Ge- (or Si) implantation pre-amorphised silicon allows ultra-shallow p + n junctions formation. However, this process is known to generate defects such as dislocation loops, vacancies and interstitials which can act as vehicles to different mechanisms inducing electrically active levels into the silicon bulk. The junctions studied have been obtained using 3 keV/10 15 cm -2 B + implantation into Ge-implantation pre-amorphised substrates and into a reference crystalline substrate. Accurate measurements using deep level transient spectroscopy (DLTS) and isothermal transient capacitance ΔC(t,T) were performed to characterise these levels. Such knowledge is crucial to improve the device characteristics. In order to sweep the silicon band gap, various experimental conditions were considered. The analysis of DLTS spectra have first showed three deep levels associated to secondary induced defects. Their concentration profiles were derived from isothermal transient capacitance at depths up to 3.5 μm into the silicon bulk and allowed us to detect a new deep level. The evolution of such defect distribution in correlation with the technological steps is discussed. The end of range (EOR) defect influence on electrical activity of secondary induced defects in ultra-shallow p + n diodes is clearly demonstrated

  7. Electronic structure of xenon implanted with low energy in amorphous silicon

    International Nuclear Information System (INIS)

    Barbieri, P.F.; Landers, R.; Oliveira, M.H. de; Alvarez, F.; Marques, F.C.

    2007-01-01

    Electronic structure of Xe implanted in amorphous silicon (a-Si) films are investigated. Xe atoms were implanted with low energy by ion beam assisted deposition (IBAD) technique during growth of the a-Si films. The Xe implantation energy varied in the 0-300 eV energy range. X-ray photoelectron spectroscopy (XPS), X-ray Auger excited spectroscopy (XAES) and X-ray absorption spectroscopy (XAS) were used for investigating the Xe electronic structure. The Xe M 4 N 45 N 45 transitions were measured to extract the Auger parameter and to analyze the initial state and relaxation contributions. It was found that the binding energy variation is mainly due to initial state contribution. The relaxation energy variation also shows that the Xe trapped environment depends on the implantation energy. XAS measurements reveals that Xe atoms are dispersed in the a-Si matrix

  8. Damaging Effect of Low Energy N+ Implantation on Aspergillus niger Spores

    International Nuclear Information System (INIS)

    Wang Lisheng; Cai Kezhou; Cheng Maoji; Chen Lijuan; Liu Xuelan; Zhang Shuqing; Yu Zengliang

    2007-01-01

    The mutant effects of a keV range nitrogen ion (N + ) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N + implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms

  9. Quantitative depth profiling of near surface semiconductor structures using ultra low energy SIMS analysis

    International Nuclear Information System (INIS)

    Elliner, D.I.

    1999-09-01

    The continual reduction in size of semiconductor structures and depths of junctions is putting a greater strain on characterization techniques. Accurate device and process modelling requires quantified electrical and dopant profiles from the topmost few nanometres. Secondary ion mass spectrometry (SIMS) is an analytical technique commonly used in the semiconductor industry to measure concentration depth profiles. To allow the quantification of the features that are closer to the surface, lower energy ions are employed, which also improves the available depth resolution. The development of the floating ion gun (FLIG) has made it possible to use sub keV beam energies on a routine basis, allowing quantified dopant profiles to be obtained within the first few nanometres of the surface. This thesis demonstrates that, when profiling with oxygen ion beams, greatest certainty in the retained dose is achieved at normal incidence, and when analysing boron accurate profile shapes are only obtained when the primary beam energy is less than half that of the implant. It was shown that it is now possible to profile, though with slower erosion rates and a limited dynamic range, with 100 eV oxygen (0 2 + ) ion beams. Profile features that had developed during rapid thermal annealing, that could only be observed when ultra low energy ion beams were used, were investigated using various analytical techniques. Explanations of the apparently inactive dopant were proposed, and included suggestions for cluster molecules. The oxide thickness of fully formed altered layers has also been investigated. The results indicate that a fundamental change in the mechanism of oxide formation occurs, and interfaces that are sharper than those grown by thermal oxidation can be produced using sub-keV ion beams. (author)

  10. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  11. Study on rice transformation mediated by low energy ion beam implantation

    International Nuclear Information System (INIS)

    Li Hong; Wu Lifang; Yu Zengliang

    2001-01-01

    Delivery of foreign DNA into rice via ion beam was first reported in 1994. In recent years we have aimed to set up efficient transformation system mediated by low energy ion beam. The factors that influence the transformation including type of ion, parameters of ion energy, dose and dose rate, plant genotype, composition of media, concentration of hormones and antibiotics were carefully investigated. Treated with 25ke V Ar + , the transformation efficiencies of the mature embryos of rice variety 02428, Hua pei94-jian-09 and Minghui63 reached 11%, 11.4% and 7.1% measured by produced antibiotic resistant callus and l.52%, 1.87% and l.13% measured by regenerated plants respectively. PCR detection and Southern blot analysis showed that GUS report gene had inserted in rice genome. Low energy ion beam mediated gene transfer will be extended to other cereal recalcitrant to Agrobacterium tumefaciens as soon as methodological parameters were optimized. (authors)

  12. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  13. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Science.gov (United States)

    Nassisi, Vincenzo; Delle Side, Domenico; Turco, Vito; Martina, Luigi

    2018-01-01

    In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS) coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  14. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Directory of Open Access Journals (Sweden)

    Nassisi Vincenzo

    2018-01-01

    Full Text Available In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  15. The use of low energy ion beams for the growth and processing of solid materials

    International Nuclear Information System (INIS)

    Armour, D.G.; Al-Bayati, A.H.; Gordon, J.S.

    1992-01-01

    Low energy ion bombardment forms the basis of ion assisted etching and growth of materials in plasma and ion beam systems. The growing demands for low temperature, highly controlled processing has led a rapid increase in both the application of low energy beams and the study of the fundamental ion surface interactions involved. The growth in the practical applications of ion beams in the few eV to a few hundred eV range has presented new problems in the production and transport of ion beams and has led to the development of highly specialised, ultra-low energy systems. These technological developments, in conjunction with the improvements in understanding of fundamental processes have widened the range of applications of low energy beams. (author) 52 refs

  16. A Study of Mutation Breeding of High-Yielding Tryptophanase Escherichia coli by Low-Energy N+ Ion Beam Implantation

    International Nuclear Information System (INIS)

    Pang Min; Yao Jianming; Wang Dongmei

    2009-01-01

    Low energy ion beam has been widely applied in microbe breeding, plant breeding, gene transfer and cell modification. In this study, the Escherichia coli (E.coli) strain producing tryptophanase was irradiated by a low energy nitrogen ion beam with an energy of 10 keV at a fluence of 13 x 10 14 N + /cm 2 when glycerin at a 15% concentration was used as a protector. The effect on the biomass of E. coli after N + implantation was analyzed in detail by statistic methods. The screening methods used in this study were proven to be effective. After continuous mutagenicity, a high-yield tryptophanase strain was selected and both its biomass and enzymatic activity were higher than those of the parent strain. The results of scale-up production showed that the biomass could reach wet weight 8.2 g/L and 110 g L-tryptophan could be formed in the volume of the 1l enzymatic reaction system.

  17. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  18. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  19. Implanting very low energy atomic ions into surface adsorbed cage molecules: the formation/emission of Cs/C60+

    International Nuclear Information System (INIS)

    Kolodney, Eli; Kaplan, Andrey; Manor, Yoni; Bekkerman, Anatoly; Tsipinyuk, Boris

    2004-01-01

    Full Text: We demonstrate the formation of an endo-complex via a collision of energetic ions with molecular overlayers on a surface. An incoming atomic ion is encapsulated inside a very large molecule or cluster by implanting the primary ion into the target species, which then recovers its original structure or rearrange itself around the implanted ion in some stable configuration. Here we describe an experiment resulting in the formation and ejection of an endo-complex, within a single collision. We study the formation and emission of endohedral fullerenes, Cs/C 60 + and Cs/C 70 + , following a single collision of Cs + ion with a sub-monolayer of C 60 (steady state coverage) on gold and silicon surfaces and with a sub-monolayer of C 70 on gold. A continuous low energy (E 0 =35-220 eV) Cs + ion beam hit the Cs + covered surface and the collisional formation and ejection of the endohedral Cs/Cs 60 + complex, within a single Cs + /C 60 collision was observed and characterized. Several experimental observations clearly demonstrate the single collision nature of the combined atom penetration endo-complex ejection event. The fullerene molecule is actually being picked up off the surface by the penetrating Cs + ion. The evidence for the trapping of the Cs + ion inside the fullerene cage is given both by the appearance of the Cs/Cs (602-2n) + (n=1-5) sequence and its termination at Cs/Cs 50 + . Kinetic Energy Distributions (KEDs) of the outgoing Cs/Cs 60 + were measured for two different Cs + impact energies under field-free conditions. The most striking observation is the near independence of the KEDs on the impact energy. Both KEDs peak around 1.2 eV with similar line shapes. A simple model for the formation/ejection/fragmentation dynamics of the endohedral complex is proposed and is found to be in good agreement with the experimental results

  20. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    International Nuclear Information System (INIS)

    Xu Gang; Wang Xiaoteng; Gan Cailing; Fang Yanqiong; Zhang Meng

    2012-01-01

    Highlights: ► We analyzed biological effects of N + implantation on dry Jatropha curcas seed. ► N + implantation greatly decreased seedling survival rate. ► At doses beyond 15 × 10 16 ion cm −2 , biological repair took place. ► CAT was essential for H 2 O 2 removal. POD mainly functioned as seed was severely hurt. ► HAsA–GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N + with energy of 25 keV was applied to treat the dry seed at six different doses. N + beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 10 16 to 15 × 10 16 ions cm −2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 10 16 ion cm −2 , biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 10 16 ions cm −2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA–GSH cycle appeared to be for regeneration of HAsA.

  1. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  2. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  3. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  4. Origins of low resistivity in Al ion-implanted ZnO bulk single crystals

    Science.gov (United States)

    Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2011-06-01

    The origins of low resistivity in Al ion-implanted ZnO bulk single crystals are studied by combining Rutherford backscattering spectroscopy (RBS), nuclear reaction analysis (NRA), photoluminescence (PL), and Van der Pauw methods. The Al-ion implantation (peak concentration: 2.6 × 1020cm-3) into ZnO is performed using a multiple-step energy. The resistivity decreases from ˜104 Ω cm for un-implanted ZnO to 1.4 × 10-1 Ω cm for as-implanted, and reaches 6.0 × 10-4 Ω cm for samples annealed at 1000 °C. RBS and NRA measurements for as-implanted ZnO suggest the existence of the lattice displacement of Zn (Zni) and O (Oi), respectively. After annealing at 1000 °C, the Zni related defects remain and the Oi related defects disappear. The origin of the low resistivity in the as-implanted sample is attributed to the Zni (˜30 meV [Look et al., Phys. Rev. Lett. 82, 2552 (1999)]). In contrast, the origin of the low resistivity in the sample annealed at 1000 °C is assigned to both of the Zni related defects and the electrically activated Al donor. A new PL emission appears at around 3.32 eV after annealing at 1000 °C, suggesting electrically activated Al donors.

  5. Optimization of L(+)-Lactic Acid Fermentation Without Neutralisation of Rhizopus Oryzae Mutant RK02 by Low-Energy Ion Implantation

    International Nuclear Information System (INIS)

    Li Wen; Wang Tao; Yang Yingge; Liu Dan; Fan Yonghong; Wang Dongmei; Yang Qian; Yao Jianming; Zheng Zhiming; Yu Zengliang

    2008-01-01

    In order to get an industrial strain which can yield a high concentration of lactic acid for ISPR (in situ product removal), the original strain Rhizopus oryzae RE3303 was mutated by low-energy ion beam implantation. A mutant RK02 was screened, and the factors such as the substrate concentration, nitrogen source concentration, inoculum size, seed age, aeration and temperature that affect the production of lactic acid were studied in detail. Under optimal conditions, the maximum concentration of L(+)-lactic acid reached 34.85 g/L after 30 h shake-flask cultivation without adding any neutralisation (5% Glucose added), which was a 146% increase in lactic acid production after ion implantation compared with the original strain. It was also shown that RK02 can be used in ISPR to reduce the number of times of separation.

  6. Damaging Effect of Low Energy N{sup +} Implantation on Aspergillus niger Spores

    Energy Technology Data Exchange (ETDEWEB)

    Lisheng, Wang [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Kezhou, Cai [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Maoji, Cheng [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Lijuan, Chen [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Xuelan, Liu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Shuqing, Zhang [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Zengliang, Yu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China)

    2007-06-15

    The mutant effects of a keV range nitrogen ion (N{sup +}) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N{sup +} implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms.

  7. Combined quantum-mechanics/molecular-mechanics dynamics simulation of A-DNA double strands irradiated by ultra-low-energy carbon ions

    Energy Technology Data Exchange (ETDEWEB)

    Ngaojampa, C.; Nimmanpipug, P. [Computer Simulation and Modeling Laboratory (CSML), Department of Chemistry and Center for Innovation Chemistry, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@fnrf.science.cmu.ac.t [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Anuntalabhochai, S. [Molecular Biology Laboratory, Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Lee, V.S., E-mail: vannajan@gmail.co [Computer Simulation and Modeling Laboratory (CSML), Department of Chemistry and Center for Innovation Chemistry, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2011-02-15

    In order to promote understanding of the fundamentals of ultra-low-energy ion interaction with DNA, molecular dynamics simulations using combined quantum-mechanics/molecular-mechanics of poly-AT and poly-GC A-DNA double strands irradiated by <200 eV carbon ions were performed to investigate the molecular implications of mutation bias. The simulations were focused on the responses of the DNA backbones and nitrogenous bases to irradiation. Analyses of the root mean square displacements of the backbones and non-hydrogen atoms of base rings of the simulated DNA structure after irradiation revealed a potential preference of DNA double strand separation, dependent on the irradiating energy. The results show that for the backbones, the large difference in the displacement between poly-GC and poly-AT in the initial time period could be the reason for the backbone breakage; for the nitrogenous base pairs, A-T is 30% more sensitive or vulnerable to ion irradiation than G-C, demonstrating a preferential, instead of random, effect of irradiation-induced mutation.

  8. Combined quantum-mechanics/molecular-mechanics dynamics simulation of A-DNA double strands irradiated by ultra-low-energy carbon ions

    International Nuclear Information System (INIS)

    Ngaojampa, C.; Nimmanpipug, P.; Yu, L.D.; Anuntalabhochai, S.; Lee, V.S.

    2011-01-01

    In order to promote understanding of the fundamentals of ultra-low-energy ion interaction with DNA, molecular dynamics simulations using combined quantum-mechanics/molecular-mechanics of poly-AT and poly-GC A-DNA double strands irradiated by <200 eV carbon ions were performed to investigate the molecular implications of mutation bias. The simulations were focused on the responses of the DNA backbones and nitrogenous bases to irradiation. Analyses of the root mean square displacements of the backbones and non-hydrogen atoms of base rings of the simulated DNA structure after irradiation revealed a potential preference of DNA double strand separation, dependent on the irradiating energy. The results show that for the backbones, the large difference in the displacement between poly-GC and poly-AT in the initial time period could be the reason for the backbone breakage; for the nitrogenous base pairs, A-T is 30% more sensitive or vulnerable to ion irradiation than G-C, demonstrating a preferential, instead of random, effect of irradiation-induced mutation.

  9. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  10. Effect of B+ Flux on the electrical activation of ultra-shallow B+ implants in Ge

    DEFF Research Database (Denmark)

    Yates, B.R.; Darby, B.L.; Petersen, Dirch Hjorth

    2012-01-01

    + implants at 2 keV to a dose of 5.0×1014 cm-2 at beam currents ranging from 0.4 to 6.4 mA has been studied using micro Hall effect measurements after annealing at 400°C for 60 s. It has been shown that the sheet number increases with beam current across the investigated range with electrical activation......The residual implanted dose of ultra-shallow B+ implants in Ge was characterized using elastic recoil detection and was determined to correlate well with simulations with a dose loss of 23% due to ion backscattering for 2 keV implants in Ge. The electrical characterization of ultra-shallow B...... being 76% higher at 6.4 mA as compared to 0.4mA. However, at 6.4 mA, the electrically active fraction remained low at 11.4%. Structural characterization revealed that the implanted region remained crystalline and amorphization is not able to explain the increased activation. The results suggest...

  11. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  12. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  13. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kordyasz, A.J.; Bednarek, A. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); Le Neindre, N.; Bougault, R.; Lopez, O.; Merrer, Y.; Vient, E. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); Parlog, M. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); ' ' Horia Hulubei' ' National Institute of Physics and Nuclear Engineering (IFIN-HH), Bucharest Magurele (Romania); Casini, G.; Poggi, G.; Bini, M.; Valdre, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S. [INFN Firenze, Sesto Fiorentino (Italy); Universita di Firenze, Sesto Fiorentino (Firenze) (Italy); Kowalczyk, M. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Frankland, J.D.; Bonnet, E.; Chbihi, A.; Gruyer, D. [CEA et IN2P3-CNRS, GANIL, Caen-Cedex 05 (France); Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M.F.; Salomon, F. [IN2P3-CNRS, Institut de Physique Nucleaire, Orsay-Cedex (France); Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E. [Universita di Napoli ' ' Federico II' ' , Dipartimento di Scienze Fisiche, Napoli (Italy); INFN, Napoli (Italy); Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M. [INFN, Bologna (Italy); Universita di Bologna, Bologna (Italy); Alba, R.; Santonocito, D.; Maiolino, C. [INFN, Catania (Italy); Universita di Catania, LNS, Catania (Italy); Cinausero, M.; Gramegna, F.; Marchi, T. [INFN LNL Legnaro, Legnaro (Padova) (Italy); Kozik, T.; Kulig, P.; Twarog, T.; Sosin, Z. [Jagiellonian University, Cracow (Poland); Gasior, K.; Grzeszczuk, A.; Zipper, W. [University of Silesia, Silesian University, Katowice (Poland); Sarnecki, J.; Lipinski, D.; Wodzinska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyzak, K. [Institute of Electronic Materials Technology, Warsaw (Poland); Tarasiuk, K.J. [University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Khabanowa, Z. [Faculty of Physics, Warsaw University of Technology, Warsaw (Poland); Kordyasz, L. [Warsaw University of Technology, Faculty of Mechatronics, Institute of Mikromechanics and Photonics, Department of Design of Precision Devices, Warsaw (Poland)

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R and D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B{sup +} ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from {sup 241}Am (left angle E{sub α} right angle = 5.5 MeV). Preliminary tests on the first thin detector (area ∼ 20 x 20 mm{sup 2}) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction {sup 84}Kr (E = 35 A MeV) + {sup 112}Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge. (orig.)

  14. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  15. Influence of low energy N+ ions pre-treatment on damage effects of UV-B irradiation on M1 rice

    International Nuclear Information System (INIS)

    Zhao Shuaipeng; Huang Qunce; Chen Xueneng

    2011-01-01

    The seedlings of rice (xindao18) were exposed to UV-B (10.08 kJ/(m 2 ·d 1 )) irradiation following the pretreatment with three different implantation dosages of low-energy N + ions. Changes in the levels of the superoxide (POD), superoxide dismutase (SOD), catalase (CAT), malondialdehyde (MDA), glutathione (GSH) and soluble sugar were measured. The result showed that the UV-B irradiation on the seedlings of rice pretreated with low-energy ions implantation could lead to increase activities in POD and SOD, and the maximum appeared on the dose of 2.0 x 10 17 ions/cm 2 . Meanwhile, it made the content of GSH increased, and caused the activity of CAT and the content of MDA to be decreased. But there was no obvious change in soluble sugar. It was suggested that the rice pretreated by low energy ion implantation could enhance the antioxidation capacity and defensive ability when irradiated by UV-B, and the antioxidation system could be induced earlier than carbohydrate system. Therefore,the biological effects of UV-B irradiation on rice pretreated by low energy ion implantation were quite obvious. (authors)

  16. Magnesium aluminate planar waveguides fabricated by C-ion implantation with different energies and fluences

    Energy Technology Data Exchange (ETDEWEB)

    Song, Hong-Lian; Yu, Xiao-Fei; Zhang, Lian; Wang, Tie-Jun; Qiao, Mei; Zhang, Jing; Liu, Peng; Wang, Xue-Lin, E-mail: xuelinwang@sdu.edu.cn

    2015-11-01

    We report on MgAl{sub 2}O{sub 4} planar waveguides produced using different energies and fluences of C-ion implantation at room temperature. Based on the prism coupling method and end-face coupling measurements, light could propagate in the C-ion-implanted samples. The Raman spectra results indicate that the MgAl{sub 2}O{sub 4} crystal lattice was damaged during the multi-energy C implantation process, whereas the absorption spectra were hardly affected by the C-ion implantation in the visible and infrared bands.

  17. Emittance measurements in low energy ion storage rings

    Science.gov (United States)

    Hunt, J. R.; Carli, C.; Resta-López, J.; Welsch, C. P.

    2018-07-01

    The development of the next generation of ultra-low energy antiproton and ion facilities requires precise information about the beam emittance to guarantee optimum performance. In the Extra-Low ENergy Antiproton storage ring (ELENA) the transverse emittances will be measured by scraping. However, this diagnostic measurement faces several challenges: non-zero dispersion, non-Gaussian beam distributions due to effects of the electron cooler and various systematic errors such as closed orbit offsets and inaccurate rms momentum spread estimation. In addition, diffusion processes, such as intra-beam scattering might lead to emittance overestimates. Here, we present algorithms to efficiently address the emittance reconstruction in presence of the above effects, and present simulation results for the case of ELENA.

  18. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Energy Technology Data Exchange (ETDEWEB)

    Xu Gang, E-mail: xg335300@yahoo.com.cn [Center for Research and Development of Fine Chemicals, Guizhou University, Guiyang 550025 (China); Institute of Entomology, Guizhou University, Guiyang 550025 (China); Wang Xiaoteng [Department of Agricultural Resources and Environment, College of Agricultural, Guizhou University, Guiyang 550025 (China); Gan Cailing; Fang Yanqiong; Zhang Meng [College of Life Sciences, Guizhou University, Guiyang 550025 (China)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer We analyzed biological effects of N{sup +} implantation on dry Jatropha curcas seed. Black-Right-Pointing-Pointer N{sup +} implantation greatly decreased seedling survival rate. Black-Right-Pointing-Pointer At doses beyond 15 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place. Black-Right-Pointing-Pointer CAT was essential for H{sub 2}O{sub 2} removal. POD mainly functioned as seed was severely hurt. Black-Right-Pointing-Pointer HAsA-GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N{sup +} with energy of 25 keV was applied to treat the dry seed at six different doses. N{sup +} beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 Multiplication-Sign 10{sup 16} to 15 Multiplication-Sign 10{sup 16} ions cm{sup -2} severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 Multiplication-Sign 10{sup 16} ions cm{sup -2} may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  19. A low-energy ion source for p-type doping in MBE

    International Nuclear Information System (INIS)

    Park, R.M.; Stanley, C.R.; Clampitt, R.

    1980-01-01

    A compact low-energy ion cell has been developed for use as a source of acceptor impurities for the growth of p-type semiconductor material in ultra-high vacuum by molecular beam epitaxy. A flux of either zinc or cadmium atoms is emitted under molecular effusion conditions and partially ionised in the orifice of the cell by electron bombardment. The design provides for control of both the ion energy and current at constant cell temperature. (100)InP has been grown by MBE in a flux of 1 keV Zn ions. The surface morphology and crystal structure show no degradation when compared with (100)InP grown without the Zn ions present. (author)

  20. Advanced 65 nm CMOS devices fabricated using ultra-low energy plasma doping

    International Nuclear Information System (INIS)

    Walther, S.; Lenoble, D.; Lallement, F.; Grouillet, A.; Erokhin, Y.; Singh, V.; Testoni, A.

    2005-01-01

    For leading edge CMOS and DRAM technologies, plasma doping (PLAD) offers several unique advantages over conventional beamline implantation. For ultra-low energy source and drain extensions (SDE), source drain contact and high dose poly doping implants PLAD delivers 2-5x higher throughput compared to beamline implanters. In this work we demonstrate process performance and process integration benefits enabled by plasma doping for advanced 65 nm CMOS devices. Specifically, p + /n ultra-shallow junctions formed with BF 3 plasma doping have superior X j /R s characteristics to beamline implants and yield up to 30% lower R s for 20 nm X j while using standard spike anneal with ramp-up rate of 75 deg. C/s. These results indicate that PLAD could extend applicability of standard spike anneal by at least one technology node past 65 nm. A CMOS split lot has been run to investigate process integration advantages unique to plasma doping and to determine CMOS device characteristics. Device data measured on 65 nm transistors fabricated with offset spacers indicate that devices with SDE formed by plasma doping have superior V t roll-off characteristics arguably due to improved lateral gate-overlap of PLAD SDE junctions. Furthermore, offset spacers could be eliminated in 65 nm devices with PLAD SDE implants while still achieving V t roll-off and I on -I off performance at least equivalent to control devices with offset spacers and SDE formed by beamline implantation. Thus, another advantage of PLAD is simplified 65 nm CMOS manufacturing process flow due to elimination of offset spacers. Finally, we present process transfer from beamline implants to PLAD for several applications, including SDE and gate poly doping with very high productivity

  1. Study in mutation of alfalfa genome DNA due to low energy N+ implantation using RAPD

    International Nuclear Information System (INIS)

    Chen Roulei; Song Daojun; Yu Zengliang; Li Yufeng; Liang Yunzhang

    2001-01-01

    After implanted by various dosage N + beams, germination rate of alfalfa seeds appears to be saddle line with dosage increasing. The authors have studied in mutation of genome DNA due to low energy N + implantation, and concluded that 30 differential DNA fragments have been amplified by 8 primers (S 41 , S 42 , S 45 , S 46 , S 50 , S 52 , S 56 , S 58 ) in 100 primers, moreover, number of differential DNA fragments between CK and treatments increases with dosage. Consequently, low energy ion implantation can cause mutation of alfalfa genome DNA. The more dosage it is, the more mutation alfalfa will be

  2. Corrosion behavior of low energy, high temperature nitrogen ion ...

    Indian Academy of Sciences (India)

    Corrosion behavior of low energy, high temperature nitrogen ion-implanted AISI 304 stainless steel. M GHORANNEVISS1, A SHOKOUHY1,∗, M M LARIJANI1,2,. S H HAJI HOSSEINI 1, M YARI1, A ANVARI4, M GHOLIPUR SHAHRAKI1,3,. A H SARI1 and M R HANTEHZADEH1. 1Plasma Physics Research Center, Science ...

  3. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  4. An online low energy gaseous ion source

    International Nuclear Information System (INIS)

    Jin Shuoxue; Guo Liping; Peng Guoliang; Zhang Jiaolong; Yang Zheng; Li Ming; Liu Chuansheng; Ju Xin; Liu Shi

    2010-01-01

    The accumulation of helium and/or hydrogen in nuclear materials may cause performance deterioration of the materials. In order to provide a unique tool to investigate the He-and/or H-caused problems, such as interaction of helium with hydrogen and defects, formation of gas bubbles and its evolution, and the related effects, we designed a low energy (≤ 20 keV) cold cathode Penning ion source, which will be interfaced to a 200 kV transmission electron microscope (TEM), for monitoring continuously the evolution of micro-structure during the He + or H + ion implantation. Studies on discharge voltage-current characteristics of the ion source, and extraction and focusing of the ion beam were performed. The ion source works stably with 15-60 mA of the discharge current.Under the gas pressure of 5 x 10 -3 Pa and 1.5 x 10 -2 Pa, the discharge voltage are about 380 V and 320 V, respectively. The extracted ion current under lower gas pressure is greater than that under higher gas pressure, and it increases with the discharge current and extraction voltage. The ion lens consisting of three equal-diameter metal cylinder focus the ion beam effectively, so that the beam density at the 150 cm away from the lens exit increases by a over one order of magnitude. For ion beams of around 10 keV, the measured beam density is about 200 nA · cm -2 , which is applicable for ion implantation and in situ TEM observation for many kinds of nuclear materials. (authors)

  5. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  6. On the use of thin ion implanted Si detectors in heavy ion experiments

    International Nuclear Information System (INIS)

    Lavergne-Gosselin, L.; Stab, L.; Lampert, M.O.

    1988-10-01

    We present test results on the use of thin ion implanted epitaxial Si detectors for registration of low- and medium energy heavy fragments in nuclear reactions. A linear energy response for very low energy nuclei has been observed. A test of 10 μm + 300 μm telescopes under realistic experimental conditions for heavy ion experiments exhibits the possibilities to use these detectors for the measurements of multifragmentation products. (authors)

  7. Continuous operation of an ultra-low-power microcontroller using glucose as the sole energy source.

    Science.gov (United States)

    Lee, Inyoung; Sode, Takashi; Loew, Noya; Tsugawa, Wakako; Lowe, Christopher Robin; Sode, Koji

    2017-07-15

    An ultimate goal for those engaged in research to develop implantable medical devices is to develop mechatronic implantable artificial organs such as artificial pancreas. Such devices would comprise at least a sensor module, an actuator module, and a controller module. For the development of optimal mechatronic implantable artificial organs, these modules should be self-powered and autonomously operated. In this study, we aimed to develop a microcontroller using the BioCapacitor principle. A direct electron transfer type glucose dehydrogenase was immobilized onto mesoporous carbon, and then deposited on the surface of a miniaturized Au electrode (7mm 2 ) to prepare a miniaturized enzyme anode. The enzyme fuel cell was connected with a 100 μF capacitor and a power boost converter as a charge pump. The voltage of the enzyme fuel cell was increased in a stepwise manner by the charge pump from 330mV to 3.1V, and the generated electricity was charged into a 100μF capacitor. The charge pump circuit was connected to an ultra-low-power microcontroller. Thus prepared BioCapacitor based circuit was able to operate an ultra-low-power microcontroller continuously, by running a program for 17h that turned on an LED every 60s. Our success in operating a microcontroller using glucose as the sole energy source indicated the probability of realizing implantable self-powered autonomously operated artificial organs, such as artificial pancreas. Copyright © 2016 Elsevier B.V. All rights reserved.

  8. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  9. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Science.gov (United States)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  10. PHYSICS WITH ULTRA-LOW ENERGY ANTIPROTONS

    Energy Technology Data Exchange (ETDEWEB)

    M. HOLZSCHEITER

    2001-02-01

    In this report the author describes the current status of the antiproton deceleration (AD) facility at CERN, and highlights the physics program with ultra-low energy antiproton at this installation. He also comments on future possibilities provided higher intensity antiproton beams become available at Fermilab, and review possibilities for initial experiments using direct degrading of high energy antiprotons in material has been developed and proven at CERN.

  11. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    Energy Technology Data Exchange (ETDEWEB)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk (Russian Federation); Nikonenko, Elena, E-mail: vilatomsk@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Str., 634050, Tomsk (Russian Federation); Yurev, Ivan, E-mail: yiywork@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Kalashnikov, Mark, E-mail: kmp1980@mail.ru [Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk (Russian Federation); Kurzina, Irina, E-mail: kurzina99@mail.ru [National Research Tomsk State University, 36, Lenin Str., 634050, Tomsk (Russian Federation)

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a different effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.

  12. Scanning ion microscopy with low energy lithium ions

    International Nuclear Information System (INIS)

    Twedt, Kevin A.; Chen, Lei; McClelland, Jabez J.

    2014-01-01

    Using an ion source based on photoionization of laser-cooled lithium atoms, we have developed a scanning ion microscope with probe sizes of a few tens of nanometers and beam energies from 500 eV to 5 keV. These beam energies are much lower than the typical operating energies of the helium ion microscope or gallium focused ion beam systems. We demonstrate how low energy can be advantageous in ion microscopy when detecting backscattered ions, due to a decreased interaction volume and the potential for surface sensitive composition analysis. As an example application that demonstrates these advantages, we non-destructively image the removal of a thin residual resist layer during plasma etching in a nano-imprint lithography process. - Highlights: • We use an ion source based on photoionization of laser-cooled lithium atoms. • The ion source makes possible a low energy (500 eV to 5 keV) scanning ion microscope. • Low energy is preferred for ion microscopy with backscattered ions. • We use the microscope to image a thin resist used in nano-imprint lithography

  13. A simple ion implantation system for solar cells

    International Nuclear Information System (INIS)

    Kenny, M.J.; Bird, J.R.; Broe, H.G.

    1982-11-01

    A project has been initiated to investigate simple but effective ion implantation and pulsed annealing techniques for the fabrication of high efficiency silicon solar cells. In particular, the method aims to eliminate the mass analyser and associated components from the implanter. A solid feed source is used in a clean ultra high vacuum environment to minimise impurities

  14. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  15. Subnanosecond timing with ion-implanted detectors

    International Nuclear Information System (INIS)

    Rijken, H.A.; Klein, S.S.; Jacobs, W.; Teeuwen, L.J.H.G.W.; Voigt, M.J.A. de; Burger, P.

    1992-01-01

    The energy resolution of ion-implanted charged particle detectors may be improved by decreasing the thickness of the implanted detector window to minimize energy straggling. Because of the resistance of this layer, however, the timing depends on the position of entry. Two solutions to this conflict between energy resolution and time resolution are studied: evaporating a very thin aluminum layer on the detector window and fabricating a rectangular detector. Both solutions are shown to be successful with a total time resolution in the low subnanosecond region (<200 ps). (orig.)

  16. Ultra-low energy Ar+ beam applied for SIMS depth profile analysis of layered nanostructures

    International Nuclear Information System (INIS)

    Konarski, P.; Mierzejewska, A.; Iwanejko, I.

    2001-01-01

    Secondary ion mass spectrometry (SIMS) depth profile analyses of flat layered nanostructures: 10 nm Ta 2 O 3 /Ta and 20 nm (10 x B 4 C/Mo)/Si as well as microparticles of core (illite) - shell (rutile) structure, performed with the use of ultra-low energy ion beam (180-880 eV, Ar + ), are presented. The profiles were obtained using 'mesa' scanning technique and also sample rotation. Depth profile resolution below 1 nanometer was obtained for flat nanostructures. Presented experimental results are compared with Monte Carlo sputtering simulations of analysed structures. A method of finding beam energy, optimal for the best resolution SIMS depth profile analysis, is suggested. (author)

  17. Ultra-relativistic heavy ions and cosmic rays

    International Nuclear Information System (INIS)

    McLerran, L.

    1983-05-01

    The collisions of ultra-relativistic heavy ions, E/sub /N/ greater than or equal to 1 TeV/nucleon are most interesting, since, at these energies, matter is produced at sufficiently high energy density that a quark-gluon plasma has a good chance to form. Very heavy ions are also most interesting since the matter forms in a larger volume than for light ions, and the matter is at a somewhat higher energy density. At very high energies with very heavy ions there is great flexibility in the experimental signals which might be studied, as well as the nature of the matter which is produced. The fragmentation region and central region provide different environments where a plasma might form. The former is baryon rich while the central region is high temperature with low baryon number density and is not accessible except at very high energies

  18. Modern trends in ion source development for low-energy accelerators. Final report of a consultants' meeting

    International Nuclear Information System (INIS)

    1998-01-01

    The IAEA consultative meeting was held to review the status of ion source development for accelerators having output energies less than 100 MeV (low-energy accelerators). Terms of reference for the meeting were to review the status of ion source development for several different types of low-energy accelerators (Van de Graaff, cyclotron, sealed-tube neutron generator, ion implanter, etc.) and to highlight any recent advances in this field. Individual abstracts were prepared for 5 papers presented at this meeting

  19. Helium behaviour in UO{sub 2} through low fluence ion implantation studies

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, P., E-mail: philippe.garcia@cea.fr [CEA – DEN/DEC, Bât. 352, 13108 Saint-Paul-Lez-Durance Cedex (France); Gilabert, E. [Centre d’Et' udes Nucleáires de Bordeaux-Gradignan, Le Haut Vigneau, 33175 Gradignan (France); Martin, G.; Carlot, G.; Sabathier, C. [CEA – DEN/DEC, Bât. 352, 13108 Saint-Paul-Lez-Durance Cedex (France); Sauvage, T.; Desgardin, P.; Barthe, M.-F. [CNRS-CEMHTI, UPR3079, 45071 Orleáns (France)

    2014-05-01

    In this work we focus on experiments involving implantation of 500 keV {sup 3}He ions in sintered polycrystalline material. Samples are implanted at low fluences (∼2 ×10{sup 13} ions/cm{sup 2}) and subsequently isothermally annealed in a highly sensitive thermal desorption spectrometry (TDS) device PIAGARA (Plateforme Interdisciplinaire pour l’Analyse des GAz Rares en Aquitaine). The helium fluencies studied are two to three orders of magnitude lower than previous Nuclear Reaction Analysis (NRA) experiments carried out on identical samples implanted at identical energies. The fractional release of helium obtained in the TDS experiments is interpreted using a three-dimensional axisymmetric diffusion model which enables results to be quantitatively compared to previous NRA data. The analysis shows that helium behaviour is qualitatively independent of ion fluency over three orders of magnitude: helium diffusion appears to be strongly inhibited below 1273 K within the centre of the grains presumably as a result of helium bubble precipitation. The scenario involving diffusion at grain boundaries and in regions adjacent to them observed at higher fluencies is quantitatively confirmed at much lower doses. The main difference lies in the average width of the region in which uninhibited diffusion occurs.

  20. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  1. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  2. Ultra-Wideband Transceivers for Cochlear Implants

    Directory of Open Access Journals (Sweden)

    Reisenzahn Alexander

    2005-01-01

    Full Text Available Ultra-wideband (UWB radio offers low power consumption, low power spectral density, high immunity against interference, and other benefits, not only for consumer electronics, but also for medical devices. A cochlear implant (CI is an electronic hearing apparatus, requiring a wireless link through human tissue. In this paper we propose an UWB link for a data rate of Mbps and a propagation distance up to 500 mm. Transmitters with step recovery diode and transistor pulse generators are proposed. Two types of antennas and their filter characteristics in the UWB spectrum will be discussed. An ultra-low-power back tunnel diode receiver prototype is described and compared with conventional detector receivers.

  3. Performance enhancement of Ge-on-Insulator tunneling FETs with source junctions formed by low-energy BF2 ion implantation

    Science.gov (United States)

    Katoh, Takumi; Matsumura, Ryo; Takaguchi, Ryotaro; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    To clarify the process of formation of source regions of high-performance Ge n-channel tunneling field-effect transistors (TFETs), p+-n junctions formed by low-energy ion implantation (I/I) of BF2 atoms are characterized. Here, the formation of p+-n junctions with steep B profiles and low junction leakage is a key issue. The steepness of 5.7 nm/dec in profiles of B implanted into Ge is obtained for BF2 I/I at 3 keV with a dose of 4 × 1014 cm-2. Ge-on-insulator (GOI) n-TFETs with the source tunnel junctions formed by low-energy B and BF2 I/I are fabricated on GOI substrates and the device operation is confirmed. Although the performance at room temperature is significantly degraded by the source junction leakage current, an I on/I off ratio of 105 and the minimum sub-threshold swing (S.S.) of 130 mV/dec are obtained at 10 K. It is found that GOI n-TFETs with steeper B profiles formed by BF2 I/I have led to higher on current and a lower sub-threshold slope, demonstrating the effectiveness of steep B profiles in enhancing the GOI TFET performance.

  4. Ultra-low-energy wide electron exposure unit

    International Nuclear Information System (INIS)

    Yonago, Akinobu; Oono, Yukihiko; Tokunaga, Kazutoshi; Kishimoto, Junichi; Wakamoto, Ikuo

    2001-01-01

    Heat and ultraviolet ray processes are used in surface dryness of paint, surface treatment of construction materials and surface sterilization of food containers. A process using a low-energy wide-area electron beam (EB) has been developed that features high speed and low drive cost. EB processing is not widespread in general industry, however, due to high equipment cost and difficult maintenance. We developed an ultra-low-energy wide-area electron beam exposure unit, the Mitsubishi Wide Electron Exposure Unit (MIWEL) to solve these problems. (author)

  5. Algorithm for statistical noise reduction in three-dimensional ion implant simulations

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Arias, J.; Jaraiz, M.; Bailon, L.; Barbolla, J.

    2001-01-01

    As integrated circuit devices scale into the deep sub-micron regime, ion implantation will continue to be the primary means of introducing dopant atoms into silicon. Different types of impurity profiles such as ultra-shallow profiles and retrograde profiles are necessary for deep submicron devices in order to realize the desired device performance. A new algorithm to reduce the statistical noise in three-dimensional ion implant simulations both in the lateral and shallow/deep regions of the profile is presented. The computational effort in BCA Monte Carlo ion implant simulation is also reduced

  6. Biological effects of N+ ion implantation and UV radiation on streptomyces albus

    International Nuclear Information System (INIS)

    Wu Jian; Dai Guifu

    2005-01-01

    The results of both 30 keV N + ion implantation and UV irradiation of Streptomyces albus showed complicate biological effects. The 'saddle shape' pattern of the dose-dependent curve formed by N + ion implantation with low energy was studied, and it proved that vacuum was not the reason, and the fact, the 'saddle shape' curve may be regarded as a HRS/IRR (hyper-radiosensitivity/increased radiaoresistance) effect caused by low dose irradiation. But Streptomyces albus UV irradiated after vacuum treatment only showed IRR effect or hormesis (survival rate >100%). The streptomycin resistance mutation of Streptomyces albus caused by low energy N + ion implantation and UV irradiation was also studied. the results showed that UV radiation is one effective means for streptomyces albus breeding. (authors)

  7. Direct synthesis of ultrathin SOI structure by extremely low-energy oxygen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp; Yachida, Gosuke; Inoue, Kodai; Toyohara, Taiga; Nakata, Jyoji [Department of mathematics and physics, Kanagawa University, 2946, Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2016-06-15

    We performed extremely low-energy {sup 16}O{sup +} implantation at 10 keV (R{sub p} ∼ 25 nm) followed by annealing aiming at directly synthesizing an ultrathin Si layer separated by a buried SiO{sub 2} layer in Si(001) substrates, and then investigated feasible condition of recrystallization and stabilization of the superficial Si and the buried oxide layer by significantly low temperature annealing. The elemental compositions were analyzed by Rutherford backscattering (RBS) and secondary ion mass spectroscopy (SIMS). The crystallinity of the superficial Si layer was quantitatively confirmed by ananlyzing RBS-channeling spectra. Cross-sectional morphologies and atomic configurations were observed by transmission electron microscope (TEM). As a result, we succeeded in directly synthesizing an ultrathin single-crystalline silicon layer with ≤20 nm thick separated by a thin buried stoichiometric SiO{sub 2} layer with ≤20 nm thick formed by extremely low-energy {sup 16}O{sup +} implantation followed by surprisingly low temperature annealing at 1050{sup ∘} C.

  8. Exploring Sub-Femtosecond Correlated Dynamics with an Ultra-low Energy Electrostatic Storage Ring

    International Nuclear Information System (INIS)

    Welsch, C.P.; Grieser, M.; Dorn, A.; Moshammer, R.; Ullrich, J.

    2005-01-01

    Whereas the three-body Coulomb problem for single excitation and ionization was claimed to be solved in a mathematically correct way during 1999 until 2004 for electron impact on hydrogen and helium, ion-impact ionization still represents a major challenge for theory. Troubling discrepancies have been observed recently in fully differential cross sections (FDCS) for helium single ionization by fast ion impact and even experimental total cross sections are in striking disagreement with the predictions of all state-of-the-art theories for low-energy antiproton collisions. Therefore, within the future Facility for Low-energy Antiproton and Ion Research (FLAIR), it has been proposed to combine state-of-the-art many-particle imaging methods with a novel electrostatic storage ring for slow antiprotons in order to realize single and multiple ionization cross section measurements for antiprotons colliding with atoms, molecules and clusters. Total, as well as any differential cross sections up to FDCS including ionization-excitation reactions are envisaged to become available, serving as benchmark data for theory. Here, the present status of experiments in comparison with theory is presented and the layout of an Ultra-low energy Storage Ring (USR) with its integrated reaction microscope at FLAIR is described

  9. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  10. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  11. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  12. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  13. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  14. Ultra Low Energy Binary Decision Diagram Circuits Using Few Electron Transistors

    Science.gov (United States)

    Saripalli, Vinay; Narayanan, Vijay; Datta, Suman

    Novel medical applications involving embedded sensors, require ultra low energy dissipation with low-to-moderate performance (10kHz-100MHz) driving the conventional MOSFETs into sub-threshold operation regime. In this paper, we present an alternate ultra-low power computing architecture using Binary Decision Diagram based logic circuits implemented using Single Electron Transistors (SETs) operating in the Coulomb blockade regime with very low supply voltages. We evaluate the energy - performance tradeoff metrics of such BDD circuits using time domain Monte Carlo simulations and compare them with the energy-optimized CMOS logic circuits. Simulation results show that the proposed approach achieves better energy-delay characteristics than CMOS realizations.

  15. Evolution of Ion Implantation Technology and its Contribution to Semiconductor Industry

    International Nuclear Information System (INIS)

    Tsukamoto, Katsuhiro; Kuroi, Takashi; Kawasaki, Yoji

    2011-01-01

    Industrial aspects of the evolution of ion implantation technology will be reviewed, and their impact on the semiconductor industry will be discussed. The main topics will be the technology's application to the most advanced, ultra scaled CMOS, and to power devices, as well as productivity improvements in implantation technology. Technological insights into future developments in ion-related technologies for emerging industries will also be presented.

  16. The Raman effects in γ-LiAlO2 induced by low-energy Ga ion implantation

    Science.gov (United States)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Wang, Tie-Jun; Yu, Xiao-Fei; Wang, Xue-Lin

    2017-10-01

    The tetragonal γ-LiAlO2 crystal, known as a promising solid breeding material in future fusion reactors, has attracted much attention for its irradiation effects. This work focused on the Raman effects in ion-implanted γ-LiAlO2. Ga ions of 30, 80 and 150 keV were implanted on the z-cut γ-LiAlO2 sample surfaces at a fluence of 1 × 1014 ions/cm2 or 1 × 1015 ions/cm2. The average ion range varied from 230 to 910 Å. The Raman spectra were collected from the implanted surfaces before and after the implantation. Evident changes were reflected in the Raman modes intensities, with abnormal increments for the most detected modes. According to the assignments of Raman modes, the Al-O vibration was enhanced to a greater extent than the Li-Al-O vibration, and the LiO4-AlO4 vibration gained a lesser enhancement. The discussion, including the factors of roughness, crystalline disorder and influence by Ga ions, attempts to explain the increments of Raman intensity.

  17. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  18. Ultra-Shallow P+/N Junction Formation in Si Using Low Temperature Solid Phase Epitaxy Assisted with Laser Activation

    International Nuclear Information System (INIS)

    Hara, Shuhei; Tanaka, Yuki; Fukaya, Takumi; Matsumoto, Satoru; Suzuki, Toshiharu; Fuse, Genshu; Kudo, Toshio; Sakuragi, Susumu

    2008-01-01

    A combination of Ge pre-amorphization implantation (Ge-PAI), low-energy B implantation and laser annealing is a promising method to form highly-activated, abrupt and ultra-shallow junctions (USJ). In our previous report of IIT 2006, we succeeded in forming pn junctions less than 10 nm using non-melt double-pulsed green laser. However, a large leakage current under reverse bias was observed consequently due to residual defects in the implanted layer. In this study, a method to form USJ is proposed: a combination of low-temperature solid phase epitaxy and non-melt laser irradiation for B activation. Ge pre-amorphization implantation was performed at energy of 6 keV with a dose of 3x10 14 /cm 2 . Then B implantation was performed at energy of 0.2 keV with a dose of 1.2x10 15 /cm 2 . Samples were annealed at 400 deg. C for 10 h in nitrogen atmosphere. Subsequently, non-melt laser irradiation was performed at energy of 690 mJ/cm 2 and pulse duration of 100 ns with intervals of 300 ns. As a result, USJ around 10 nm with better crystallinity was successfully formed. And the leakage current of pn diodes was reduced significantly. Moreover, it is proven from secondary ion mass spectroscopy (SIMS) analysis that transient enhanced diffusion (TED) of B is specifically suppressed.

  19. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  20. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  1. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  2. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  3. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  4. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  5. ECR ion source based low energy ion beam facility

    Indian Academy of Sciences (India)

    Mass analyzed highly charged ion beams of energy ranging from a few keV to a few MeV plays an important role in various aspects of research in modern physics. In this paper a unique low energy ion beam facility (LEIBF) set up at Nuclear Science Centre (NSC) for providing low and medium energy multiply charged ion ...

  6. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  7. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  8. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  9. Effects of cesium ion-implantation on mechanical and electrical properties of organosilicate low-k films

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Pei, D.; Guo, X.; Cheng, M. K.; Lee, S.; Shohet, J. L. [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Lin, Q. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); King, S. W. [Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2016-05-16

    The effects of cesium (Cs) ion-implantation on uncured plasma-enhanced chemical-vapor-deposited organosilicate low dielectric constant (low-k) (SiCOH) films have been investigated and compared with an ultraviolet (UV) cured film. The mechanical properties, including the elastic modulus and hardness, of the SiCOH low-k films are improved by up to 30% with Cs implantation, and further up to 52% after annealing at 400 °C in a N{sub 2} ambient for 1 h. These improvements are either comparable to or better than the effects of UV-curing. They are attributed to an enhancement of the Si-O-Si network structure. The k-value of the SiCOH films increased slightly after Cs implantation, and increased further after annealing. These increases are attributed to two carbon-loss mechanisms, i.e., the carbon loss due to Si-CH{sub 3} bond breakage from implanted Cs ions, and the carbon loss due to oxidation during the annealing. The time-zero dielectric breakdown strength was improved after the Cs implantation and the annealing, and was better than the UV-cured sample. These results indicate that Cs ion implantation could be a supplement to or a substitution for the currently used UV curing method for processing SiCOH low-k films.

  10. Investigation of low-resistivity from hydrogenated lightly B-doped diamond by ion implantation

    Directory of Open Access Journals (Sweden)

    Cui Xia Yan et al

    2008-01-01

    Full Text Available We have implanted boron (B ions (dosage: 5×1014 cm-2 into diamond and then hydrogenated the sample by implantating hydrogen ions at room temperature. A p-type diamond material with a low resistivity of 7.37 mΩ cm has been obtained in our experiment, which suggests that the hydrogenation of B-doped diamond results in a low-resistivity p-type material. Interestingly, inverse annealing, in which carrier concentration decreased with increasing annealing temperature, was observed at annealing temperatures above 600 °C. In addition, the formation mechanism of a low-resistivity material has been studied by density functional theory calculation using a plane wave method.

  11. Formation of a highly doped ultra-thin amorphous carbon layer by ion bombardment of graphene

    Science.gov (United States)

    Piotr Michałowski, Paweł; Pasternak, Iwona; Ciepielewski, Paweł; Guinea, Francisco; Strupiński, Włodek

    2018-07-01

    Ion bombardment of graphene leads to the formation of defects which may be used to tune properties of the graphene based devices. In this work, however, we present that the presence of the graphene layer on a surface of a sample has a significant impact on the ion bombardment process: broken sp2 bonds react with the incoming ions and trap them close to the surface of the sample, preventing a standard ion implantation. For an ion bombardment with a low impact energy and significant dose (in the range of 1014 atoms cm‑2) an amorphization of the graphene layer is observed but at the same time, most of the incoming ions do not penetrate the sample but stop at the surface, thus forming a highly doped ultra-thin amorphous carbon layer. The effect may be used to create thin layers containing desired atoms if no other technique is available. This approach is particularly useful for secondary ion mass spectrometry where a high concentration of Cs at the surface of a sample significantly enhances the negative ionization probability, allowing it to reach better detection limits.

  12. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  13. Surface damage studies of ETFE polymer bombarded with low energy Si ions (≤100 keV)

    International Nuclear Information System (INIS)

    Minamisawa, Renato Amaral; Almeida, Adelaide De; Budak, Satilmis; Abidzina, Volha; Ila, Daryush

    2007-01-01

    Surface studies of ethylenetetrafluoroethylene (ETFE), bombarded with Si in a high-energy tandem Pelletron accelerator, have recently been reported. Si ion bombardment with a few MeV to a few hundred keV energies was shown to be sufficient to produce damage on ETFE film. We report here the use of a low energy implanter with Si ion energies lower than 100 keV, to induce changes on ETFE films. In order to determine the radiation damage, ETFE bombarded films were simulated with SRIM software and analyzed with optical absorption photometry (OAP), Raman and Fourier transform infrared-attenuated total reflectance (FTIR-ATR) spectroscopy to show quantitatively the physical and chemical property changes. Carbonization occurs following higher dose implantation, and hydroperoxides were formed following dehydroflorination of the polymer

  14. Compare of N-ion implantation effects on Bacillus coagulans by use of two kinds of ion sources

    International Nuclear Information System (INIS)

    Yu Long; Sun Yang; Xie Fei; Liu Yang; An Xiao

    2007-01-01

    As a novel method of mutation breeding, the low energy ion beam implantation has been widely used. The biological effects of Bacillus coagulans implanted by Kaufman source and dual-Panning source have been compared. The results showed that with the same extraction voltage, the genetic stability of the third generation strain implanted by Kaufman source was 30% higher than that implanted by dual-Panning source, while the general mutation rate of the former was 2% lower than the latter. The appropriate ion source should be chosen to meet the requirement of mutation. (authors)

  15. A comprehensive solution for simulating ultra-shallow junctions: From high dose/low energy implant to diffusion annealing

    International Nuclear Information System (INIS)

    Boucard, F.; Roger, F.; Chakarov, I.; Zhuk, V.; Temkin, M.; Montagner, X.; Guichard, E.; Mathiot, D.

    2005-01-01

    This paper presents a global approach permitting accurate simulation of the process of ultra-shallow junctions. Physically based models of dopant implantation (BCA) and diffusion (including point and extended defects coupling) are integrated within a unique simulation tool. A useful set of the relevant parameters has been obtained through an original calibration methodology. It is shown that this approach provides an efficient tool for process modelling

  16. A comprehensive solution for simulating ultra-shallow junctions: From high dose/low energy implant to diffusion annealing

    Energy Technology Data Exchange (ETDEWEB)

    Boucard, F. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France)]. E-mail: Frederic.Boucard@silvaco.com; Roger, F. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France); Chakarov, I. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France); Zhuk, V. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France); Temkin, M. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France); Montagner, X. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France); Guichard, E. [Silvaco Data Systems, 55 Rue Blaise Pascal, F38330 Montbonnot (France); Mathiot, D. [InESS, CNRS and Universite Louis Pasteur, 23 Rue du Loess, F67037 Strasbourg (France)]. E-mail: Daniel.Mathiot@iness.c-strasbourg.fr

    2005-12-05

    This paper presents a global approach permitting accurate simulation of the process of ultra-shallow junctions. Physically based models of dopant implantation (BCA) and diffusion (including point and extended defects coupling) are integrated within a unique simulation tool. A useful set of the relevant parameters has been obtained through an original calibration methodology. It is shown that this approach provides an efficient tool for process modelling.

  17. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, A. E.; Tichelaar, F. D.; Verhoeven, J.; E. Louis,; F. Bijkerk,

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10-20 nm were produced by sequential deposition of Si and implantation of 1 key CHx+ ions. Only about 3% of the implanted carbon was transferred into the SIC, with a thin, 0.5-1 nm, buried SIC layer being formed. We

  18. The effect of interatomic potential in molecular dynamics simulation of low energy ion implantation

    International Nuclear Information System (INIS)

    Chan, H.Y.; Nordlund, K.; Peltola, J.; Gossmann, H.-J.L.; Ma, N.L.; Srinivasan, M.P.; Benistant, F.; Chan, Lap

    2005-01-01

    Being able to accurately predict dopant profiles at sub-keV implant energies is critical for the microelectronic industry. Molecular Dynamics (MD), with its capability to account for multiple interactions as energy lowers, is an increasingly popular simulation method. We report our work on sub-keV implantation using MD and investigate the effect of different interatomic potentials on the range profiles. As an approximation, only pair potentials are considered in this work. Density Functional Theory (DFT) is used to calculate the pair potentials for a wide range of dopants (B, C, N, F, Si, P, Ga, Ge, As, In and Sb) in single crystalline silicon. A commonly used repulsive potential is also included in the study. Importance of the repulsive and attractive regions of the potential has been investigated with different elements and we show that a potential depicting the right attractive forces is especially important for heavy elements at low energies

  19. Macroscopic damping model for zero degree energy distribution in ultra-relativistic heavy ion collisions

    International Nuclear Information System (INIS)

    Gao Chongshou; Wang Chengshing

    1993-01-01

    A macroscopic damping model is proposed to calculate the zero degree energy distribution in ultra-relativistic heavy ion collisions. The main features of the measured distributions are reproduced, good agreement is obtained in the middle energy region while overestimation results on the high energy side. The average energy loss coefficient of incident nucleons, varying in the reasonable region 0.2-0.6, depends on beam energy and target size

  20. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  1. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  2. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  3. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  4. Physics with ultra-low energy antiprotons

    International Nuclear Information System (INIS)

    Holtkamp, D.B.; Holzscheiter, M.H.; Hughes, R.J.

    1989-01-01

    The experimental observation that all forms of matter experience the same gravitational acceleration is embodied in the weak equivalence principle of gravitational physics. However no experiment has tested this principle for particles of antimatter such as the antiproton or the antihydrogen atom. Clearly the question of whether antimatter is in compliance with weak equivalence is a fundamental experimental issue, which can best be addressed at an ultra-low energy antiproton facility. This paper addresses the issue. 20 refs

  5. Influence of high-energy ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy

    International Nuclear Information System (INIS)

    Gusakova, O.V.

    2016-01-01

    The results of investigation of influence of Xe ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy are represented/ Analysis of the experimental results shows that the high-energy ion implantation of Xe causes a change in the particle size of zinc. (authors)

  6. Defect generation/passivation by low energy hydrogen implant for silicon solar cells

    International Nuclear Information System (INIS)

    Sopori, B.L.; Zhou, T.Q.; Rozgonyi, G.A.

    1990-01-01

    Low energy ion implant is shown to produce defects in silicon. These defects include surface damage, hydrogen agglomeration, formation of platelets with (111) habit plane and decoration of dislocations. Hydrogen also produces an inversion type of surface on boron doped silicon. These effects indicate that a preferred approach for passivation is to incorporate hydrogen from the back side of the cell. A backside H + implant technique is described. The results show that degree of passivation differs for various devices. A comparison of the defect structures of hydrogenated devices indicates that the structure and the distribution of defects in the bulk of the material plays a significant role in determining the degree of passivation

  7. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, Andrey; Tichelaar, F.D.; Verhoeven, J.; Louis, Eric; Bijkerk, Frederik

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10–20 nm were produced by sequential deposition of Si and implantation of 1 keV View the MathML source ions. Only about 3% of the implanted carbon was transferred into the SiC, with a thin, 0.5–1 nm, buried SiC layer being

  8. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  9. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  10. Predicting Low Energy Dopant Implant Profiles in Semiconductors using Molecular Dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Beardmore, K.M.; Gronbech-Jensen, N.

    1999-05-02

    The authors present a highly efficient molecular dynamics scheme for calculating dopant density profiles in group-IV alloy, and III-V zinc blende structure materials. Their scheme incorporates several necessary methods for reducing computational overhead, plus a rare event algorithm to give statistical accuracy over several orders of magnitude change in the dopant concentration. The code uses a molecular dynamics (MD) model to describe ion-target interactions. Atomic interactions are described by a combination of 'many-body' and pair specific screened Coulomb potentials. Accumulative damage is accounted for using a Kinchin-Pease type model, inelastic energy loss is represented by a Firsov expression, and electronic stopping is described by a modified Brandt-Kitagawa model which contains a single adjustable ion-target dependent parameter. Thus, the program is easily extensible beyond a given validation range, and is therefore truly predictive over a wide range of implant energies and angles. The scheme is especially suited for calculating profiles due to low energy and to situations where a predictive capability is required with the minimum of experimental validation. They give examples of using the code to calculate concentration profiles and 2D 'point response' profiles of dopants in crystalline silicon and gallium-arsenide. Here they can predict the experimental profile over five orders of magnitude for <100> and <110> channeling and for non-channeling implants at energies up to hundreds of keV.

  11. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  12. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  13. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  14. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  15. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  16. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  17. Modification of semiconductor materials using laser-produced ion streams additionally accelerated in the electric fields

    International Nuclear Information System (INIS)

    Rosinski, M.; Badziak, B.; Parys, P.; Wolowski, J.; Pisarek, M.

    2009-01-01

    The laser-produced ion stream may be attractive for direct ultra-low-energy ion implantation in thin layer of semiconductor for modification of electrical and optical properties of semiconductor devices. Application of electrostatic fields for acceleration and formation of laser-generated ion stream enables to control the ion stream parameters in broad energy and current density ranges. It also permits to remove the useless laser-produced ions from the ion stream designed for implantation. For acceleration of ions produced with the use of a low fluence repetitive laser system (Nd:glass: 2 Hz, pulse duration: 3.5 ns, pulse energy:∼0.5 J, power density: 10 10 W/cm 2 ) in IPPLM the special electrostatic system has been prepared. The laser-produced ions passing through the diaphragm (a ring-shaped slit in the HV box) have been accelerated in the system of electrodes. The accelerating voltage up to 40 kV, the distance of the diaphragm from the target, the diaphragm diameter and the gap width were changed for choosing the desired parameters (namely the energy band of the implanted ions) of the ion stream. The characteristics of laser-produced Ge ion streams were determined with the use of precise ion diagnostic methods, namely: electrostatic ion energy analyser and various ion collectors. The laser-produced and post-accelerated Ge ions have been used for implantation into semiconductor materials for nanocrystal fabrication. The characteristics of implanted samples were measured using AES

  18. The modification of LiTaO3 crystal by low-energy He-ion implantation

    International Nuclear Information System (INIS)

    Pang, L.L.; Wang, Z.G.; Jin, Y.F.; Yao, C.F.; Cui, M.H.; Sun, J.R.; Shen, T.L.; Wei, K.F.; Zhu, Y.B.; Sheng, Y.B.; Li, Y.F.

    2012-01-01

    Highlights: ► LiTaO 3 crystal was implanted by 250 keV He + . ► We report the surface and transmittance of LiTaO 3 change with the fluence and time. ► New phenomena (self-splitting, self-exfoliation, self-recovery) occurred. ► Evolvement of defects and the behavior of helium were discussed. - Abstract: The effects of He-ion implantation on the surface morphology and transmittance of LiTaO 3 single crystals are investigated. The samples were implanted with 250 keV He-ion at different fluences at room temperature. The results show that the surface morphology and transmittance of implanted samples strongly depend on the ion fluence and the time when the samples expose to the air up to 60 days. When the fluence is above 1.0 × 10 16 He + /cm 2 , the transmission spectra indicate that a high concentration of defects is created. 3D-profile images show that at the higher fluence a great many triangular stripes appear on the surface of the samples. After 60 days, the recovery of the transmittance occurs and varies with the fluence. For the sample at the fluence of 5.0 × 10 16 He + /cm 2 , the raised stripes on the surface evolve into narrow cracks. Regional exfoliation, however, occurs on the surface of the sample with the fluence of 1.0 × 10 17 He + /cm 2 . According to the experimental results and simulation of SRIM 2008 code, the evolvement of defects and the behavior of He are discussed.

  19. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  20. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  1. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  2. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  3. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  4. On the origin of apparent Z{sub 1}-oscillations in low-energy heavy-ion ranges

    Energy Technology Data Exchange (ETDEWEB)

    Wittmaack, Klaus, E-mail: wittmaack@helmholtz-muenchen.de

    2016-12-01

    It has been known for quite some time that projected ranges measured by Rutherford backscattering spectrometry for a variety of low-energy heavy ions (energy-to-mass ratio E/M{sub 1} less than ∼0.4 keV/u) exhibit significant or even pronounced deviations from the theoretically predicted smooth dependence on the projectile’s atomic number Z{sub 1}. Studied most thoroughly for silicon targets, the effect was attributed to ‘Z{sub 1} oscillations’ in nuclear stopping, in false analogy to the well established Z{sub 1} oscillations in electronic stopping of low-velocity light ions. In this study an attempt was made to get order into range data published by four different groups. To achieve the goal, the absolute values of the ranges from each group had to be (re-)adjusted by up to about ±10%. Adequate justification for this approach is provided. With the changes made, similarities and differences between the different sets of data became much more transparent than before. Very important is the finding that the distortions in heavy-ion ranges are not oscillatory in nature but mostly one-sided, reflecting element-specific transport of implanted atoms deeper into the solid. Exceptions are rare gas and alkali elements, known to exhibit bombardment induced transport towards the surface. Range distortions reported for Xe and Cs could be reproduced on the basis of the recently established rapid relocation model. The extent of transport into the bulk, observed with many other elements, notably noble metals and lanthanides, reflects their high mobility under ion bombardment. The complexity of the element specific transport phenomena became fully evident by also examining the limited number of data available for the apparent range straggling. Profile broadening was identified in several cases. One element (Eu) was found to exhibit profile narrowing. This observation suggests that implanted atoms may agglomerate at peak concentrations up to 2%, possibly a tool for

  5. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    International Nuclear Information System (INIS)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu; Iz, Sultan Gulce; Tihminlioglu, Funda; Oks, Efim; Nikolaev, Alexey; Ila, Daryush

    2009-01-01

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Ag and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.

  6. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  7. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  8. Ion implantation range and energy deposition codes COREL, RASE4, and DAMG2

    International Nuclear Information System (INIS)

    Brice, D.K.

    1977-07-01

    The FORTRAN codes COREL, RASE4 and DAMG2 can be used to calculate quantities associated with ion implantation range and energy deposition distributions within an amorphous target, or for ions incident far from low index directions and planes in crystalline targets. RASE4 calculates the projected range, R/sub p/, the root mean square spread in the projected range, ΔR/sub p/, and the root mean square spread of the distribution perpendicular to the projected range ΔR/sub perpendicular to/. These parameters are calculated as a function of incident ion energy, E, and the instantaneous energy of the ion, E'. They are sufficient to determine the three dimensional spatial distribution of the ions in the target in the Gaussian approximation when the depth distribution is independent of the lateral distribution. RASE4 can perform these calculations for targets having up to four different component atomic species. The code COREL is a short, economical version of RASE4 which calculates the range and straggling variables for E' = 0. Its primary use in the present package is to provide the average range and straggling variables for recoiling target atoms which are created by the incident ion. This information is used by RASE4 in calculating the redistribution of deposited energy by the target atom recoils. The code DAMG2 uses the output from RASE4 to calculate the depth distribution of energy deposition into either atomic processes or electronic processes. With other input DAMG2 can be used to calculate the depth distribution of any energy dependent interaction between the incident ions and target atoms. This report documents the basic theory behind COREL, RASE4 and DAMG2, including a description of codes, listings, and complete instructions for using the codes, and their limitations

  9. A Mutant of Bacillus Subtilis with High-Producing Surfactin by Ion Beam Implantation

    International Nuclear Information System (INIS)

    Liu Qingmei; Yuan Hang; Wang Jun; Gong Guohong; Zhou Wei; Fan Yonghong; Wang Li; Yao Jianming; Yu Zengliang

    2006-01-01

    In order to generate a mutant of Bacillus subtilis with enhanced surface activity through low energy nitrogen ion beam implantation, the effects of energy and dose of ions implanted were studied. The morphological changes in the bacteria were observed by scanning electron microscope (SEM). The optimum condition of ions implantation, 20 keV of energy and 2.6x10 15 N + /cm 2 in dose, was determined. A mutant, B.s-E-8 was obtained, whose surface activity of 50-fold and 100-fold diluted cell-free Landy medium was as 5.6-fold and 17.4-fold as the wild strain. The microbial growth and biosurfactant production of both the mutant and the wild strain were compared. After purified by ultrafiltration and SOURCE 15PHE, the biosurfactant was determined to be a complex of surfactin family through analysis of electrospray ionization mass spectrum (ESI/MS) and there was an interesting finding that after the ion beam implantation the intensities of the components were different from the wild type strain

  10. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  11. High energy metal ion implantation using 'Magis', a novel, broad-beam, Marx-generator-based ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; Dickinson, M.R.; MacGill, R.A.

    1996-08-01

    Ion energy of the beam formed by an ion source is proportional to extractor voltage and ion charge state. Increasing the voltage is difficult and costly for extraction voltage over 100 kV. Here we explore the possibility of increasing the charge states of metal ions to facilitate high-energy, broad beam ion implantation at a moderate voltage level. Strategies to enhance the ion charge state include operating in the regimes of high-current vacuum sparks and short pulses. Using a time-of-flight technique we have measured charge states as high as 7+ (73 kA vacuum spark discharge) and 4+ (14 kA short pulse arc discharge), both for copper, with the mean ion charge states about 6.0 and 2.5, respectively. Pulsed discharges can conveniently be driven by a modified Marx generator, allowing operation of ''Magis'' with a single power supply (at ground potential) for both plasma production and ion extraction

  12. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  13. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  14. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  15. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  16. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  17. Effect of pulse lasting time of ion implantation on the growth of Hericium ernaceus

    International Nuclear Information System (INIS)

    Yan Tao; Li Guan; Zeng Xianxian

    2007-01-01

    Low energy ion implantation technology was used in mutation breeding of Hericium erinaceus. The growth speed of the Hericium erinaceus hypha is as an index to study the influence of the pulse lasting time of N + ion implantation. The result shows that the growth speed of Hericium erinaceus hypha presents 'saddle shape' curve, which was descend first, then rising up and descend again when the pulse time lasts for longer and longer. It is concluded that the pulse time obviously influence N + ion implantation. When the dosage keeps the same. (authors)

  18. Effects of H-implantation energy on the optical stability of implanted usher films under photo-irradiation

    International Nuclear Information System (INIS)

    Awazu, K.; Yasui, H.; Kasamori, M.; Ichikawa, T.; Funada, Y.; Iwaki, M.

    1999-01-01

    A study has been made on the improvement of the optical stability of urushi films under optical irradiation using ion implantation. Ion implantation of hydrogen ions in urushi films was performed with a dose of 10 15 ions/cm 2 at ion energies ranging from 0.2 to 150 keV at room temperature. The photo-irradiation onto the urushi films was carried out at irradiation energies ranging from 40 to 400 MJ/m 2 . H-implantation onto urushi films is useful for improving the optical stability under photo-irradiation when the implantation energy is larger than 60 keV

  19. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  20. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  1. Boron Profile Sharpening in Ultra-Shallow p+-n Junction Produced by Plasma Immersion Ion Implantation from BF3 Plasma

    International Nuclear Information System (INIS)

    Lukichev, V.; Rudenko, K.; Orlikovsky, A.; Pustovit, A.; Vyatkin, A.

    2008-01-01

    We have investigated plasma immersion ion implantation (PI 3 ) of boron with energies of 500 eV (doses up to 2x10 15 cm -2 ) from BF 3 plasma with He pre-amorphizing implantation (PAI)(energy 3 keV, dose 5x10 16 cm -2 ). Implanted samples were subjected to RTA (T = 900 to 1050 deg. C, t = 2 to 24 sec and spike anneal). SIMS analysis of boron profiles revealed its anomalous behavior. For short RTA times the profile tail (below 5x10 19 cm -3 ) moves toward the surface and then, as in the usual diffusion, toward the bulk at longer annealing times.

  2. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  3. Effect of ultra-low doses, ASIR and MBIR on density and noise levels of MDCT images of dental implant sites.

    Science.gov (United States)

    Widmann, Gerlig; Al-Shawaf, Reema; Schullian, Peter; Al-Sadhan, Ra'ed; Hörmann, Romed; Al-Ekrish, Asma'a A

    2017-05-01

    Differences in noise and density values in MDCT images obtained using ultra-low doses with FBP, ASIR, and MBIR may possibly affect implant site density analysis. The aim of this study was to compare density and noise measurements recorded from dental implant sites using ultra-low doses combined with FBP, ASIR, and MBIR. Cadavers were scanned using a standard protocol and four low-dose protocols. Scans were reconstructed using FBP, ASIR-50, ASIR-100, and MBIR, and either a bone or standard reconstruction kernel. Density (mean Hounsfield units [HUs]) of alveolar bone and noise levels (mean standard deviation of HUs) was recorded from all datasets and measurements were compared by paired t tests and two-way ANOVA with repeated measures. Significant differences in density and noise were found between the reference dose/FBP protocol and almost all test combinations. Maximum mean differences in HU were 178.35 (bone kernel) and 273.74 (standard kernel), and in noise, were 243.73 (bone kernel) and 153.88 (standard kernel). Decreasing radiation dose increased density and noise regardless of reconstruction technique and kernel. The effect of reconstruction technique on density and noise depends on the reconstruction kernel used. • Ultra-low-dose MDCT protocols allowed more than 90 % reductions in dose. • Decreasing the dose generally increased density and noise. • Effect of IRT on density and noise varies with reconstruction kernel. • Accuracy of low-dose protocols for interpretation of bony anatomy not known. • Effect of low doses on accuracy of computer-aided design models unknown.

  4. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  5. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Dzurak, A.S.; Clark, R.G.

    2006-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices

  6. Friction and wear study of diamond-like carbon gradient coatings on Ti6Al4V substrate prepared by plasma source ion implant-ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Jiang, Shuwen; Jiang Bin; Li Yan; Li Yanrong; Yin Guangfu; Zheng Changqiong

    2004-01-01

    DLC gradient coatings had been deposited on Ti6Al4V alloy substrate by plasma source ion implantation-ion beam enhanced deposition method and their friction and wear behavior sliding against ultra high molecular weight polyethylene counterpart were investigated. The results showed that DLC gradient coated Ti6Al4V had low friction coefficient, which reduced 24, 14 and 10% compared with non-coated Ti6Al4V alloy under dry sliding, lubrication of bovine serum and 0.9% NaCl solution, respectively. DLC gradient coated Ti6Al4V showed significantly improved wear resistance, the wear rate was about half of non-coated Ti6Al4V alloy. The wear of ultra high molecular weight polyethylene counterpart was also reduced. High adhesion to Ti6Al4V substrate of DLC gradient coatings and surface structure played important roles in improved tribological performance, serious oxidative wear was eliminated when DLC gradient coating was applied to the Ti6Al4V alloy

  7. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  8. Critical issues in the formation of quantum computer test structures by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Lo, C. C.; Weis, C. D.; Schuh, A.; Persaud, A.; Bokor, J.

    2009-04-06

    The formation of quantum computer test structures in silicon by ion implantation enables the characterization of spin readout mechanisms with ensembles of dopant atoms and the development of single atom devices. We briefly review recent results in the characterization of spin dependent transport and single ion doping and then discuss the diffusion and segregation behaviour of phosphorus, antimony and bismuth ions from low fluence, low energy implantations as characterized through depth profiling by secondary ion mass spectrometry (SIMS). Both phosphorus and bismuth are found to segregate to the SiO2/Si interface during activation anneals, while antimony diffusion is found to be minimal. An effect of the ion charge state on the range of antimony ions, 121Sb25+, in SiO2/Si is also discussed.

  9. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  10. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  11. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  12. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  13. Low-energy ion outflow modulated by the solar wind energy input

    Science.gov (United States)

    Li, Kun; Wei, Yong; Andre, Mats; Eriksson, Anders; Haaland, Stein; Kronberg, Elena; Nilsson, Hans; Maes, Lukas

    2017-04-01

    Due to the spacecraft charging issue, it has been difficult to measure low-energy ions of ionospheric origin in the magnetosphere. A recent study taking advantage of the spacecraft electric potential has found that the previously 'hidden' low-energy ions is dominant in the magnetosphere. This comprehensive dataset of low-energy ions allows us to study the relationship between the ionospheric outflow and energy input from the solar wind (ɛ). In this study, we discuss the ratios of the solar wind energy input to the energy of the ionospheric outflow. We show that the ɛ controls the ionospheric outflow when the ɛ is high, while the ionospheric outflow does not systematically change with the ɛ when the ɛ is low.

  14. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  15. Surface modifications induced by yttrium implantation on low manganese-carbon steel

    Energy Technology Data Exchange (ETDEWEB)

    Caudron, E.; Buscail, H. [Univ. Blaise Pascal Clermont-Fd II, Le Puy en Velay (France). Lab. Vellave d' Elaboration et d' Etude des Materiaux; Haanapel, V.A.C.; Jacob, Y.P.; Stroosnijder, M.F. [Institute for Health and Consumer Protection, Joint Research Center, The European Commission, 21020, Ispra (Italy)

    1999-12-15

    Low manganese-carbon steel samples were ion implanted with yttrium. Sample compositions and structures were investigated before and after yttrium implantations to determine the yttrium distribution in the sample. Yttrium implantation effects were characterized using several analytical and structural techniques such as X-ray photoelectron spectroscopy, reflection high energy electron diffraction, X-ray diffraction, glancing angle X-ray diffraction and Rutherford backscattering spectrometry. In this paper it is shown that correlation between composition and structural analyses provides an understanding of the main compounds induced by yttrium implantation in low manganese-carbon steel. (orig.)

  16. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  17. Monte Carlo simulation of channeled and random profiles of heavy ions implanted in silicon at high energy (1.2 MeV)

    International Nuclear Information System (INIS)

    Mazzone, A.M.

    1987-01-01

    In order to study channeling effects and implants of heavy ions with energy of few MeV in silicon, ion distributions are calculated with a Monte Carlo method for axial [(001) axis], planar, and nominally random directions for As + and P + ions implanted into silicon with energies in the range 100 keV to 2 MeV. The calculation indicates an appreciable channeling at the higher energy only for the (001) axis and the (110) planes. For heavy ions with energy in the MeV range the subsidence of channeling into major channels and the disappearance of minor channels are shown

  18. Direct formation of thin films and epitaxial overlayers at low temperatures using a low-energy (10-500 eV) ion beam deposition system

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Alton, G.D.; Appleton, B.R.; Herbots, N.; Noggle, T.S.; Pennycook, S.J.

    1987-01-01

    A low-energy ion beam deposition system has been developed at Oak Ridge National Laboratory and has been applied successfully to the growth of epitaxial films at low temperatures for a number of different elements. The deposition system utilizes the ion source and optics of a commercial ion implantation accelerator. The 35 keV mass- and energy-analyzed ion beam from the accelerator is decelerated in a four-element electrostatic lens assembly to energies between 10 and 500 eV for direct deposition onto a target under UHV conditions. Current densities on the order of 10 μA/cm 2 are achieved with good uniformity over a 1.4 cm diameter spot. The completed films are characterized by Rutherford backscattering, ion channeling, cross-section transmission electron microscopy, and x-ray diffraction. The effects of substrate temperature, ion energy, and substrate cleaning have been studied. Epitaxial overlayers which show good minimum yields by ion channeling (3 to 4%) have been produced at temperatures as low as 375 0 C for Si on Si(100) and 250 0 C for Ge on Ge(100) at growth rates that exceed the solid-phase epitaxy rates at these temperatures by more than an order of magnitude

  19. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  20. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Energy Technology Data Exchange (ETDEWEB)

    Delle Side, D., E-mail: domenico.delleside@le.infn.it [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Nassisi, V.; Giuffreda, E.; Velardi, L. [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Alifano, P.; Talà, A.; Tredici, S.M. [Dipartimento di Scienze e Tecnologie Biologiche ed Ambientali, Università del Salento, Lecce (Italy)

    2014-07-15

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  1. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Science.gov (United States)

    Delle Side, D.; Nassisi, V.; Giuffreda, E.; Velardi, L.; Alifano, P.; Talà, A.; Tredici, S. M.

    2014-07-01

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  2. Proteome Changes in Maize Embryo (Zea mays L) Induced by Ion Beam Implantation Treatment

    International Nuclear Information System (INIS)

    Li Yongliang; Qin Guangyong; Huo Yuping; Tian Shuangqi; Tang Jihua

    2009-01-01

    Low energy ion beam implantation was applied to the maize (Zea mays L) embryo proteome using two-dimensional gel electrophoresis. Protein profile analysis detected more than 1100 protein spots, 72 of which were determined to be expressed differently in the treated and control (not exposed to ion beam implantation) embryos. Of the 72 protein spots, 53 were up-regulated in the control and 19 were more abundantly expressed in the ion beam-treated embryos. The spots of up- or down-regulated proteins were identified by matrix assisted laser desorption/ionization-time of flight mass spectrometry (MALDI-TOF-MS). Among the identified proteins, 11 were up-regulated in the treated embryos. Four of these up-regulated proteins were antioxidant molecules, three were related to stress response, two to sugar metabolism and two were associated with heat shock response. Of the five proteins up-regulated in the control embryos, three were functionally related to carbohydrate metabolism; the functions of the remaining two proteins were unknown. The data collected during this study indicate that treatment of maize embryos with low energy ion beam implantation induces changes in stress tolerance enzymes/proteins, possibly as a result of alterations in metabolism. (ion beam bioengineering)

  3. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  4. Surface treatment of dental implants with high- power pulsed ion beams

    International Nuclear Information System (INIS)

    Shulov, V.A.; Nochovnaya, N.A.; Remnev, G.E.; Ivanov, S.Y.; Lomakin, M.V.

    2001-01-01

    The objective of the present research is development of HPPIB technology for surface processing of compact components with a complex shape. The surface state of the dental implants from titanium alloys before and after irradiation and long time operation was investigated by Auger electron spectroscopy, scanning electron microscopy, X-ray structural analysis, optical metallography methods. It is shown that the homogeneous state in the surface layer of titanium alloys is formed due to the irradiation (carbon ions and protons, energy of ions is equal to 300 keV, density of ion energy in a pulse achieves 1-5 J/cm 2 ). This state is characterized by a low amount of the impurities and a fine dispersion structure formed as a result of high speed crystallization. Thus, HPPIB irradiation of the dental implants leads to formation of developed micro relief and the decrease of impurities content on the surface. As a result, this treatment allows one to achieve a good cohesion between the implants and a body tissue. The latter allows the conclusion that biocompatibility of the dental titanium implants produced by can be improved using HPPIB treatment

  5. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  6. Effect of ultra-low doses, ASIR and MBIR on density and noise levels of MDCT images of dental implant sites

    Energy Technology Data Exchange (ETDEWEB)

    Widmann, Gerlig; Schullian, Peter [Medical University of Innsbruck, Department of Radiology, Innsbruck (Austria); Al-Shawaf, Reema; Al-Sadhan, Ra' ed; Al-Ekrish, Asma' a A. [King Saud University, Department of Oral Medicine and Diagnostic Sciences, College of Dentistry, Riyadh (Saudi Arabia); Hoermann, Romed [Medical University of Innsbruck, Division of Clinical and Functional Anatomy, Innsbruck (Austria)

    2017-05-15

    Differences in noise and density values in MDCT images obtained using ultra-low doses with FBP, ASIR, and MBIR may possibly affect implant site density analysis. The aim of this study was to compare density and noise measurements recorded from dental implant sites using ultra-low doses combined with FBP, ASIR, and MBIR. Cadavers were scanned using a standard protocol and four low-dose protocols. Scans were reconstructed using FBP, ASIR-50, ASIR-100, and MBIR, and either a bone or standard reconstruction kernel. Density (mean Hounsfield units [HUs]) of alveolar bone and noise levels (mean standard deviation of HUs) was recorded from all datasets and measurements were compared by paired t tests and two-way ANOVA with repeated measures. Significant differences in density and noise were found between the reference dose/FBP protocol and almost all test combinations. Maximum mean differences in HU were 178.35 (bone kernel) and 273.74 (standard kernel), and in noise, were 243.73 (bone kernel) and 153.88 (standard kernel). Decreasing radiation dose increased density and noise regardless of reconstruction technique and kernel. The effect of reconstruction technique on density and noise depends on the reconstruction kernel used. (orig.)

  7. Effect of ultra-low doses, ASIR and MBIR on density and noise levels of MDCT images of dental implant sites

    International Nuclear Information System (INIS)

    Widmann, Gerlig; Schullian, Peter; Al-Shawaf, Reema; Al-Sadhan, Ra'ed; Al-Ekrish, Asma'a A.; Hoermann, Romed

    2017-01-01

    Differences in noise and density values in MDCT images obtained using ultra-low doses with FBP, ASIR, and MBIR may possibly affect implant site density analysis. The aim of this study was to compare density and noise measurements recorded from dental implant sites using ultra-low doses combined with FBP, ASIR, and MBIR. Cadavers were scanned using a standard protocol and four low-dose protocols. Scans were reconstructed using FBP, ASIR-50, ASIR-100, and MBIR, and either a bone or standard reconstruction kernel. Density (mean Hounsfield units [HUs]) of alveolar bone and noise levels (mean standard deviation of HUs) was recorded from all datasets and measurements were compared by paired t tests and two-way ANOVA with repeated measures. Significant differences in density and noise were found between the reference dose/FBP protocol and almost all test combinations. Maximum mean differences in HU were 178.35 (bone kernel) and 273.74 (standard kernel), and in noise, were 243.73 (bone kernel) and 153.88 (standard kernel). Decreasing radiation dose increased density and noise regardless of reconstruction technique and kernel. The effect of reconstruction technique on density and noise depends on the reconstruction kernel used. (orig.)

  8. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  9. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  10. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  11. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  12. The examination of calcium ion implanted alumina with energy filtered transmission electron microscopy

    International Nuclear Information System (INIS)

    Hunt, E.M.; Hampikian, J.M.

    1997-01-01

    Ion implantation can be used to alter in the optical response of insulators through the formation of embedded nano-sized particles. Single crystal alumina has been implanted at ambient temperature with 50 keV Ca + to a fluence of 5 x 10 16 ions/cm 2 . Ion channeling, Knoop microhardness measurements, and transmission electron microscopy (TEM) indicate that the alumina surface layer was amorphized by the implant. TEM also revealed nano-sized crystals ∼7--8 nm in diameter. These nanocrystals are randomly oriented, and exhibit a face-centered cubic structure (FCC) with a lattice parameter of 0.409 nm ± 0.002 nm. The similarity between this crystallography and that of pure aluminum suggests that they are metallic aluminum nanocrystals with a slightly dilated lattice parameter, possibly due to the incorporation of a small amount of calcium. Energy-filtered transmission electron microscopy (EFTEM) provides an avenue by which to confirm the metallic nature of the aluminum involved in the nanocrystals. EFTEM has confirmed that the aluminum present in the particles is metallic in nature, that the particles are oxygen deficient in comparison with the matrix material and that the particles are deficient in calcium, and therefore not likely to be calcia. The particles thus appear to be FCC Al (possibly alloyed with a few percent Ca) with a lattice parameter of 0.409nm. A similar result was obtained for yttrium ion implantation into alumina

  13. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  14. Chemical effects induced by ion implantation in molecular solids

    International Nuclear Information System (INIS)

    Foti, G.; Calcagno, L.; Puglisi, O.

    1983-01-01

    Ion implantation in molecular solids as ice, frozen noble gases, benzene and polymers produces a large amount of new molecules compared to the starting materials. Mass and energy analysis of ejected molecules together with the erosion yield, are discussed for several ion-target combinations at low temperature. The observed phenomena are analyzed in terms of deposited ennergy in electronic and nuclear collisions, for incoming beams, as helium or argon, in the range 10-2000 keV. (orig.)

  15. Localization of Ultra-Low Frequency Waves in Multi-Ion Plasmas of the Planetary Magnetosphere

    Directory of Open Access Journals (Sweden)

    Eun-Hwa Kim

    2015-12-01

    Full Text Available By adopting a 2D time-dependent wave code, we investigate how mode-converted waves at the Ion-Ion Hybrid (IIH resonance and compressional waves propagate in 2D density structures with a wide range of field-aligned wavenumbers to background magnetic fields. The simulation results show that the mode-converted waves have continuous bands across the field line consistent with previous numerical studies. These waves also have harmonic structures in frequency domain and are localized in the field-aligned heavy ion density well. Our results thus emphasize the importance of a field-aligned heavy ion density structure for ultra-low frequency wave propagation, and suggest that IIH waves can be localized in different locations along the field line.

  16. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  17. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  18. Low energy ion-molecule reactions

    Energy Technology Data Exchange (ETDEWEB)

    Farrar, J.M. [Univ. of Rochester, NY (United States)

    1993-12-01

    This project is concerned with elucidating the dynamics of elementary ion-molecule reactions at collision energies near and below 1 eV. From measurements of the angular and energy distributions of the reaction products, one can infer intimathe details about the nature of collisions leading to chemical reaction, the geometries and lifetimes of intermediate complexes that govern the reaction dynamics, and the collision energy dependence of these dynamical features. The author employs crossed-beam low energy mass spectrometry technology developed over the last several years, with the focus of current research on proton transfer and hydrogen atom transfer reactions of te O{sup {minus}} ion with species such as HF, H{sub 2}O, and NH{sub 3}.

  19. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  20. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  1. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  2. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  3. Generation of narrow energy spread ion beams via collisionless shock waves using ultra-intense 1 um wavelength laser systems

    Science.gov (United States)

    Albert, Felicie; Pak, A.; Kerr, S.; Lemos, N.; Link, A.; Patel, P.; Pollock, B. B.; Haberberger, D.; Froula, D.; Gauthier, M.; Glenzer, S. H.; Longman, A.; Manzoor, L.; Fedosejevs, R.; Tochitsky, S.; Joshi, C.; Fiuza, F.

    2017-10-01

    In this work, we report on electrostatic collisionless shock wave acceleration experiments that produced proton beams with peak energies between 10-17.5 MeV, with narrow energy spreads between Δ E / E of 10-20%, and with a total number of protons in these peaks of 1e7-1e8. These beams of ions were created by driving an electrostatic collisionless shock wave in a tailored near critical density plasma target using the ultra-intense ps duration Titan laser that operates at a wavelength of 1 um. The near critical density target was produced through the ablation of an initially 0.5 um thick Mylar foil with a separate low intensity laser. A narrow energy spread distribution of carbon / oxygen ions with a similar velocity to the accelerated proton distribution, consistent with the reflection and acceleration of ions from an electrostatic field, was also observed. This work was supported by Lawrence Livermore National Laboratory's Laboratory Directed Research and Development program under project 15-LW-095, and the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA2734.

  4. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  5. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  6. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  7. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  8. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  9. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  10. Proteome Changes in Maize Embryo (Zea mays L) Induced by Ion Beam Implantation Treatment

    Science.gov (United States)

    Li, Yongliang; Tang, Jihua; Qin, Guangyong; Huo, Yuping; Tian, Shuangqi

    2009-08-01

    Low energy ion beam implantation was applied to the maize (Zea mays L) embryo proteome using two-dimensional gel electrophoresis. Protein profile analysis detected more than 1100 protein spots, 72 of which were determined to be expressed differently in the treated and control (not exposed to ion beam implantation) embryos. Of the 72 protein spots, 53 were up-regulated in the control and 19 were more abundantly expressed in the ion beam-treated embryos. The spots of up- or down-regulated proteins were identified by matrix assisted laser desorption/ionization-time of flight mass spectrometry (MALDI-TOF-MS). Among the identified proteins, 11 were up-regulated in the treated embryos. Four of these up-regulated proteins were antioxidant molecules, three were related to stress response, two to sugar metabolism and two were associated with heat shock response. Of the five proteins up-regulated in the control embryos, three were functionally related to carbohydrate metabolism; the functions of the remaining two proteins were unknown. The data collected during this study indicate that treatment of maize embryos with low energy ion beam implantation induces changes in stress tolerance enzymes/proteins, possibly as a result of alterations in metabolism.

  11. Implantation profile of low-energy positrons in solids

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Lynn, K.G.

    1990-01-01

    A simple form for an implantation profile of monoenergetic, low-energy (1--10 keV) positrons in solids is presented. Materials studied include aluminum, copper, molybdenum, palladium, and gold with atomic number ranging from 13 to 79. A simple set of parameters can describe the currently used Makhov profile in slow positron studies of solids. We provide curves and tables for the parameters that can be used to describe the implantation profiles of positrons in any material with atomic number in between 13 and 79

  12. An electron cyclotron resonance ion source based low energy ion beam platform

    International Nuclear Information System (INIS)

    Sun, L. T.; Shang, Y.; Ma, B. H.; Zhang, X. Z.; Feng, Y. C.; Li, X. X.; Wang, H.; Guo, X. H.; Song, M. T.; Zhao, H. Y.; Zhang, Z. M.; Zhao, H. W.; Xie, D. Z.

    2008-01-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed

  13. An electron cyclotron resonance ion source based low energy ion beam platform.

    Science.gov (United States)

    Sun, L T; Shang, Y; Ma, B H; Zhang, X Z; Feng, Y C; Li, X X; Wang, H; Guo, X H; Song, M T; Zhao, H Y; Zhang, Z M; Zhao, H W; Xie, D Z

    2008-02-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed.

  14. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  15. Very low-energy and low-fluence ion beam bombardment of naked plasmid DNA

    International Nuclear Information System (INIS)

    Norarat, R.; Semsang, N.; Anuntalabhochai, S.; Yu, L.D.

    2009-01-01

    Ion beam bombardment of biological organisms has been recently applied to mutation breeding of both agricultural and horticultural plants. In order to explore relevant mechanisms, this study employed low-energy ion beams to bombard naked plasmid DNA. The study aimed at simulation of the final stage of the process of the ion beam bombardment of real cells to check whether and how very low-energy and low-fluence of ions can induce mutation. Argon and nitrogen ions at 5 keV and 2.5 keV respectively bombarded naked plasmid DNA pGFP to very low-fluences, an order of 10 13 ions/cm 2 . Subsequently, DNA states were analyzed using electrophoresis. Results provided evidences that the very low-energy and low-fluence ion bombardment indeed altered the DNA structure from supercoil to short linear fragments through multiple double strand breaks and thus induced mutation, which was confirmed by transfer of the bombarded DNA into bacteria Escherichia coli and subsequent expression of the marker gene.

  16. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  17. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  18. Design for a low temperature ion implantation and luminescence cryostat

    International Nuclear Information System (INIS)

    Noonan, J.R.; Kirkpatrick, C.G.; Myers, D.R.; Streetman, B.G.

    1976-01-01

    Several simple design changes of a conventional liquid helium optical Dewar can significantly improve the cryostat's versatility for use in low temperature particle irradiation. A bellows assembly provides precise sample positioning and allows convenient access for electrical connections. A heat exchanger consisting of thin walled tubing with a 'goose neck' bend provides a simple, effective means of cooling the sample as well as excellent thermal isolation of the sample holder from the coolant reservoir during controlled anneals. The addition of a vane-type vacuum valve, optical windows, and a rotatable tailpiece facilitates the study of optical properties of materials following low temperature ion implantation. (author)

  19. Robust and Energy-Efficient Ultra-Low-Voltage Circuit Design under Timing Constraints in 65/45 nm CMOS

    Directory of Open Access Journals (Sweden)

    David Bol

    2011-01-01

    Full Text Available Ultra-low-voltage operation improves energy efficiency of logic circuits by a factor of 10×, at the expense of speed, which is acceptable for applications with low-to-medium performance requirements such as RFID, biomedical devices and wireless sensors. However, in 65/45 nm CMOS, variability and short-channel effects significantly harm robustness and timing closure of ultra-low-voltage circuits by reducing noise margins and jeopardizing gate delays. The consequent guardband on the supply voltage to meet a reasonable manufacturing yield potentially ruins energy efficiency. Moreover, high leakage currents in these technologies degrade energy efficiency in case of long stand-by periods. In this paper, we review recently published techniques to design robust and energy-efficient ultra-low-voltage circuits in 65/45 nm CMOS under relaxed yet strict timing constraints.

  20. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  1. Photoluminescence of Se-related oxygen deficient center in ion-implanted silica films

    International Nuclear Information System (INIS)

    Zatsepin, A.F.; Buntov, E.A.; Pustovarov, V.A.; Fitting, H.-J.

    2013-01-01

    The results of low-temperature time-resolved photoluminescence (PL) investigation of thin SiO 2 films implanted with Se + ions are presented. The films demonstrate an intensive PL band in the violet spectral region, which is attributed to the triplet luminescence of a new variant of selenium-related oxygen deficient center (ODC). The main peculiarity of the defect energy structure is the inefficient direct optical excitation. Comparison with spectral characteristics of isoelectronic Si-, Ge- and SnODCs show that the difference in electronic properties of the new center is related to ion size factor. It was established that the dominating triplet PL excitation under VUV light irradiation is related to the energy transfer from SiO 2 excitons. A possible model of Se-related ODC is considered. -- Highlights: • The low-temperature photoluminescence of thin SiO 2 films implanted with Se + ions was studied. • The 3.4 eV PL band was attributed to triplet luminescence of Se-related ODC. • The peculiarity of SeODC electronic properties is related to ion size factor. • The dominating VUV excitation of triplet PL is related to energy transfer from SiO 2 excitons. • A possible model of Se-related ODC is considered

  2. Nuclear structure studies with low-energy light ions: fundamental and applied

    International Nuclear Information System (INIS)

    Mazumdar, I.

    2016-01-01

    Studies in low and medium energy nuclear physics have been dominated by heavy-ion induced reactions for last five decades. Heavy-ion induced nuclear reactions have enriched our knowledge of the structural evolutions and intricacies of reaction dynamics of the nuclear many-body systems. However, the emergence and rise of heavy-ion physics have seen a general decline in studies with low- and medium-energy light-ion beams. The harsh reality of dwindling number of low-energy light ion facilities adversely affect research in nuclear physics. Very low-energy and high current light-ion facilities immediately conjures up in our minds the studies in nuclear astrophysics. Measurements of light-ion capture cross sections and astrophysical S factors are the major themes of research at most of the light-ion facilities. However, the importance low energy light-ion beams is multifarious. A variety of measurements providing vital support and inputs to heavy-ion research can only be carried out at the low-energy, light-ion facilities. Light-ion beams are also useful for generation of mono-energetic neutron beams. In this talk I will draw from some of our recent measurements to show the importance of light-ion beams in nuclear astrophysics and also in applied nuclear physics. (author)

  3. Ultra-relativistic heavy ions and the CBA

    International Nuclear Information System (INIS)

    McLerran, L.D.

    1982-01-01

    The study of ultra-relativistic heavy ions at an accelerator such as the CBA provides a unique glimpse of matter as it may have appeared in the early universe. This hot dense matter very probably appears as a quark-gluon plasma which expands and cools into hadronic matter. The CBA would provide data at the very highest energies, and produce matter at the highest energy densities. The possibility of using a cyclotron to inject very heavy ions into the AGS and then into the CBA would also allow the production of quark-gluon matter at higher energy densities than would light ions, and would make the matter in a larger volume where surface effects are minimized. At the highest energies with very heavy ions, there is great flexibility in the experimental signals which might be studied, as well as the nature of the matter which is produced. Some of the possibilities are discussed

  4. Medium energy ion scattering (MEIS)

    International Nuclear Information System (INIS)

    Dittmann, K.; Markwitz, A.

    2009-01-01

    This report gives an overview about the technique and experimental study of medium energy ion scattering (MEIS) as a quantitative technique to determine and analyse the composition and geometrical structure of crystalline surfaces and near surface-layers by measuring the energy and yield of the backscattered ions. The use of a lower energy range of 50 to 500 keV accelerated ions impinging onto the target surface and the application of a high-resolution electrostatic energy analyser (ESA) makes medium energy ion scattering spectroscopy into a high depth resolution and surface-sensitive version of RBS with less resulting damage effects. This report details the first steps of research in that field of measurement technology using medium energetic backscattered ions detected by means of a semiconductor radiation detector instead of an ESA. The study of medium energy ion scattering (MEIS) has been performed using the 40 keV industrial ion implanter established at GNS Sciences remodelled with supplementary high voltage insulation for the ion source in order to apply voltages up to 45 kV, extra apertures installed in the beamline and sample chamber in order to set the beam diameter accurately, and a semiconductor radiation detector. For measurement purposes a beam of positive charged helium ions accelerated to an energy of about 80 keV has been used impinging onto target surfaces of lead implanted into silicon (PbSi), scandium implanted into aluminium (ScAl), aluminium foil (Al) and glassy carbon (C). First results show that it is possible to use the upgraded industrial implanter for medium energy ion scattering. The beam of 4 He 2+ with an energy up to 88 keV has been focussed to 1 mm in diameter. The 5 nA ion beam hit the samples under 2 x 10 -8 mbar. The results using the surface barrier detector show scattering events from the samples. Cooling of the detector to liquid nitrogen temperatures reduced the electronic noise in the backscattering spectrum close to zero. A

  5. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  6. Nonlinear effects in defect production by atomic and molecular ion implantation

    International Nuclear Information System (INIS)

    David, C.; Dholakia, Manan; Chandra, Sharat; Nair, K. G. M.; Panigrahi, B. K.; Amirthapandian, S.; Amarendra, G.; Varghese Anto, C.; Santhana Raman, P.; Kennedy, John

    2015-01-01

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al 3 , resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed due to Al 4 implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations

  7. International meeting on micro- and nanotechnologies with application of ion beams accelerated up to low and medium energies. Abstracts of reports

    International Nuclear Information System (INIS)

    Romanov, V.A.

    2007-01-01

    The collection contains abstracts presented on the International meeting Micro- and nanotechnologies with application of ion beams accelerated up to low and medium energies which took place 16-18 October 2007 in Obninsk (Russian Federation). The potentialities of ion implantation for creation of nanostructures is discussed. The accelerator complexes applied for manufacture of nanostructural materials are considered [ru

  8. Study and realisation of plane optical waveguides in amorphous silica by ion implantation

    International Nuclear Information System (INIS)

    Moutonnet, Danielle

    1974-01-01

    Within the framework of the replacement of radio-electric waves by light waves as support of information transmission in telecommunications, this research thesis addresses the use of ion implantation for the development of small waveguides with low losses. The author first describes how such waveguides can be characterised by studying the propagation of an electromagnetic wave in a plane waveguide, and the different ways to introduce energy in these waveguides. Then, she discusses how the obtained results can be used to determine the main parameters of an optical waveguide, or more generally of a thin transparent layer for a chosen wavelength. In the second part, the author reports the application of this general method to the case of guides obtained by ion implantation. She notably identifies the possibilities of ion implantation as technological tool to develop waveguides, and discusses how the performed experiments allow a better understanding of physical mechanisms occurring during implantation. In this second part, she recalls generally admitted theories about ion implantation, describes experiment principles (implantation of oxygen or nitrogen ions into amorphous silica followed by annealing) and discusses the obtained results (increase of the refraction index, i.e. of the guiding effect, stronger for oxygen than for nitrogen) [fr

  9. Surface engineering with ion beams: from self-organized nanostructures to ultra-smooth surfaces

    International Nuclear Information System (INIS)

    Frost, F.; Ziberi, B.; Schindler, A.; Rauschenbach, B.

    2008-01-01

    Low-energy ion-beam sputtering, i.e. the removal of atoms from a surface due to the impact of energetic ions or atoms, is an inherent part of numerous surface processing techniques. Besides the actual removal of material, this surface erosion process often results in a pronounced alteration of the surface topography. Under certain conditions, sputtering results in the formation of well-ordered patterns. This self-organized pattern formation is related to a surface instability between curvature-dependent sputtering that roughens the surface and smoothing by different surface relaxation mechanisms. If the evolution of surface topography is dominated by relaxation mechanisms, surface smoothing can occur. In this presentation the current status of self-organized pattern formation and surface smoothing by low-energy ion-beam erosion of Si and Ge is summarized. In detail it will be shown that a multitude of patterns as well as ultra-smooth surfaces can develop, particularly on Si surfaces. Additionally, the most important experimental parameters that control these processes are discussed. Finally, examples are given for the application of low-energy ion beams as a novel approach for passive optical device engineering for many advanced optical applications. (orig.)

  10. Comparison of proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra, Australian Capital Territory 0200 (Australia)

    2007-05-02

    We report and compare proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots (QDs). After ion implantation at 20-300 deg. C, the QDs are rapid thermally annealed at 850 deg. C for 30 s. Proton implantation induces less energy shift than P ion implantation for a given concentration of atomic displacements due to the more efficient dynamic annealing of the defects created by protons. The implantation-induced energy shift reaches a maximum value of about 260 meV for a dose of 5 x 10{sup 12} ions cm{sup -2} in the P ion implanted QDs, which also show narrower PL linewidths compared to the proton implanted QDs. We also report the effects of an InGaAs top cap layer on the ion implantation-induced QD intermixing and show that defect production and annihilation processes evolve differently in InGaAs and InP layers and vary with the implantation temperature. When the implantation is performed at higher temperatures, the energy shift of the P ion implanted QDs capped with an InP layer increases due to the reduction in larger defect cluster formation at higher temperatures, while the energy shift of the proton implanted QDs decreases due to increased dynamic annealing irrespective of their cap layers.

  11. Research on nitrogen implantation energy dependence of the properties of SIMON materials

    International Nuclear Information System (INIS)

    Zhang, E.X.; Sun, J.Y.; Chen, J.; Chen, M.; Zhang, Zh.X.; Li, N.; Zhang, G.Q.; Wang, X.

    2006-01-01

    With different implantation energies, nitrogen ions were implanted into SIMOX wafers in our work. And then the wafers were subsequently annealed to form separated by implantation of oxygen and nitrogen (SIMON) wafers. Secondary ion mass spectroscopy (SIMS) was used to observe the distribution of nitrogen and oxygen in the wafers. The result of electron paramagnetic resonance (EPR) was suggested by the dandling bonds densities in the wafers changed with N ions implantation energies. SIMON-based SIS capacitors were made. The results of the C-V test confirmed that the energy of nitrogen implantation affects the properties of the wafers, and the optimum implantation energy was determined

  12. Extreme ultra-violet emission spectroscopy of highly charged gadolinium ions with an electron beam ion trap

    International Nuclear Information System (INIS)

    Ohashi, Hayato; Nakamura, Nobuyuki; Sakaue, Hiroyuki A

    2013-01-01

    We present extreme ultra-violet emission spectra of highly charged gadolinium ions obtained with an electron beam ion trap at electron energies of 0.53–1.51 keV. The electron energy dependence of the spectra in the 5.7–11.3 nm range is compared with calculation with the flexible atomic code. (paper)

  13. A novel kerf-free wafering process combining stress-induced spalling and low energy hydrogen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pingault, Timothee; Pokam-Kuisseu, Pauline Sylvia; Ntsoenzok, Esidor [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Blondeau, Jean-Philippe [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Universite d' Orleans, Chateau de la Source, 45100 Orleans (France); Ulyashin, Alexander [SINTEF, Forskningsveien 1, 0314 Oslo (Norway); Labrim, Hicham; Belhorma, Bouchra [CNESTEN, B.P. 1382 R.P., 10001 Rabat (Morocco)

    2016-12-15

    In this work, we studied the potential use of low-energy hydrogen implantation as a guide for the stress-induced cleavage. Low-energy, high fluence hydrogen implantation in silicon leads, in the right stiffening conditions, to the detachment of a thin layer, around a few hundreds nm thick, of monocrystalline silicon. We implanted monocrystalline silicon wafers with low-energy hydrogen, and then glued them on a cheap metal layer. Upon cooling down, the stress induced by the stressor layers (hardened glue and metal) leads to the detachment of a thin silicon layer, which thickness is determined by the implantation energy. We were then able to clearly demonstrate that, as expected, hydrogen oversaturation layer is very efficient to guide the stress. Using such process, thin silicon layers of around 710 nm-thick were successfully detached from low-energy implanted silicon wafers. Such layers can be used for the growth of very good quality monocrystalline silicon of around 50 μm-thick or less. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Mechanism of long-range penetration of low-energy ions in botanic samples

    International Nuclear Information System (INIS)

    Liu Feng; Wang Yugang; Xue Jianming; Wang Sixue; Du Guanghua; Yan Sha; Zhao Weijiang

    2002-01-01

    The authors present experimental evidence to reveal the mechanism of long-range penetration of low-energy ions in botanic samples. In the 100 keV Ar + ion transmission measurement, the result confirmed that low-energy ions could penetrate at least 60 μm thick kidney bean slices with the probability of about 1.0 x 10 -5 . The energy spectrum of 1 MeV He + ions penetrating botanic samples has shown that there is a peak of the count of ions with little energy loss. The probability of the low-energy ions penetrating the botanic sample is almost the same as that of the high-energy ions penetrating the same samples with little energy loss. The results indicate that there are some micro-regions with mass thickness less than the projectile range of low-energy ions in the botanic samples and they result in the long-range penetration of low-energy ions in botanic samples

  15. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  16. Nitriding of AISI 4140 steel by a low energy broad ion source

    International Nuclear Information System (INIS)

    Ochoa, E. A.; Figueroa, C. A.; Alvarez, F.

    2006-01-01

    A comprehensive study of the thermochemical nitriding process of steel AISI 4140 by low energy ion implantation (Kaufmann cell) is reported. Different times of implantation were employed and the studied samples were characterized by x-ray diffraction, in situ photoemission electron spectroscopy, scanning electron microscopy, and hardness (nanoindentation) measurements. The linear relationship between nitrogen content and hardness was verified. The structure of the nitrided layer was characterized yielding that the compound layer is formed by coarse precipitates, around small grains, constituted principally by ε-Fe 2-3 N and γ-Fe 4 N phases and the diffusion zone is formed by fine precipitates, around big grains of the original martensitic phase, constituted principally by γ-Fe 4 N phase. Finally, a diffusion model for multiphase systems was applied to determine effective diffusion coefficients of nitrogen in the different phases

  17. Pulse height defect in ion implanted silicon detector for heavy ions with Z=6-28 in the energy range ∼ 0.25-2.5 MeV/u

    International Nuclear Information System (INIS)

    Diwan, P.K.; Sharma, V.; Shyam Kumar; Avasthi, D.K.

    2005-01-01

    The response of ion implanted silicon detector has been studied for heavy ions with Z= 6-28 in the energy range ∼ 0.25-2.5 MeV/u utilizing the 15UD Pelletron Accelerator facility at Nuclear Science Centre, New Delhi, India. The variation of pulse height in ion implanted silicon detector with projectile's atomic number and its energy have been investigated. It has been observed that pulse height-energy calibration for a given projectile is described well by a linear relationship indicating no pulse height defect with the variation in energy for specific Z projectile. Pulse height defect has been found to increase with increasing projectile atomic number. The mean slope of the collected charge signal versus projectile energy depends significantly on the atomic number of the projectile. (author)

  18. Studies on biological effects of low energy N+ on ion beam implantation rice

    International Nuclear Information System (INIS)

    Wang Songli; Huang Qunce; Wang Tiegu; Qin Guangyong

    2006-01-01

    Dry seeds of five varieties of rice were implanted by 25 KeV low energy N + with doses of 2.0 x 10 17 , 2.5 x 10 17 and 3.0 x 10 17 N + /cm 2 , respectively. Mutant plants were selected from their progenies and the biological effects of the mutant plants were studied. The results showed that chlorophyll content of mutant plants was higher than that of the control, and one of those is as high as 148.67% of chlorophyll content compared with the control. Isoenzyme activities (POD, CAT and SOD) of mutant plants were different from the control plants. And AFLP analysis showed that the similarity between variant plants and feminine control plants was higher than that between variant plants and masculine control plants. (authors)

  19. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  20. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  1. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    Science.gov (United States)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  2. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  3. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  4. Ultra-low temperature process by ion shower doping technique for poly-Si TFTs on plastics

    International Nuclear Information System (INIS)

    Kim, Jong-Man; Lim, Huck; Kim, Do-Young; Jung, Ji-Sim; Kwon, Jang-Yeon; Hong, Wan-Shick; Noguchi, Takashi

    2006-01-01

    An ion doping process was performed by using a basic ion shower system. After ion doping and subsequent activation of the dopants in the Si film by excimer laser annealing (ELA), we studied the crystallinity of the Si surface using UV-reflectance spectroscopy and the sheet resistance by using 4-point probe measurements. To prevent excessive temperature increase on the plastic substrate during ion shower doping, the plasma shower was applied in a series of short pulses. As a result, dopant ions were efficiently incorporated and were activated into the a-Si film on plastic substrate after ELA. The sheet resistance decreased with increase of actual doping time, which corresponds to the incorporated dose. Also, we confirmed a distinct relationship between the crystallinity and the sheet resistance. This work shows that pulsed ion shower doping is a promising technique for ultra-low-temperature poly-Si TFTs on plastic substrates.

  5. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  6. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  7. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  8. Breeding of Coenzyme Q10 Produced Strain by Low-Energy Ion Implantation and Optimization of Coenzyme Q10 Fermentation

    International Nuclear Information System (INIS)

    Xu Dejun; Zheng Zhiming; Wang Peng; Wang Li; Yuan Hang; Yu Zengliang

    2008-01-01

    In order to increase the production efficiency of coenzyme Q 10 , the original strain Agrobacterium tumefaciens ATCC 4452 was mutated by means of Nitrogen ions implantation. A mutant strain, ATX 12, with high contents of coenzyme Q 10 was selected. Subsequently, the conditions such as carbohydrate concentration, nitrogen source concentration, inoculum's size, seed age, aeration and temperature which might affect the production of CoQ 10 were investigated in detail. Under optimal conditions, the maximum concentration of the intracellular CoQ 10 reached 200.3 mg/L after 80 h fed-batch fermentation, about 245% increasing in CoQ 10 production after ion implantation, compared to the original strain. (ion beam bioengineering)

  9. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  10. Single ion implantation for single donor devices using Geiger mode detectors

    International Nuclear Information System (INIS)

    Bielejec, E; Seamons, J A; Carroll, M S

    2010-01-01

    Electronic devices that are designed to use the properties of single atoms such as donors or defects have become a reality with recent demonstrations of donor spectroscopy, single photon emission sources, and magnetic imaging using defect centers in diamond. Ion implantation, an industry standard for atom placement in materials, requires augmentation for single ion capability including a method for detecting a single ion arrival. Integrating single ion detection techniques with the single donor device construction region allows single ion arrival to be assured. Improving detector sensitivity is linked to improving control over the straggle of the ion as well as providing more flexibility in lay-out integration with the active region of the single donor device construction zone by allowing ion sensing at potentially greater distances. Using a remotely located passively gated single ion Geiger mode avalanche diode (SIGMA) detector we have demonstrated 100% detection efficiency at a distance of >75 μm from the center of the collecting junction. This detection efficiency is achieved with sensitivity to ∼600 or fewer electron-hole pairs produced by the implanted ion. Ion detectors with this sensitivity and integrated with a thin dielectric, for example a 5 nm gate oxide, using low energy Sb implantation would have an end of range straggle of -1 and 10 -4 for operation temperatures of ∼300 K and ∼77 K, respectively. Low temperature operation and reduced false, 'dark', counts are critical to achieving high confidence in single ion arrival. For the device performance in this work, the confidence is calculated as a probability of >98% for counting one and only one ion for a false count probability of 10 -4 at an average ion number per gated window of 0.015.

  11. Films deposited from reactive sputtering of aluminum acetylacetonate under low energy ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Battaglin, Felipe Augusto Darriba; Prado, Eduardo Silva; Cruz, Nilson Cristino da; Rangel, Elidiane Cipriano, E-mail: elidiane@sorocaba.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Sorocaba, SP (Brazil). Lab. de Plasmas Tecnologicos; Caseli, Luciano [Universidade Federal de Sao Paulo (UNIFESP), Diadema, SP (Brazil). Instituto de Ciencias Ambientais, Quimicas e Farmaceuticas; Silva, Tiago Fiorini da; Tabacniks, Manfredo Harri [Universidade de Sao Paulo (USP), SP (Brazil). Instituto de Fisica

    2017-07-15

    Films were deposited from aluminum acetylacetonate (Al(acac)3 ) using a methodology involving reactive sputtering and low energy ion bombardment. The plasma was generated by the application of radiofrequency power to the powder containing electrode and simultaneously, negative pulses were supplied to the electrode where the substrates were attached. It was investigated the effect of the duty cycle of the pulses (Δ) on the properties of the coatings. Association of ion bombardment to the deposition process increased film thickness, structure reticulation and organic content. Ions from the deposition environment were implanted at the film-air interface or underneath it. Morphology and topography were altered depending on Δ. Considering the enhancement of Δ, it affected the flux of ions reaching the depositing interface and then the deposition rate, H content, crosslinking degree and surface microstructure. Alumina groups were detected in the infrared spectra, whereas the precipitation of amorphous alumina was confirmed by X-ray diffraction. (author)

  12. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  13. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  14. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  15. Ion implantation by isotope separator on line (ISOL) of indium isotopes

    International Nuclear Information System (INIS)

    Hanada, Reimon; Murayama, Mitsuhiro; Saito, Shigeru; Nagata, Shinji; Yamaguchi, Sadaei; Shinozuka, Tsutomu; Fujioka, Manabu.

    1994-01-01

    111 In has been known as the nuclide which is most suitable to perturbed angular correlation (PAC) process, as the life of its intermediate state is long , the half life is proper in view of the measurement and radiation control, and it is easily available as its chloride is on the market. In the PAC, it is necessary to introduce this probe nuclei into samples. The most simple method is diffusion process, but in the materials, of which the solid-solubility of In is low like Fe and Si, the introduction is very difficult, therefore, it is necessary to do ion implantation. The development of this process was tried, and the results are reported. For the experiment, the ISOL in the cyclotron RI center, Tohoku University, was used as the accelerator for the implantation. The experimental method is explained. As the results, in the case of nonradioactive In implantation, the Ruthereford back scattering (RBS) spectra of the Si in which In was implanted, the spectra when the channeling condition was satisfied, and the results of measuring the angle dependence of channeling for In and In-implanted Si are shown. In the case of the ion implantation of radioactive 111 In, the energy spectra of In-implanted Si, the PAC spectra of In-implanted Si samples, and the PAC spectra for pure iron and Fe-Si alloy are shown. The further improvement of the ion sources is necessary. (K.I.)

  16. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  17. Low-energy ion beam synthesis of Ag endotaxial nanostructures in silicon

    Science.gov (United States)

    Nagarajappa, Kiran; Guha, Puspendu; Thirumurugan, Arun; Satyam, Parlapalli V.; Bhatta, Umananda M.

    2018-06-01

    Coherently, embedded metal nanostructures (endotaxial) are known to have potential applications concerning the areas of plasmonics, optoelectronics and thermoelectronics. Incorporating appropriate concentrations of metal atoms into crystalline silicon is critical for these applications. Therefore, choosing proper dose of low-energy ions, instead of depositing thin film as a source of metal atoms, helps in avoiding surplus concentration of metal atoms that diffuses into the silicon crystal. In this work, 30 keV silver negative ions are implanted into a SiO x /Si(100) at two different fluences: 1 × 1015 and 2.5 × 1015 Ag- ions/cm2. Later, the samples are annealed at 700 °C for 1 h in Ar atmosphere. Embedded silver nanostructures have been characterized using planar and cross-sectional TEM (XTEM) analysis. Planar TEM analysis shows the formation of mostly rectangular silver nanostructures following the fourfold symmetry of the substrate. XTEM analysis confirms the formation of prism-shaped silver nanostructures embedded inside crystalline silicon. Endotaxial nature of the embedded crystals has been discussed using selected area electron diffraction analysis.

  18. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  19. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  20. Comparison of single and mixed ion implantation effects on the changes of the surface hardness, light transmittance, and electrical conductivity of polymeric materials

    International Nuclear Information System (INIS)

    Park, J. W.; Lee, J. H.; Lee, J. S.; Kil, J. G.; Choi, B. H.; Han, Z. H.

    2001-01-01

    Single or mixed ions of N, He, C were implanted onto the transparent PET(Polyethylen Terephtalate) with the ion energies of less than 100 keV and the surface hardness, light transmittance and electrical conductivity were examined. As measured with nanoindentation, mixed ion implantations such as N + +He + or N + + C + exhibited more increase in the surface hardness than the single ion implantation. Especially, implantation of C+N ions increased the surface hardness by about three times as compared to the implantation of N ion alone, which means more than 10 times increase than the untreated PET. Surface electrical conductivity was increased along with the hardness increase. The conductivity increase was more proportional to the hardness when used the higher ion energy and ion dose, while it did not show any relationship at as low as 50 keV of ion energy. The light at the 550 nm wavelength (visual range) transmitted more than 85%, which is close to that of as-received PET, and at the wavelength below 300 nm(UV range) the rays were absorbed more than 95% as traveling through the sheet, implying that there are processing parameters which the ion implanted PET maintains the transparency and absorbs the UV rays

  1. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  2. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  4. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  5. Implantation of D+ ions in niobium and deuterium gas reemission

    International Nuclear Information System (INIS)

    Pisarev, A.A.; Tel'kovskij, V.G.

    1975-01-01

    This is a study of the implanting and reflex gasoisolation of D ions in niobium. It has been discovered that deutrium scope and gasoisolation are defined by several processes. An assumption is made that in ion bombarding conditions the implanting solutions are possible to exist and that deutrium can be replaced on the basis of niobium and hydrid compounds NbxDy. The portion of the particles entrained in the metal in one or another way depends on the ion energy. The dependence of the scope coefficient of n D + ions from the target temperature in the range of 290-1500 K was registered. An increase of the scope coefficient of the ions at high temperature with an increase of the ion energy was discovered

  6. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  7. Low energy ion beam dynamics of NANOGAN ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sarvesh, E-mail: sarvesh@iuac.res.in; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  8. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  9. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  10. Damage of copper by low energy xenon ions

    International Nuclear Information System (INIS)

    Babad-Zakhryapin, A.A.; Popenko, V.A.

    1988-01-01

    Changes in the copper crystal structure bombarded by xenon ions with 30-150 eV energy are studied. Foils of MOb copper mark, 10 mm in diameter and 100 μm thickness, are irradiated. The initial specimens are annealed in vacuum during 1 h at 900 K temperature. The specimens are bombarded by xenon ions in a water-cooled holder. A TE-O type accelerator serves as a xenon ion source. The ion energy varies within 30 to 150 eV range. The ion flux density is 8x10 16 ion/(cm 2 xs). It is shown that crystal structure variations at deep depths are observed not only at high (>1 keV), but at low ion energies down to several dozens of electronvolt as well. The crystal structure variation on copper irradiation by xenon ions with 30-150 eV energy is followed by formation of defects like dislocation loops, point defects in the irradiated target bulk

  11. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  12. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  13. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  14. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  15. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  16. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of structural changes of the polyethylene after ion implantation. There were used the polyethylene film with thickness 15 μm, and Ar + ions with energy 63 keV and Xe + ions with energy 156 keV with doses 1·10 13 - 3·10 15 cm -2 for experiments. For the study of structural changes of polymer were used methods UV-VIS spectrometry, ESR, Rutherford back scattering

  17. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  18. CMOS circuits for electromagnetic vibration transducers interfaces for ultra-low voltage energy harvesting

    CERN Document Server

    Maurath, Dominic

    2015-01-01

    Chip-integrated power management solutions are a must for ultra-low power systems. This enables not only the optimization of innovative sensor applications. It is also essential for integration and miniaturization of energy harvesting supply strategies of portable and autonomous monitoring systems. The book particularly addresses interfaces for energy harvesting, which are the key element to connect micro transducers to energy storage elements. Main features of the book are: - A comprehensive technology and application review, basics on transducer mechanics, fundamental circuit and control design, prototyping and testing, up to sensor system supply and applications. - Novel interfacing concepts - including active rectifiers, MPPT methods for efficient tracking of DC as well as AC sources, and a fully-integrated charge pump for efficient maximum AC power tracking at sub-100µW ultra-low power levels. The chips achieve one of widest presented operational voltage range in standard CMOS technology: 0.44V to over...

  19. GC-MS analysis of ethanol solution with D2O as solvent implanted by low energy N+

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Yu Zengliang

    2001-10-01

    Low energy ions were produced by N 2 glow-discharge. The positive ones were accelerated into ethanol solution with D 2 O as solvent to induce chemical reactions. GC-MS analysis showed that DCH 2 CH 2 OH, HOCH 2 CH 2 OD were produced by such kind of implantation. Thus, it was proved that the reaction was mainly caused by radicals generated by decomposition of water molecules, D . and . OD played an important role in the process. Meanwhile, CH 3 COOD and HOCH 2 CH 2 ND 2 were also found in the products, so it was concluded that the reaction was carried out under an oxidative atmosphere, which was thought to be probably related to . OD radicals, too. The capture of D from D 2 O by N + to form . ND 2 radicals was not only an initial step to produce HOCH 2 CH 2 ND 2 but also served as a probable pattern for 'nitrogen deposition'. All these were helpful to reveal the mechanism of the reaction induced by low energy N + implanting into solution samples

  20. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  1. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  2. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  3. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  4. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  5. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  6. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  7. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  8. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  9. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  10. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  11. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  12. Impact of Low-Energy Ion Beam Implantation on the Expression of Ty1-copia-like Retrotransposons in Wheat (Triticum aestivum)

    International Nuclear Information System (INIS)

    Ya Huiyuan; Jiao Zhen; Gu Yunhong; Wang Weidong; Qin Guangyong; Huo Yuping

    2007-01-01

    Retrotransposon-like elements are major constituents of most eukaryotic genomes. For example, they account for roughly 90% of the wheat (Triticum aestivum) genome. Previous study on a wheat strain treated by low-energy N + ions indicated the variations in AFLP (Amplified Fragment Length Polymorphism ) markers. One such variation was caused by the re-activation of Ty1-copia-like retrotransposons, implying that the mutagenic effects of low-energy ions might work through elevated activation of retrotransposons. In this paper an expression profile of Ty1-copia-like retrotransposons in wheat treated by low-energy N + ions is reported. The reverse transcriptase (RT) domains of these retrotransposons were amplified by reverse-transcriptional polymerase chain reaction (RT-PCR) and sequentially cloned. 42 and 65 clones were obtained from the treated (CL) and control materials (CK), respectively. Sequence analysis of each clone was performed by software. Phylogeny and classification were calculated responding to the sequences of the RT domains. All the results show that there is much difference in the RT domain between the control sample and the treated sample. Especially, the RT domains from the treated group encode significantly more functional ORF (open reading frames) than those from the control sample. This observation suggests that the treated sample has higher activation of retrotransposons, possibly as a consequence of low-energy ion beam irradiation. It also suggests that retrotransposons in the two groups impact the host gene expression in two different ways and carry out different functions in wheat cells

  13. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  14. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  15. Study of multi-layered graphene by ultra-low energy SEM/STEM

    Czech Academy of Sciences Publication Activity Database

    Mikmeková, Eliška; Frank, Luděk; Müllerová, Ilona; Li, B. W.; Ruoff, R. S.; Lejeune, M.

    2016-01-01

    Roč. 63, March 2016 (2016), s. 136-142 ISSN 0925-9635 R&D Projects: GA TA ČR(CZ) TE01020118; GA MŠk(CZ) LO1212 EU Projects: European Commission(XE) 606988 - SIMDALEE2 Institutional support: RVO:68081731 Keywords : scanning ultra low energy electron microscopy * graphene * contamination * CVD Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.561, year: 2016

  16. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  17. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  18. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  19. Ultralow energy ion beam surface modification of low density polyethylene.

    Science.gov (United States)

    Shenton, Martyn J; Bradley, James W; van den Berg, Jaap A; Armour, David G; Stevens, Gary C

    2005-12-01

    Ultralow energy Ar+ and O+ ion beam irradiation of low density polyethylene has been carried out under controlled dose and monoenergetic conditions. XPS of Ar+-treated surfaces exposed to ambient atmosphere show that the bombardment of 50 eV Ar+ ions at a total dose of 10(16) cm(-2) gives rise to very reactive surfaces with oxygen incorporation at about 50% of the species present in the upper surface layer. Using pure O+ beam irradiation, comparatively low O incorporation is achieved without exposure to atmosphere (approximately 13% O in the upper surface). However, if the surface is activated by Ar+ pretreatment, then large oxygen contents can be achieved under subsequent O+ irradiation (up to 48% O). The results show that for very low energy (20 eV) oxygen ions there is a dose threshold of about 5 x 10(15) cm(-2) before surface oxygen incorporation is observed. It appears that, for both Ar+ and O+ ions in this regime, the degree of surface modification is only very weakly dependent on the ion energy. The results suggest that in the nonequilibrium plasma treatment of polymers, where the ion flux is typically 10(18) m(-2) s(-1), low energy ions (<50 eV) may be responsible for surface chemical modification.

  20. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    Science.gov (United States)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  1. Radiation blistering of Nb implanted sequentially with helium ions of different energies (3-500 keV)

    International Nuclear Information System (INIS)

    Guseva, M.I.; Gusev, V.; Krasulin, U.L.; Martinenko, U.V.; Das, S.K.; Kaminsky, M.S.

    1976-01-01

    Cold rolled, polycrystalline niobium samples were irradiated at room temperature with 4 He + ions sequentially at 14 different energies over an energy range from 3 keV--500 keV in steps of 50 keV. The dose for each energy was chosen to give an approximately uniform concentration of helium between the implant depths corresponding to 3 keV and 500 keV. In one set of experiments the irradiations were started at the Kurchatov Institute with 3 keV 4 He + ions and extended up to 80 keV in several steps. Subsequently, the same target area was irradiated with 4 He + ions at Argonne National Laboratory (ANL) starting at 100 keV and increased to 500 keV in steps of 50 keV. Another set of irradiations were started at ANL with 500 keV 4 He + ions and continued with decreasing ion energies to 100 keV. Subsequently, the same area was irradiated at the Kurchatov Institute starting at 80 keV and continued with decreasing ion energies to 3 keV. Both sets of irradiations were completed for two different total doses, 0.5 C cm -2 and 1.0 C cm -2

  2. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  3. Ga+ implantation in a PZT film during focused ion beam micro-machining

    International Nuclear Information System (INIS)

    Wollschlaeger, Nicole; Oesterle, Werner; Haeusler, Ines; Stewart, Mark

    2015-01-01

    The objective of the present work was to study the impact of Focused Ion Beam (FIB) machining parameters on the thickness of the damaged layer within a thin film PZT. Therefore, different Ga + - ion doses and ion energies were applied to a standard PZT film (80/20 lead zirconium titanate) under two beam incidence angles (90 and 1 ). The thicknesses of the corresponding Ga + -implanted layers were then determined by cross-sectional TEM in combination with energy dispersive spectroscopic (EDS) line-scans and correlated with polarisation hysteresis loops. The results show a decrease of Ga + -implanted layer thickness with decreasing inclination angle, whereas ion energy and ion dose could be correlated with gallium concentration in the implanted layers. Under the most unfavorable conditions the depth of the affected zone was 26 nm, it was only 2 nm for the most favorable conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Low-energy ion beam extraction and transport: Experiment--computer comparison

    International Nuclear Information System (INIS)

    Spaedtke, P.; Brown, I.; Fojas, P.

    1994-01-01

    Ion beam formation at low energy (∼1 keV or so) is more difficult to accomplish than at high energy because of beam blowup by space-charge forces in the uncompensated region within the extractor, an effect which is yet more pronounced for heavy ions and for high beam current density. For the same reasons, the extracted ion beam is more strongly subject to space charge blowup than higher energy beams if it is not space-charge neutralized to a high degree. A version of vacuum arc ion source with an extractor that produces low-energy metal ion beams at relatively high current (∼0.5--10 kV at up to ∼100 mA) using a multi-aperture, accel--decel extractor configuration has been created. The experimentally observed beam extraction characteristics of this source is compared with those predicted using the AXCEL-INP code, and the implied downstream beam transport with theoretical expectations. It is concluded that the low-energy extractor performance is in reasonable agreement with the code, and that good downstream space charge neutralization is obtained. Here, the code and the experimental results are described, and the features that contribute to good low-energy performance are discussed

  5. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  6. Mutation breeding and submerged fermentation of a Pleurotus polysaccharide high-yield strain with low-energy heavy ions implantation

    International Nuclear Information System (INIS)

    Chen Henglei; Wan Honggui; Lv Changwu; Zeng Xianxian

    2010-01-01

    Pleurotus polysaccharide high-yield strains were selected through a method of auxotrophic primary screening and Shake-flask fermentation re-screening after low-energy heavy ions (the fluence of 1.2 x 10 16 N + /cm 2 at the energy of 15 keV) stepwise implantation. Two Pleurotus polysaccharide high-yield strains, PFPH-1 and PFPH-2, were selected with stable mycelium polysaccharide yield. The mycelium polysaccharide yield of PFPH-1 and PFPH-2 increased by 46.55% and 75.14%, respectively, compared to the original strain. The accumulation of mycelium biomass and intracellular polysaccharides were monitored in the submerged fermentation of Pleurotus ferulae by supplementation of various carbon and nitrogen sources as well as inorganic salts and pH alteration. The optima1 submerged fermentation medium favoring the accumulation of mycelium biomass and intracellular polysaccharides of PFPH-2 consisted of 1.0% wheat flour, 2.0% sucrose, 2.0% soybean flour, 1.5% bran extract, 0.2% K 2 HPO 4 , and 0.15% MgSO 4 ·7H 2 O, with a fittest pH value of 5.64. The orthogonal combination of the optimal carbon and nitrogen sources with inorganic salts indicates a synergistic effect on the accumulation of mycelium biomass and intracellular polysaccharides in the submerged fermentation of PFPH-2. The yield of mycelium polysaccharides of PFPH-2 increased to 903.73 ± 1.23 mg·L -1 by the end of fermentation. (authors)

  7. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  8. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  9. Luminescence model with quantum impact parameter for low energy ions

    CERN Document Server

    Cruz-Galindo, H S; Martínez-Davalos, A; Belmont-Moreno, E; Galindo, S

    2002-01-01

    We have modified an analytical model of induced light production by energetic ions interacting in scintillating materials. The original model is based on the distribution of energy deposited by secondary electrons produced along the ion's track. The range of scattered electrons, and thus the energy distribution, depends on a classical impact parameter between the electron and the ion's track. The only adjustable parameter of the model is the quenching density rho sub q. The modification here presented, consists in proposing a quantum impact parameter that leads to a better fit of the model to the experimental data at low incident ion energies. The light output response of CsI(Tl) detectors to low energy ions (<3 MeV/A) is fitted with the modified model and comparison is made to the original model.

  10. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  11. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  12. High-resolution ion-implanted silicon detectors

    International Nuclear Information System (INIS)

    von Borany, J.; Schmidt, B.

    1985-01-01

    An account is given of the properties of silicon detectors developed at the Central Institute of Nuclear Research of the Academy of Sciences of the German Democratic Republic (Rossendorf) and made by a special planar technology using ion implantation, anodic oxidation, thermal oxidation in an oxygen atmosphere containing HCl, and annealing by pulses of 10--20 msec duration. The resolution for α particles of 5.5 MeV energy was 11.2 keV (active area A 2 ). The detectors were characterized by a low intrinsic noise (< or =5 keV), so that they could be used for spectrometry of low-energy electrons (E/sub e/< or =250 keV). In a certain range of energies (E/sub x/ = 15--60 keV) it was possible to use these detectors for spectrometry of x rays at room temperature. Examples and results of applications of detectors in radiation chemistry (investigations of backscattering of particles and nuclear reaction spectroscopy) are given. The feasibility of annealing of radiation defects in such detectors after irradiation with a large dose of charged particles is considered

  13. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  14. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  15. Heavy ion reactions at low energies

    International Nuclear Information System (INIS)

    Nemes, M.C.

    1985-01-01

    Some general features of the heavy ion reactions at low energies are presented. Some kinds of processes are studied, such as: elastic scattering, peripherical reactions, deep inelastic collisions and fusion. Both, theoretical and experimental perspectives on this field are discussed. (L.C.) [pt

  16. Momenta of particles emitted by target at intensive irradiation by low-energy ions

    CERN Document Server

    Beshenkov, V G; Marchenko, V A

    2002-01-01

    One measured the aggregate momenta of the target emitted particles at the intensive sputtering by E sub 0 approx = 0.5 keV energy heavy inert gases. For liquid and being under premelting temperature Ga target the measured values are close to the expected momenta of sputtered metallic atoms and reflection ions, for Cu and Zr targets they are essentially higher. One assumes that sputtering of atoms of gas-diffuser implanted into the target causes the surplus momentum. The estimated average energy of these atoms approx = 20 eV. Under Ga irradiation the implanted atoms diffuse mainly towards the surface and are desorbed

  17. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  18. Study of crosslinking onset and hydrogen annealing of ultra-high molecular weight polyethylene irradiated with high-energy protons

    Science.gov (United States)

    Wilson, John Ford

    1997-09-01

    Ultra high molecular weight polyethylene (UHMW-PE) is used extensively in hip and knee endoprostheses. Radiation damage from the sterilization of these endoprostheses prior to surgical insertion results in polymer crosslinking and decreased oxidative stability. The motivation for this study was to determine if UHMW-PE could be crosslinked by low dose proton irradiation with minimal radiation damage and its subsequent deleterious effects. I found that low dose proton irradiation and post irradiation hydrogen annealing did crosslink UHMW-PE and limit post irradiation oxidation. Crosslinking onset was investigated for UHMW-PE irradiated with 2.6 and 30 MeV H+ ions at low doses from 5.7 × 1011-2.3 × 1014 ions/cm2. Crosslinking was determined from gel permeation chromatography (GPC) of 1,2,4 trichlorobenzene sol fractions and increased with dose. Fourier transform infrared spectroscopy (FTIR) showed irradiation resulted in increased free radicals confirmed from increased carbonyl groups. Radiation damage, especially at the highest doses observed, also showed up in carbon double bonds and increased methyl end groups. Hydrogen annealing after ion irradiation resulted in 40- 50% decrease in FTIR absorption associated with carbonyl. The hydrogen annealing prevented further oxidation after aging for 1024 hours at 80oC. Hydrogen annealing was successful in healing radiation damage through reacting with the free radicals generated during proton irradiation. Polyethylenes, polyesters, and polyamides are used in diverse applications by the medical profession in the treatment of orthopedic impairments and cardiovascular disease and for neural implants. These artificial implants are sterilized with gamma irradiation prior to surgery and the resulting radiation damage can lead to accelerated deterioration of the implant properties. The findings in this study will greatly impact the continued use of these materials through the elimination of many problems associated with radiation

  19. Dynamics of plasma ions motion in ultra-intense laser-excited plasma wakes

    International Nuclear Information System (INIS)

    Zhou Suyun; Li Jing

    2013-01-01

    The effects of heavy ions and protons motion in an ultra-intense laser-driven plasma wake are compared by rebuilding a plasma wake model. It is shown that with the same laser and plasma background electron density n 0 , the heavy ions' motion suppresses wake-field resonant excitation less than the protons' motion in their own plasma wake. Though heavy ions obtain more kinetic energy from the plasma wake, its energy density is less than that of the protons due to the ion density being far less than the proton density. As a result, the total energy of heavy ions obtained from the wake-field is far less than that of protons. The dependence of the kinetic energy and the energy density of protons and heavy ions on n 0 is discussed. (paper)

  20. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  1. Deep level transient spectroscopy studies of charge traps introduced into silicon by channeling ion implantation of phosphorus

    International Nuclear Information System (INIS)

    McCallum, J.C.; Lay, M.; Deenapanray, P.N.K.; Jagadish, C.

    2002-01-01

    Full text: The operating conditions of a silicon-based quantum computer are expected to place stringent requirements on the quality of the material and the processes used to make it. In the Special Research Centre for Quantum Computer Technology, ion implantation is one of the principle processing techniques under investigation for forming an ordered array of phosphorus atoms. This technique introduces defect centres in silicon which act as charge traps. Charge traps are expected to be detrimental to operation of the device. These defect centres, their dependence on ion implantation and thermal annealing conditions are being quantified using Deep Level Transient Spectroscopy (DLTS). Since the aspect ratio of the masks required for the top-down fabrication process restrict the incident ions to a range of angles in which they may undergo channeling implantation in the silicon substrate, we have examined the effect of channeling implantation on the nature and quantity of the charge traps produced. This is the first time that DLTS studies have been performed for channeling implantation of a dopant species in silicon. DLTS is well-suited to the dose regime of ∼10 11 P/cm 3 required for the quantum computer, however, a standard DLTS measurement is unable to probe the shallow depth range of ∼ 20 nm required for the P atoms (∼ 10-15 keV implantation energy). Our aim has therefore been to perform P implants in the appropriate dose regime but using higher implantation energies, ∼ 75-450 keV, where DLTS can directly identify and profile the charge traps induced by the implantation step and monitor their annealing characteristics during subsequent processing. To map the behaviour observed in this energy regime onto the low energy range required for the quantum computer we are comparing the DLTS results to damage profiles predicted by the Monte Carlo code Crystal Trim which is used in the semiconductor industry to simulate ion implantation processes in crystalline

  2. An extended five-stream model for diffusion of ion-implanted dopants in monocrystalline silicon

    International Nuclear Information System (INIS)

    Khina, B.B.

    2007-01-01

    Low-energy high-dose ion implantation of different dopants (P, Sb, As, B and others) into monocrystalline silicon with subsequent thermal annealing is used for the formation of ultra-shallow p-n junctions in modern VLSI circuit technology. During annealing, dopant activation and diffusion in silicon takes place. The experimentally observed phenomenon of transient enhanced diffusion (TED), which is typically ascribed to the interaction of diffusing species with non-equilibrium point defects accumulated in silicon due to ion damage, and formation of small clusters and extended defects, hinders further down scaling of p-n junctions in VLSI circuits. TED is currently a subject of extensive experimental and theoretical investigation in many binary and multicomponent systems. However, the state-of-the-art mathematical models of dopant diffusion, which are based on the so-called 'five-stream' approach, and modern TCAD software packages such as SUPREM-4 (by Silvaco Data Systems, Ltd.) that implement these models encounter severe difficulties in describing TED. Solving the intricate problem of TED suppression and development of novel regimes of ion implantation and rapid thermal annealing is impossible without elaboration of new mathematical models and computer simulation of this complex phenomenon. In this work, an extended five-stream model for diffusion in silicon is developed which takes into account all possible charge states of point defects (vacancies and silicon self-interstitials) and diffusing pairs 'dopant atom-vacancy' and 'dopant atom-silicon self-interstitial'. The model includes the drift terms for differently charged point defects and pairs in the internal electric field and the kinetics of interaction between unlike 'species' (generation and annihilation of pairs and annihilation of point defects). Expressions for diffusion coefficients and numerous sink/source terms that appear in the non-linear, non-steady-state reaction-diffusion equations are derived

  3. Enhancements to the Low-Energy Ion Facility at SUNY Geneseo

    Science.gov (United States)

    Barfield, Zachariah; Kostick, Steven; Nagasing, Ethan; Fletcher, Kurt; Padalino, Stephen

    2017-10-01

    The Low Energy Ion Facility at SUNY Geneseo is used for detector development and characterization for inertial confinement fusion diagnostics. The system has been upgraded to improve the ion beam quality by reducing contaminant ions. In the new configuration, ions produced by the Peabody Scientific duoplasmatron ion source are accelerated through a potential, focused into a new NEC analyzing magnet and directed to an angle of 30°. A new einzel lens on the output of the magnet chamber focuses the beam into a scattering chamber with a water-cooled target mount and rotatable detector mount plates. The analyzing magnet has been calibrated for deuteron, 4He+, and 4He2+ ion beams at a range of energies, and no significant hysteresis has been observed. The system can accelerate deuterons to energies up to 25 keV to initiate d-d fusion using a deuterated polymer target. Charged particle spectra with protons, tritons, and 3He ions from d-d fusion have been measured at scattering angles ranging from 55° to 135°. A time-of-flight beamline has been designed to measure the energies of ions elastically scattered at 135°. CEM detectors initiate start and stop signals from secondary electrons produced when low energy ions pass through very thin carbon foils. Funded in part by the U.S. Department of Energy through the Laboratory for Laser Energetics.

  4. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  5. The low-energy-beam and ion-trap facility at NSCL/MSU

    Energy Technology Data Exchange (ETDEWEB)

    Schwarz, S. E-mail: schwarz@nscl.msu.edu; Bollen, G.; Lawton, D.; Lofy, P.; Morrissey, D.J.; Ottarson, J.; Ringle, R.; Schury, P.; Sun, T.; Varentsov, V.; Weissman, L

    2003-05-01

    The goal of the low-energy-beam and ion-trap (LEBIT) project is to convert the high-energy exotic beams produced at NSCL/MSU into low-energy low-emittance beams. This beam manipulation will be done by a combination of a high-pressure gas stopping cell and a radio-frequency quadrupole ion accumulator and buncher. The first experimental program to profit from the low-energy beams produced will be high-accuracy mass measurements on very short-lived isotopes with a 9.4 T Penning trap system. The status of the project is presented with an emphasis on recent stopping tests range of 100 MeV/A {sup 40}Ar{sup 18+} ions in a gas cell.

  6. The low-energy-beam and ion-trap facility at NSCL/MSU

    International Nuclear Information System (INIS)

    Schwarz, S.; Bollen, G.; Lawton, D.; Lofy, P.; Morrissey, D.J.; Ottarson, J.; Ringle, R.; Schury, P.; Sun, T.; Varentsov, V.; Weissman, L.

    2003-01-01

    The goal of the low-energy-beam and ion-trap (LEBIT) project is to convert the high-energy exotic beams produced at NSCL/MSU into low-energy low-emittance beams. This beam manipulation will be done by a combination of a high-pressure gas stopping cell and a radio-frequency quadrupole ion accumulator and buncher. The first experimental program to profit from the low-energy beams produced will be high-accuracy mass measurements on very short-lived isotopes with a 9.4 T Penning trap system. The status of the project is presented with an emphasis on recent stopping tests range of 100 MeV/A 40 Ar 18+ ions in a gas cell

  7. InN: Fermi level stabilization by low-energy ion bombardment

    International Nuclear Information System (INIS)

    Piper, L.F.J.; Veal, T.D.; McConville, C.F.; Lu, H.; Schaff, W.J.

    2006-01-01

    The near-surface electronic properties of InN have been investigated with high-resolution electron-energy loss spectroscopy. Low-energy (∝400 eV) nitrogen ion bombardment followed by low temperature annealing (<300 C) was found to dramatically increase the n-type conductivity of InN, close to the surface. This is explained in terms of the formation of amphoteric defects from the ion bombardment and annealing combined with the band structure of InN. Low-energy ion bombardment and annealing is shown to result in a damage-induced, donor-like defect-profile instead of the expected electron accumulation for InN. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Ultra-relativistic heavy-ion physics with AFTER@LHC

    DEFF Research Database (Denmark)

    Rakotozafindrabe, A.; Arnaldi, R.; Brodsky, Stanley

    2013-01-01

    We outline the opportunities for ultra-relativistic heavy–ion physics which are offered by a next generation and multi-purpose fixed-target experiment exploiting the proton and ion LHC beams extracted by a bent crystal.......We outline the opportunities for ultra-relativistic heavy–ion physics which are offered by a next generation and multi-purpose fixed-target experiment exploiting the proton and ion LHC beams extracted by a bent crystal....

  9. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  10. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  11. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  12. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  13. Ga{sup +} implantation in a PZT film during focused ion beam micro-machining

    Energy Technology Data Exchange (ETDEWEB)

    Wollschlaeger, Nicole; Oesterle, Werner; Haeusler, Ines [Federal Institute for Materials Research and Testing, Unter den Eichen 87, 12205 Berlin (Germany); Stewart, Mark [National Physical Laboratory, Hampton Road, Teddington Middlesex TW 11 0LW (United Kingdom)

    2015-03-01

    The objective of the present work was to study the impact of Focused Ion Beam (FIB) machining parameters on the thickness of the damaged layer within a thin film PZT. Therefore, different Ga{sup +}- ion doses and ion energies were applied to a standard PZT film (80/20 lead zirconium titanate) under two beam incidence angles (90 and 1 ). The thicknesses of the corresponding Ga{sup +}-implanted layers were then determined by cross-sectional TEM in combination with energy dispersive spectroscopic (EDS) line-scans and correlated with polarisation hysteresis loops. The results show a decrease of Ga{sup +}-implanted layer thickness with decreasing inclination angle, whereas ion energy and ion dose could be correlated with gallium concentration in the implanted layers. Under the most unfavorable conditions the depth of the affected zone was 26 nm, it was only 2 nm for the most favorable conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Ultra-low-power short-range radios

    CERN Document Server

    Chandrakasan, Anantha

    2015-01-01

    This book explores the design of ultra-low-power radio-frequency integrated circuits (RFICs), with communication distances ranging from a few centimeters to a few meters. Such radios have unique challenges compared to longer-range, higher-powered systems. As a result, many different applications are covered, ranging from body-area networks to transcutaneous implant communications and Internet-of-Things devices. A mix of introductory and cutting-edge design techniques and architectures which facilitate each of these applications are discussed in detail. Specifically, this book covers:.

  15. Development of microcontroller based instrumentation for low dose implantation

    International Nuclear Information System (INIS)

    Suresh, K.; Saravanan, K.; Panigrahi, B.K.; Nair, K.G.M.

    2011-01-01

    In experiments like ion implantation based ion track formations, the sample is implanted to low doses of the order of 10 10 ions/cm 2 , limiting the ion beam currents to be less than 1-5 x 10 -12 A. However the standard current integrators available are not sensitive to very low currents, causing an unacceptable high level of error in dose measurement. Hence a low dose implantation measurement system has been developed. It consists of a very sensitive low current preamplifier with full scale input 1nA/100pA, a standard current integrator, a microcontroller based interface circuit, which are connected to a personal computer(PC) through USB. Two types of the software are developed for the system: the microcontroller firmware using C and windows based virtual instrument programs using LabVIEW 7.0. Necessary precautions associated with pA level measurement like rigidly fastened good quality cables, low ripple DC power supply, shielding, close mounting of the preamplifier to the sample are adopted. After necessary calibrations with an ECIL make low current source, the system has been put into regular use. Design and development details, salient features are discussed in this paper. (author)

  16. Critical process temperatures for resistive InGaAsP/InP heterostructures heavily implanted by Fe or Ga ions

    Energy Technology Data Exchange (ETDEWEB)

    Fekecs, André [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, QC J1K 0A5 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); Chicoine, Martin [Département de Physique, Université de Montréal, Montréal, QC H3C 3J7 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); Ilahi, Bouraoui [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, QC J1K 0A5 (Canada); SpringThorpe, Anthony J. [Canadian Photonics Fabrication Centre, National Research Council, Ottawa, ON K1A 0R6 (Canada); Schiettekatte, François [Département de Physique, Université de Montréal, Montréal, QC H3C 3J7 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); Morris, Denis [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, QC J1K 0A5 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); and others

    2015-09-15

    Highlights: • InGaAsP/InP alloys were processed by MeV ion implantation and rapid thermal annealing. • X-ray diffraction and Hall measurement results are compared for several process conditions. • Amorphous layers formed at low implantation temperature. • Dynamic annealing prevented amorphization at implantation above room temperature. • After annealing near 500 °C, sheet resistivities of 10{sup 7} Ω/sq were obtained with low temperature Fe implantation. - Abstract: We report on critical ion implantation and rapid thermal annealing (RTA) process temperatures that produce resistive Fe- or Ga-implanted InGaAsP/InP heterostructures. Two InGaAsP/InP heterostructure compositions, with band gap wavelengths of 1.3 μm and 1.57 μm, were processed by ion implantation sequences done at multiple MeV energies and high fluence (10{sup 15} cm{sup −2}). The optimization of the fabrication process was closely related to the implantation temperature which influences the type of implant-induced defect structures. With hot implantation temperatures, at 373 K and 473 K, X-ray diffraction (XRD) revealed that dynamic defect annealing was strong and prevented the amorphization of the InGaAsP layers. These hot-implanted layers were less resistive and RTA could not optimize them systematically in favor of high resistivity. With cold implantation temperatures, at 83 K and even at 300 K, dynamic annealing was minimized. Damage clusters could form and accumulate to produce resistive amorphous-like structures. After recrystallization by RTA, polycrystalline signatures were found on every low-temperature Fe- and Ga-implanted structures. For both ion species, electrical parameters evolved similarly against annealing temperatures, and resistive structures were produced near 500 °C. However, better isolation was obtained with Fe implantation. Differences in sheet resistivities between the two alloy compositions were less than band gap-related effects. These observations, related

  17. Effect of rise-time patterns on dynamics of sheath expansion during plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Huang Yongxian; Tian Xiubo; Yang Shiqin; Fu Ricky; Paul, C.K.

    2007-01-01

    Plasma immersion ion implantation (PIII) has been developed as a low-cost and efficient surface modification technique of irregularly-shaped objects. The effect of six pulse waves with different rise-time patterns on the spatio-temporal evolution of plasma sheath,energy and dose of ion implantation has been simulated by particle-in-cell modeling. Statistical results may be obtained through assuming the Boltzmann distribution of electrons, and solving Poisson and Newton equations for tracing each ion in the plasma sheath. The results show that rise-time pattern has a critical influence on the evolution of plasma sheath. There exists maximum thickness difference of plasma sheath for different waveforms. The acceleration of ions is non-uniform due to the non-uniformity of electrical field strength. The maximum gradient of electrical field appears near the edge of plasma sheath. The results also show that optimization of dose and energy of incident ions may be achieved through modification of rise-time pattern. The numerical simulation of sheath expansion can be effectively used to provide a scientific basis for optimizing the PIII process. (authors)

  18. The low-energy-beam and ion-trap facility at NSCL/MSU

    CERN Document Server

    Schwarz, S; Lawton, D; Lofy, P; Morrissey, D J; Ottarson, J; Ringle, R; Schury, P; Sun, T; Varentsov, V; Weissman, L

    2003-01-01

    The goal of the low-energy-beam and ion-trap (LEBIT) project is to convert the high-energy exotic beams produced at NSCL/MSU into low-energy low-emittance beams. This beam manipulation will be done by a combination of a high-pressure gas stopping cell and a radio-frequency quadrupole ion accumulator and buncher. The first experimental program to profit from the low-energy beams produced will be high-accuracy mass measurements on very short-lived isotopes with a 9.4 T Penning trap system. The status of the project is presented with an emphasis on recent stopping tests range of 100 MeV/A sup 4 sup 0 Ar sup 1 sup 8 sup + ions in a gas cell.

  19. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    Science.gov (United States)

    Kamioka, K.; Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 1020 cm-3) into ZnO is performed using a multiple-step energy. The high resistivity of ∼103 Ω cm in un-implanted samples remarkably decreased to ∼10-2 Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  20. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  1. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  2. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  3. Study of the Local Environment of Mn Ions Implanted in GaSb

    International Nuclear Information System (INIS)

    Wolska, A.; Lawniczak-Jablonska, K.; Klepka, M.T.; Barcz, A.; Hallen, A.; Arvanitis, D.

    2010-01-01

    The first attempts to establish an implantation process leading to formation of ferromagnetic inclusions inside the GaSb matrix are presented. Gallium antimonide containing ferromagnetic MnSb precipitations is considered as a promising material for novel spintronic applications. It is possible to obtain such inclusions during the molecular beam epitaxy (MBE) growth. However, for commercial application it would be also important to find an optimal way of producing this kind of inclusions by Mn ions implantation. In order to achieve this goal, several parameters of implantation and post annealing procedures were tested. The ion energy was kept at 10 keV or 150 keV and four different ion doses were applied, as well as various annealing conditions. The analysis of X-ray absorption spectra allowed to estimate the local atomic order around Mn atoms. Depending on the implantation energy and annealing processes, the manganese oxides or manganese atoms located in a heavily defected GaSb matrix were observed. The performed analysis helped in indicating the main obstacles in formation of MnSb inclusions inside the GaSb matrix by Mn ion implantation. (author)

  4. Nonlinear damage effect in graphene synthesis by C-cluster ion implantation

    International Nuclear Information System (INIS)

    Zhang Rui; Zhang Zaodi; Wang Zesong; Wang Shixu; Wang Wei; Fu Dejun; Liu Jiarui

    2012-01-01

    We present few-layer graphene synthesis by negative carbon cluster ion implantation with C 1 , C 2 , and C 4 at energies below 20 keV. The small C-clusters were produced by a source of negative ion by cesium sputtering with medium beam current. We show that the nonlinear effect in cluster-induced damage is favorable for graphene precipitation compared with monomer carbon ions. The nonlinear damage effect in cluster ion implantation shows positive impact on disorder reduction, film uniformity, and the surface smoothness in graphene synthesis.

  5. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  6. Low-energy nuclear physics with high-segmentation silicon arrays

    International Nuclear Information System (INIS)

    Betts, R.R.; Univ. of Illinois, Chicago, IL

    1994-01-01

    A brief history is given of silicon detectors leading up to the development of ion-implanted strip detectors. Two examples of their use in low energy nuclear physics are discussed; the search for exotic alpha-chain states in 24 Mg and studies of anomalous positron-electron pairs produced in collisions of very heavy ions

  7. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  8. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  9. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  10. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  11. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  12. Nitrogen ion implantation effect on friction coefficient of tool steel

    International Nuclear Information System (INIS)

    Velichko, N.I.; Udovenko, V.F.; Markus, A.M.; Presnyakova, G.N.; Gamulya, G.D.

    1988-01-01

    Effect of nitrogen molecular ion implantation into KhVSG steel on the friction coefficient in the air and vacuum is investigated. Irradiation is carried out by the N 2 + beam with energy 120 keV and flux density 5 μ/cm 2 at room temperature in vacuum 5x10 -4 Pa. The integral dose of irradiation is 10 17 particle/cm 2 . Nitrogen ion implantation is shown to provide the formation of the modified layer changing friction properties of steel. The friction coefficient can either increase or decrease depending on implantation and test conditions. 4 refs.; 2 figs

  13. Production of Endohedral Fullerenes by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Diener, M.D.; Alford, J. M.; Mirzadeh, S.

    2007-05-31

    The empty interior cavity of fullerenes has long been touted for containment of radionuclides during in vivo transport, during radioimmunotherapy (RIT) and radioimaging for example. As the chemistry required to open a hole in fullerene is complex and exceedingly unlikely to occur in vivo, and conformational stability of the fullerene cage is absolute, atoms trapped within fullerenes can only be released during extremely energetic events. Encapsulating radionuclides in fullerenes could therefore potentially eliminate undesired toxicity resulting from leakage and catabolism of radionuclides administered with other techniques. At the start of this project however, methods for production of transition metal and p-electron metal endohedral fullerenes were completely unknown, and only one method for production of endohedral radiofullerenes was known. They therefore investigated three different methods for the production of therapeutically useful endohedral metallofullerenes: (1) implantation of ions using the high intensity ion beam at the Oak Ridge National Laboratory (ORNL) Surface Modification and Characterization Research Center (SMAC) and fullerenes as the target; (2) implantation of ions using the recoil energy following alpha decay; and (3) implantation of ions using the recoil energy following neutron capture, using ORNL's High Flux Isotope Reactor (HFIR) as a thermal neutron source. While they were unable to obtain evidence of successful implantation using the ion beam at SMAC, recoil following alpha decay and neutron capture were both found to be economically viable methods for the production of therapeutically useful radiofullerenes. In this report, the procedures for preparing fullerenes containing the isotopes {sup 212}Pb, {sup 212}Bi, {sup 213}Bi, and {sup 177}Lu are described. None of these endohedral fullerenes had ever previously been prepared, and all of these radioisotopes are actively under investigation for RIT. Additionally, the chemistry for

  14. An automated ion implant/pulse anneal machine for low cost silicon cell production

    International Nuclear Information System (INIS)

    Armini, A.J.; Bunker, S.N.; Spitzer, M.B.

    1982-01-01

    The continuing development of a high throughput ion implanter and a pulsed electron beam annealer designed for dedicated silicon solar cell manufacture is reviewed. This equipment is intended for production of junctions in 10 cm wide wafers at a throughput up to 10 MWsub(p) per year. The principal features of the implanter are the lack of mass analysis and defocusing utilizing electrostatic deflection. The implanted surface is annealed by liquid phase epitaxy resulting from a single burst of a large area electron beam. Cells with non-mass analyzed ion implantation have yielded AM1 cell efficiencies in excess of 15%. Pulse annealed Czochralski cells have been made with AM1 efficiencies of 13% vs. 15% for a furnace annealed group. Results of pulse annealing of polycrystalline materials indicate that cell performance comparable to diffusion can be obtained. (Auth.)

  15. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    of microscopic images. The underlying hydrogen profiles for between 250sp°C and 500sp°C annealing are characterized by SIMS and HFS experiments. An ideal gas law model calculation suggests that the internal pressure of molecular hydrogen filled microcavities is in the range of Giga-Pascal, high enough to break the silicon crystal bond. A dose threshold which prevents cleavage is observed at 1.6× 10sp{17} cmsp{-2} for 40 kV hydrogen implantation. A initial defect, in a silicon substrate, induced by a hydrogen microcavity is modeled as a circular crack which is embedded at a certain depth from the top silicon surface. A two-dimensional finite element model is made to calculate energy release rate along the crack surfaces. This numerical model predicts that the energy release rate is sufficient to overcome the silicon fracture toughness. The model further identifies the factors that can enhance the energy release rate. Ion-Cut SOI wafer fabrication technique is implemented using Pm. The hydrogen implantation rate, which is independent of the wafer size, is considerably higher than that of conventional implantation. The simple Pm reactor setup and its compatibility with cluster-tool IC manufacturing system offer other Ion-Cut process optimization opportunities. The feasibility of Pm Ion-Cut process has been demonstrated with successful fabrication of SOI structures. The hydrogen plasma can be optimized so that only one ion species is dominant in concentration, with minimal effect on the Ion-Cut process by the residual ion components. We have also demonstrated the feasibility of performing Ion-Cut using Pm in helium plasma.

  16. Structural and compositional characterization of X-cut LiNbO3 crystals implanted with high energy oxygen and carbon ions

    International Nuclear Information System (INIS)

    Bentini, G.G.; Bianconi, M.; Cerutti, A.; Chiarini, M.; Pennestri, G.; Sada, C.; Argiolas, N.; Bazzan, M.; Mazzoldi, P.; Guzzi, R.

    2005-01-01

    High energy implantation of medium-light elements such as oxygen and carbon was performed in X-cut LiNbO 3 single crystals in order to prepare high quality optical waveguides. The compositional and damage profiles, obtained by exploiting the secondary ion mass spectrometry and Rutherford back-scattering techniques respectively, were correlated to the structural properties measured by the high resolution X-ray diffraction. This study evidences the development of tensile strain induced by the ion implantation that can contribute to the decrease of the ordinary refractive index variation through the photo-elastic effect

  17. Biological effects of ion implantation on processing tomato and eggplant seed

    International Nuclear Information System (INIS)

    Mao Peihong; Zeng Xianxian; Jin Xiang

    2004-01-01

    The seed of processing tomato '87-5' (Lycopersicon esculentum Mill) were implanted by the low energy nitrogen ion (N + ) with 6 different doses. The rate of emergence was little reduced in M1 generation, but the fruiting number per plant was increased and it's maturing earlier 20 days than the control. The precocity, disease resistance and stronger growth vigor were shown in M2 generation. Experimental results of two years showed that, according to synthetic analysis in factors such as precocity, disease resistance, high yield and quality, the N + dose of 6 x 10 16 cm -2 (60 times of pulse) for tomato seed '87-5' had been proved to have notable biological effects on M1 and M2 generation. The seed of eggplant 'Wuyeqie' (Solanum melongena L.) was also implanted by the low energy nitrogen ion (N + ) with 2 different doses. Multi-vertical channel fruits were obtained in variable M1 generation, which liked the pomelo without peel. The seed of these variable eggplants was taken and planted in the next year. The meaningful variable fruits, the characters of disease-resistance, purple-peel, small-navel, lantern-form, large-scale, etc. were obtained in beneficial M2 generation. The biggest single-fruit weight reached 1.53 kg, providing valuable germplasm resource for breeding. (authors)

  18. Thermal desorption and bombardment-induced release of deuterium implanted into stainless steels at low energy

    International Nuclear Information System (INIS)

    Farrell, G.; Donnelly, S.E.

    1978-01-01

    Thermal desorption spectra have been obtained for low energy (15-750 eV) deuterons implanted into types 321 and 304 stainless steel, to total fluences in the range 10 13 - 10 17 deuterons/cm 2 . In each case the spectra show a peak at about 350 K, but in the 321 steel there is a second peak in the region of 900 K, the population and peak temperature of which increase with energy. Activation energies of 0.99 and 2.39 eV and a rate constant of 7 x 10 15 /s have been derived for the peaks and it is thought that the first peak corresponds to release from sites close to the surface, while the second peak may be related to trapping at impurities such as Ti. Measurements have also been made of the release of deuterium resulting from post-implantation bombardment with hydrogen ions. It is found that depletion of the first peak in the 321 steel is the result of gas sputtering, but depletion of the second peak is the result of the formation of HD during desorption, while depletion of the peak in the 304 stainless steel also results from HD formation even though this peak is the same as the first peak in the 321 steel. Estimates have also been made of the deuterium self-sputtering cross section at various energies, which show a monotonic decrease as energy increases. (Auth.)

  19. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  20. LEBIT - a low-energy beam and ion trap facility at NSCL/MSU

    International Nuclear Information System (INIS)

    Schwarz, S.; Bollen, G.; Davies, D.; Lawton, D.; Lofy, P.; Morrissey, D. J.; Ottarson, J.; Ringle, R.; Schury, P.; Sun, T.; VanWasshenova, D.; Sun, T.; Weissman, L.; Wiggins, D.

    2003-01-01

    The Low Energy Beam and Ion Trap (LEBIT) Project aims to convert the high-energy exotic beams produced at NSCL/MSU into low-energy low-emittance beams. A combination of a high-pressure gas stopping cell and a radiofrequency quadrupole (RFQ) ion accumulator and buncher will be used to manipulate the beam accordingly. High-accuracy mass measurements on very short-lived isotopes with a 9.4 T Penning trap system will be the first experimental program to profit from the low-energy beams. The status of the project is presented with a focus on recent stopping tests of 100-140 MeV/A Ar18+ ions in a gas cell

  1. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  2. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  3. Effects of ion implantation on the hardness and friction behaviour of soda-lime silica glass

    International Nuclear Information System (INIS)

    Bull, S.J.; Page, T.F.

    1992-01-01

    Ion implantation-induced changes in the near-surface mechanical properties of soda-lime silica glass have been investigated by indentation and scratch testing and have been found to be more complicated than changes in the corresponding properties of crystalline ceramic materials. Argon, nitrogen, carbon and potassium ions were used with energies in the range 45-300 keV. Hardness and scratch friction tests were performed under ambient laboratory conditions. At low doses, a decrease in hardness and an increase in both friction and surface stress are observed which are attributed to the electronic damage produced by ion implantation. At higher doses, the hardness increases again and a maximum is produced similar to the behaviour observed for crystalline materials. Similarly there is found to be a second stress and friction peak at this dose. This behaviour is shown to be due to the build-up of displacement damage produced by ion implantation and is thus very similar to the radiation hardening (and eventual amorphization) behaviour of ion-implanted crystalline ceramics. For glass, ''amorphization'' probably corresponds to some change in the existing amorphous state which, in turn, is responsible for the reduction in hardness, stress and friction at the highest doses. (author)

  4. Low energy ion beam systems for surface analytical and structural studies

    International Nuclear Information System (INIS)

    Nelson, G.C.

    1980-01-01

    This paper reviews the use of low energy ion beam systems for surface analytical and structural studies. Areas where analytical methods which utilize ion beams can provide a unique insight into materials problems are discussed. The design criteria of ion beam systems for performing materials studies are described and the systems now being used by a number of laboratories are reviewed. Finally, several specific problems are described where the solution was provided at least in part by information provided by low energy ion analysis techniques

  5. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  6. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  7. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    Energy Technology Data Exchange (ETDEWEB)

    Kamioka, K.; Oga, T.; Izawa, Y. [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kuriyama, K., E-mail: kuri@ionbeam.hosei.ac.jp [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kushida, K. [Department of Arts and Science, Osaka Kyouiku University, Kashiwara, Osaka 582-8582 (Japan); Kinomura, A. [National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki 305-8568 (Japan)

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 10{sup 20} cm{sup −3}) into ZnO is performed using a multiple-step energy. The high resistivity of ∼10{sup 3} Ω cm in un-implanted samples remarkably decreased to ∼10{sup −2} Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  8. Systematic studies of heavy ion collisions in the low SIS energy region

    International Nuclear Information System (INIS)

    Li Qingfeng; Wang Yongjia; Guo Chenchen; Li Zhuxia

    2014-01-01

    After inserting the Skyrme potential energy density functions for potential update, more detailed medium modifications for nucleon-nucleon elastic cross sections, and the isospin effect for cluster recognition into the Ultra-relativistic Quantum Molecular Dynamics (UrQMD), the dynamic process of heavy ion collisions (HICs) at low SIS energies (about 40∼400 MeV/u) is primarily studied. And, after systematically studying the emission and collective flows of light clusters from HICs in such beam energy region, the sensitive observables especially to the density dependent symmetry energy at supra-normal densities are focused. It is found that: (1)the initial neutron/proton ratio dependence of the balance energy of neutrons from mass-symmetric Sn isotopes can be taken as a useful probe to constrain the stiffness of the nuclear symmetry energy; (2) the transverse velocity/momentum dependence of the elliptic flow ratio of neutrons and protons or hydrogen isotopes (v 2 n /v 2 p,H ) is also sensitive to symmetry energy. The χ 2 analysis from the difference bet e the theoretical (taking Skyrme potential parametrizations with incompressibility K 0 being almost same but the slope parameter L of symmetry energy being largely different) and experimental (taking FOPI/LAND data) v 2 n /v 2 H values determines the value of L to be (89 ± 45) MeV within in a 2σ uncertainty. (authors)

  9. Cluster-surface interaction: from soft landing to implantation

    DEFF Research Database (Denmark)

    Popok, Vladimir; Barke, Ingo; Campbell, Eleanor E.B.

    2011-01-01

    applications of keV-energy cluster ion beams. This includes ultra-shallow doping of semiconductors and formation of ultrathin insulating layers. A few examples of MeV-energy cluster implantation, leading to the formation of nanosize hillocks or pillars on the surface as well as to local phase transitions (for...... instance, graphite-to-diamond) are also discussed. The review is finalized by an outlook on the future development of cluster beam research....

  10. Beamline for low-energy transport of highly charged ions at HITRAP

    International Nuclear Information System (INIS)

    Andelkovic, Z.; Herfurth, F.; Kotovskiy, N.; König, K.; Maaß, B.; Murböck, T.; Neidherr, D.; Schmidt, S.; Steinmann, J.; Vogel, M.; Vorobjev, G.

    2015-01-01

    A beamline for transport of highly charged ions with energies as low as a few keV/charge has been constructed and commissioned at GSI. Complementary to the existing infrastructure of the HITRAP facility for deceleration of highly charged ions from the GSI accelerator, the new beamline connects the HITRAP ion decelerator and an EBIT with the associated experimental setups. Therefore, the facility can now transport the decelerated heavy highly charged ions to the experiments or supply them offline with medium-heavy highly charged ions from the EBIT, both at energies as low as a few keV/charge. Here we present the design of the 20 m long beamline with the corresponding beam instrumentation, as well as its performance in terms of energy and transport efficiency

  11. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  12. Variations of Low-energy Ion Distributions Measured in the Heliosheath

    International Nuclear Information System (INIS)

    Decker, R. B.; Roelof, E. C.; Hill, M. E.; Krimigis, S. M.

    2010-01-01

    This report is an update of low-energy ion intensities and angular distributions measured recently by the Low Energy Charged Particle instruments on the Voyager 1 and 2 spacecraft in the inner heliosheath.

  13. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  14. 3–10 keV Xe+ ion beam machining of ultra low thermal expansion glasses for EUVL projection optics: Evaluation of surface roughness

    International Nuclear Information System (INIS)

    Morikawa, K.; Kamijo, K.; Morijiri, K.; Pahlovy, S.A.; Aikawa, N.; Miyamoto, I.

    2012-01-01

    In order to obtain surface figure error of 0.15 nm rms and surface roughness (R rms ) of 0.12 nm rms for aspherical substrates in EUVL tools, ion beam figuring may be adopted to final surface figure error correction of aspherical substrates. During figure error correction, machined surface of the substrate becomes rougher than the pre-finished one. Therefore, we investigated the machined depth and ion energy dependences of R rms (measured by an AFM) of substrates machined by 3–10 keV Xe + ion beam, and compared them with the results obtained for Ar + ion beam. Result shows that the R rms s of CLEARCERAM®-Z, Zerodur® and ULE® substrates machined to the depth of 50 nm by 3–10 keV Xe + ion beam at the normal ion incidence angle become approximately 0.25, 0.28 and 0.15 nm rms, respectively. Those values are larger than the pre-finished substrates (0.07–0.09 nm rms), but smaller than that (0.60 nm rms for CLEARCERAM®-Z, 0.61 nm rms for Zerodur® and 0.18 nm rms for ULE®) of the substrates machined by Ar + ion beam. Moreover, the R rms s merely increase with increasing ion energy. The R rms s of the ULE® substrate machined by 3–10 keV Xe + ion beam rapidly increase with increasing machined depth, then saturate at machined depth of 10–50 nm. The saturated values of the R rms s are 0.12 and 0.15 nm rms for 3 and 10 keV Xe + ion beam respectively. We suggest that the 3 keV Xe + ion beam machining can be applicable for final shape correction of ULE® substrates for EUVL projection optics in association with considering further ultra smoothing process such as Si deposition or low energy ion beam smoothing.

  15. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  16. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  17. Device for film deposition and implantation of ions inside pipes of low diameter

    International Nuclear Information System (INIS)

    Pogrebnjak, A.D.; Perekrjostov, V.I.; Tyurin, Yu.N.; Wood, B.P.

    2002-01-01

    Two principally new devices, which can be applied to deposit coatings inside the pipes of low diameter, have been developed. The thickness of coatings and films can be varied. To deposit coatings of a low thickness (about 2 nm) on inside pipe walls using a vacuum-arc source and a sputtering device, which is composed of the pipe applied for anode cooling, the constant magnet, the magnetic circuit, the anode, the cathode, the pipe subjected for coating deposition, the cathode holder, etc. Using this device, we have deposited TiC, Ta, Cr, TiN coatings of various thickness ranging from scores of nano-meters to several micro-meters and with very good adhesion to the substrate. To increase adhesion, we applied 10 to 20 kV voltage during ion implantation to the substrate. To study element and structure composition, we applied RBS, TEM, SEM, XRD analyses, micro-hardness, wear resistance tests and also those for corrosion resistance in acid media. Another version of the source was based on the pulsed plasma-detonation technology and applied an evaporating electrode (for implantation) and a powder, which was injected into a plasma jet. The jet velocity reached several kilometers per second. Current of several kilo-amps passed through the plasma jet and increased its energy. The produced in this way coating thickness reached 30 to 400 micro-meter. Application of the vacuum-arc source for subsequent coating deposition allowed us to improve the servicing characteristics of surface layers. We have deposited NiAl, CoAl, A1 2 O 3 , WC-Co, Hastelloy and stainless steel SS316L

  18. Low energy helium ion irradiation induced nanostructure formation on tungsten surface

    International Nuclear Information System (INIS)

    Al-Ajlony, A.; Tripathi, J.K.; Hassanein, A.

    2017-01-01

    We report on the low energy helium ion irradiation induced surface morphology changes on tungsten (W) surfaces under extreme conditions. Surface morphology changes on W surfaces were monitored as a function of helium ion energy (140–300 eV), fluence (2.3 × 10 24 –1.6 × 10 25 ions m −2 ), and flux (2.0 × 10 20 –5.5 × 10 20 ion m −2 s −1 ). All the experiments were performed at 900° C. Our study shows significant effect of all the three ion irradiation parameters (ion flux, fluence, and energy) on the surface morphology. However, the effect of ion flux is more pronounced. Variation of helium ion fluence allows to capture the very early stages of fuzz growth. The observed fuzz growth and morphology changes were understood in the realm of various possible phenomena. The study has relevance and important impact in the current and future nuclear fusion applications. - Highlights: •Reporting formation of W nanostructure (fuzz) due to low energy He ion beam irradiation. •Observing the very early stages for the W-Fuzz formation. •Tracking the surface morphological evolution during the He irradiation. •Discussing in depth our observation and drawing a possible scenario that explain this phenomenon. •Studying various ions irradiation parameters such as flux, fluence, and ions energy.

  19. Study of low energy hydrogen ion implantation effects in silicon: electric properties

    International Nuclear Information System (INIS)

    Barhdadi, A.

    1985-07-01

    Several analysis methods have been developed: hydrogen distribution analysis by nuclear reaction, crystal disorder evaluation by R.B.S., chemical impurities identification by SIMS, optical measurements, electrical characterization of surface barriers, deep level spectroscopy DLTS, ... All these analyses have been made after implantation then after thermal annealing. A model explaining the effect of implantation then after thermal annealing. A model explaining the effect of implanted hydrogen is proposed, the implantation creates an important quantity of defects in a thin layer near the surface; a chemical attack removes them. In Schottky devices, this layer has a basic role on carrier transport phenomena. Other results are given, some of them allow to give an account of the passivation by hydrogen implantation [fr

  20. The Marshall Space Flight Center Low-Energy Ion Facility: a preliminary report

    International Nuclear Information System (INIS)

    Biddle, A.P.; Reynolds, J.W.; Chisholm, W.L. Jr.; Hunt, R.D.

    1983-10-01

    The Low-Energy Ion Facility (LEIF) is designed for laboratory research of low-energy ion beams similar to those present in the magnetosphere. In addition, it provides the ability to develop and calibrate low-energy, less than 50 eV, plasma instrumentation over its full range of energy, mass, flux, and arrival angle. The current status of this evolving resource is described. It also provides necessary information to allow users to utilize it most efficiently

  1. Effects of He implantation on radiation induced segregation in Cu-Au and Ni-Si alloys

    Science.gov (United States)

    Iwase, A.; Rehn, L. E.; Baldo, P. M.; Funk, L.

    Effects of He implantation on radiation induced segregation (RIS) in Cu-Au and Ni-Si alloys were investigated using in situ Rutherford backscattering spectrometry during simultaneous irradiation with 1.5-MeV He and low-energy (100 or 400-keV) He ions at elevated temperatures. RIS during single He ion irradiation, and the effects of pre-implantation with low-energy He ions, were also studied. RIS near the specimen surface, which was pronounced during 1.5-MeV He single-ion irradiation, was strongly reduced under low-energy He single-ion irradiation, and during simultaneous irradiation with 1.5-MeV He and low-energy He ions. A similar RIS reduction was also observed in the specimens pre-implanted with low-energy He ions. The experimental results indicate that the accumulated He atoms cause the formation of small bubbles, which provide additional recombination sites for freely migrating defects.

  2. A Preliminary Study of the Application of a Model Animal-Caenorhabidity elegans' Exposure to a Low-Energy Ion Irradiation System

    International Nuclear Information System (INIS)

    Liu Xuelan; Cai Kezhou; Feng Huiyun; Xu An; Yuan Hang; Yu Zengliang

    2007-01-01

    Because of the lack of suitable animal models adapted to high vacuum stress in the low-energy ion implantation system, the bio-effects ion irradiation with an energy less than 50 keV on multi-cellular animal individuals have never been investigated so far. The nematode Caenorhabditis elegans has proved to be an excellent animal model used for the study of a broad spectrum of biological issues. The purpose of this work was to investigate the viability of this animal under ion irradiation. We studied the protection effects of glycerol and trehalose on the enhancement of nematodes' ability to bear the vacuum stress. The results showed that the survival of the nematodes was enhanced remarkably under long and slow desiccation, even without glycerol and trehalose. 15% glycerol showed a better anti-vacuum stress effect on the nematodes than trehalose did under short-time desiccation. Low-temperature pre-treatment or post-treatment of the samples had no obvious effect on the survival scored after argon ion irradiation. Moreover, little effect was induced by 15% glycerol- and vacuum-exposure on germ cell apoptosis, compared to the untreated control sample. It issuggested that such treatment would provide relatively low background for genotoxic evaluations with ion irradiation

  3. Analysis and evalaution in the production process and equipment area of the low-cost solar array project. [including modifying gaseous diffusion and using ion implantation

    Science.gov (United States)

    Goldman, H.; Wolf, M.

    1979-01-01

    The manufacturing methods for photovoltaic solar energy utilization are assessed. Economic and technical data on the current front junction formation processes of gaseous diffusion and ion implantation are presented. Future proposals, including modifying gaseous diffusion and using ion implantation, to decrease the cost of junction formation are studied. Technology developments in current processes and an economic evaluation of the processes are included.

  4. Breeding of tryptophanase-producing Escherichia coli by use of N+ ion beam implantation

    International Nuclear Information System (INIS)

    Pang Min; Yao Jianming

    2009-01-01

    In this paper, the mutation breeding on Escherichia coli producing tryptophanase was studied after low energy N + ion beam implantation. Parameters in the N + ion beam implantation were firstly determined. It has been indicated that a high mutation rate of E.coli could be obtained by N + implantation with 10 keV and 13 x 10 14 N + /cm 2 when glycerin at 15 % concentration used as protector. After continuous mutagenicity a high-yield tryptophanase-producing strain has been screened out and both of its biomass and enzymatic activity are higher than the previous levels respectively. The results of scale-up production show that the biomass could be reach 8.2 g ww ·L -1 and 110 g L-tryptophan could be formed in the volume of 1L enzymatic reaction system. In addition, the characteristics of its stable descend ability and easy operation make it a promising strain for industrialization. (authors)

  5. Synthesis of dilute magnetic semiconductors by ion implantation

    International Nuclear Information System (INIS)

    Braunstein, G.H.; Dresselhaus, G.; Withrow, S.P.

    1986-01-01

    We have synthesized layers of CdMnTe by implantation of Mn into CdTe. Samples of CdTe have been implanted with Mn ions of 60 keV energy to fluences in the range 1 x 10 13 cm -2 to 2 x 10 16 cm -2 resulting in local concentrations of up to 10% at the maximum of the Mn distribution. Rutherford backscattering-channeling analysis has been used to study the radiation damage after implantation and after subsequent rapid thermal annealing (RTA). These experiments reveal that RTA for 15 sec at a temperature T greater than or equal to 700 0 C results in the complete recovery of the lattice order, without affecting the stoichiometry of CdTe. Photoluminescence (PL) measurements of a sample showing complete annealing reveal an increase in the band gap corresponding to the synthesis of very dilute (x approx. = 0.004) Cd/sub 1-x/Mn/sub x/Te. A shift of the excitonic PL peak to lower energies is observed when a magnetic field H less than or equal to 1T is applied. These measurements provide clear evidence for the synthesis of a DMS by ion implantation of Mn into CdTe

  6. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  7. Electron-ion recombination at low energy

    International Nuclear Information System (INIS)

    Andersen, L.H.

    1993-01-01

    The work is based on results obtained with a merged-beams experiment. A beam of electronics with a well characterized density and energy distribution was merged with a fast, monoenergetic ion beam. Results have been obtained for radiative recombination and dielectronic recombination at low relative energies (0 to ∼70eV). The obtained energy resolution was improved by about a factor of 30. High vacuum technology was used to suppress interactions with electrons from the environments. The velocity distribution of the electron beam was determined. State-selective dielectronic-recombination measurements were performable. Recombination processes were studied. The theoretical background for radiative recombination and Kramers' theory are reviewed. The quantum mechanical result and its relation to the semiclassical theory is discussed. Radiative recombination was also measured with several different non-bare ions, and the applicability of the semiclassical theory to non-bare ions was investigated. The use of an effective charge is discussed. For dielectronic recombination, the standard theoretical approach in the isolated resonance and independent-processes approximation is debated. The applicability of this method was tested. The theory was able to reproduce most of the experimental data except when the recombination process was sensitive to couplings between different electronic configurations. The influence of external perturbing electrostatic fields is discussed. (AB) (31 refs.)

  8. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  9. Structuring of material parameters in lithium niobate crystals with low-mass, high-energy ion radiation

    Science.gov (United States)

    Peithmann, K.; Eversheim, P.-D.; Goetze, J.; Haaks, M.; Hattermann, H.; Haubrich, S.; Hinterberger, F.; Jentjens, L.; Mader, W.; Raeth, N. L.; Schmid, H.; Zamani-Meymian, M.-R.; Maier, K.

    2011-10-01

    Ferroelectric lithium niobate crystals offer a great potential for applications in modern optics. To provide powerful optical components, tailoring of key material parameters, especially of the refractive index n and the ferroelectric domain landscape, is required. Irradiation of lithium niobate crystals with accelerated ions causes strong structured modifications in the material. The effects induced by low-mass, high-energy ions (such as 3He with 41 MeV, which are not implanted, but transmit through the entire crystal volume) are reviewed. Irradiation yields large changes of the refractive index Δn, improved domain engineering capability within the material along the ion track, and waveguiding structures. The periodic modification of Δn as well as the formation of periodically poled lithium niobate (PPLN) (supported by radiation damage) is described. Two-step knock-on displacement processes, 3He→Nb and 3He→O causing thermal spikes, are identified as origin for the material modifications.

  10. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  11. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  12. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  13. Characterization of Nitride Layers Formed by Nitrogen Ion Implantation into Surface Region of Iron

    International Nuclear Information System (INIS)

    Sudjatmoko; Subki, M. Iyos R.

    2000-01-01

    Ion implantation is a convenient means of modifying the physical and chemical properties of the near-surface region of materials. The nitrogen implantation into pure iron has been performed at room temperature with ion dose of 1.310 17 to 1.310 18 ions/cm 2 and ion energy of 20 to 100 keV. The optimum dose of nitrogen ions implanted into pure iron was around 2.2310 17 ions/cm 2 in order to get the maximum wear resistant. SEM micrographs and EDX show that the nitride layers were found on the surface of substrate. The nitrogen concentration profile was measured using EDX in combination with spot technique, and it can be shown that the depth profile of nitrogen implanted into substrate was nearly Gaussian. (author)

  14. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  15. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    Science.gov (United States)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  16. Femtoscopic analysis of baryon correlations in ultra-relativistic heavy-ion collisions registered by ALICE

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00361630

    Heavy-ion collisions at ultra-relativistic energies give a unique possibility to create and to analyse the Quark-Gluon Plasma predicted by the theory of Quantum Chromodynamics. The research on the properties of such state of matter is crucial for understanding the features of the strongly interacting system. Experimental results reveal the collective behaviour of matter created in the heavy-ion collisions at ultra-relativistic energies. The existence of this effect can be verified by the measurement of the transverse mass dependence of the source size extracted using different particle species. Such characteristics can be determined using the analysis technique called femtoscopy. This method is based on the correlations of particles with small relative momenta which originate from the effects of Quantum Statistics as well as the strong and Coulomb Final State Interactions. A recent analysis of the particle production at the highest available collision energies of heavy-ion collisions reveals the puzzling res...

  17. Inverted end-Hall-type low-energy high-current gaseous ion source

    International Nuclear Information System (INIS)

    Oks, E. M.; Vizir, A. V.; Shandrikov, M. V.; Yushkov, G. Yu.; Grishin, D. M.; Anders, A.; Baldwin, D. A.

    2008-01-01

    A novel approach to low-energy, high-current, gaseous ion beam generation was explored and an ion source based on this technique has been developed. The source utilizes a dc high-current (up to 20 A) gaseous discharge with electron injection into the region of ion generation. Compared to the conventional end-Hall ion source, the locations of the discharge anode and cathode are inverted: the cathode is placed inside the source and the anode outside, and correspondingly, the discharge current is in the opposite direction. The discharge operates in a diverging axial magnetic field, similar to the end-Hall source. Electron generation and injection is accomplished by using an additional arc discharge with a ''cold'' (filamentless) hollow cathode. Low plasma contamination is achieved by using a low discharge voltage (avoidance of sputtering), as well as by a special geometric configuration of the emitter discharge electrodes, thereby filtering (removing) the erosion products stemming from the emitter cathode. The device produces a dc ion flow with energy below 20 eV and current up to 2.5 A onto a collector of 500 cm 2 at 25 cm from the source edge, at a pressure ≥0.02 Pa and gas flow rate ≥14 SCCM. The ion energy spread is 2 to 3 eV (rms). The source is characterized by high reliability, low maintenance, and long lifetime. The beam contains less than 0.1% of metallic ions. The specific electric energy consumption is 400 eV per ion registered at the collector. The source operates with noble gases, nitrogen, oxygen, and hydrocarbons. Utilizing biasing, it can be used for plasma sputtering, etching, and other ion technologies

  18. Energy and dose characteristics of ion bombardment during pulsed laser deposition of thin films under pulsed electric field

    International Nuclear Information System (INIS)

    Fominski, V.Yu.; Nevolin, V.N.; Smurov, I.

    2004-01-01

    Experiments on pulsed laser deposition of Fe films on Si substrates were performed with the aim to analyze the role of factors determining the formation of an energy spectrum and a dose of ions bombarding the film in strong pulsed electric fields. The amplitude of the high-voltage pulse (-40 kV) applied to the substrate and the laser fluence at the Fe target were fixed during the deposition. Owing to the high laser fluence (8 J/cm 2 ) at a relatively low power (20 mJ), the ionization of the laser plume was high, but the Fe vapor pressure near the substrate was low enough to avoid arcing. Electric signals from a target exposed to laser radiation were measured under different conditions (at different delay times) of application of electric pulses. The Si(100) substrates were analyzed using Rutherford ion backscattering/channeling spectrometry. The ion implantation dose occurred to be the highest if the high-voltage pulse was applied at a moment of time when the ion component of the plume approached the substrate. In this case, the implanted ions had the highest energy determined by the amplitude of the electric pulse. An advance or delay in applying a high-voltage pulse caused the ion dose and energy to decrease. A physical model incorporating three possible modes of ion implantation was proposed for the interpretation of the experimental results. If a laser plume was formed in the external field, ions were accelerated from the front of the dense plasma, and the ion current depended on the gas-dynamic expansion of the plume. The application of a high-voltage pulse, at the instant when the front approached the substrate, maintained the mode that was characteristic of the traditional plasma immersion ion implantation, and the ion current was governed by the dynamics of the plasma sheath in the substrate-to-target gap. In the case of an extremely late application of a high-voltage pulse, ions retained in the entire volume of the experimental chamber (as a result of the

  19. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  20. Low energy helium ion irradiation induced nanostructure formation on tungsten surface

    Energy Technology Data Exchange (ETDEWEB)

    Al-Ajlony, A., E-mail: montaserajlony@yahoo.com; Tripathi, J.K.; Hassanein, A.

    2017-05-15

    We report on the low energy helium ion irradiation induced surface morphology changes on tungsten (W) surfaces under extreme conditions. Surface morphology changes on W surfaces were monitored as a function of helium ion energy (140–300 eV), fluence (2.3 × 10{sup 24}–1.6 × 10{sup 25} ions m{sup −2}), and flux (2.0 × 10{sup 20}–5.5 × 10{sup 20} ion m{sup −2} s{sup −1}). All the experiments were performed at 900° C. Our study shows significant effect of all the three ion irradiation parameters (ion flux, fluence, and energy) on the surface morphology. However, the effect of ion flux is more pronounced. Variation of helium ion fluence allows to capture the very early stages of fuzz growth. The observed fuzz growth and morphology changes were understood in the realm of various possible phenomena. The study has relevance and important impact in the current and future nuclear fusion applications. - Highlights: •Reporting formation of W nanostructure (fuzz) due to low energy He ion beam irradiation. •Observing the very early stages for the W-Fuzz formation. •Tracking the surface morphological evolution during the He irradiation. •Discussing in depth our observation and drawing a possible scenario that explain this phenomenon. •Studying various ions irradiation parameters such as flux, fluence, and ions energy.

  1. Neuron attachment properties of carbon negative-ion implanted bioabsorbable polymer of poly-lactic acid

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Sasaki, Hitoshi; Sato, Hiroko; Gotoh, Yasuhito; Ishikawa, Junzo

    2002-01-01

    Modification of a bioabsorbable polymer of poly-lactic acid (PLA) by negative carbon ion implantation was investigated with resect to radiation effects on surface physical properties and nerve-cell attachment properties. Carbon negative ions were implanted to PLA at energy of 5-30 keV with a dose of 10 14 -10 16 ions/cm 2 . Most C-implanted PLA samples showed contact angles near 80 deg. and almost same as that of unimplanted PLA, although a few samples at 5 keV and less 3x10 14 ions/cm 2 had contact angles larger than 90 deg. The attachment properties of nerve cells of PC-12h (rat adrenal phechromocytoma) in vitro were studied. PC-12h cells attached on the unimplanted region in C-implanted PLA samples at 5 and 10 keV. On the contrary, the nerve cells attached on only implanted region for the C-implanted PLA sample at 30 keV and 1x10 15 ions/cm 2

  2. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  3. Surface modification and metallization of polycarbonate using low energy ion beam

    International Nuclear Information System (INIS)

    Reheem, A.M. Abdel; Maksoud, M.I.A. Abdel; Ashour, A.H.

    2016-01-01

    The low energy argon ion is used for irradiation polycarbonate samples using cold cathode ion source. The surface of the PC substrates is examined using SEM, UV-spectroscopy and FTIR. It was found that the energy band gap decrease by increase argon ion fluence. Copper films are deposited onto polycarbonate (PC) substrates after irradiation by argon ion beam. The structure, surface morphology and the optical band gap are investigated using XRD, SEM and UV spectroscopy. It can be seen that the intensity increases with deposition time and band gap decreases from 3.45 eV for the pristine PC to ∼1.7 eV for copper thin film. - Highlights: • The low energy argon ion is used for irradiation polycarbonate samples. • The surface roughness increase from 9 µm to 23.5 µm after argon ion irradiated. • Copper films are deposited onto polycarbonate (PC) substrates. • Energy band gap decreases from 3.45 eV for pristine to 1.7 eV for copper thin film.

  4. Modification of electrical properties of polymer membranes by ion implantation

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Wasik, S.

    2000-01-01

    This paper presents an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted with a variety of ions (O 5+ , N 4+ , Kr 9+ ) by the energy of 10 keV/q up to doses of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and the changes in the chemical structure of implanted membrane were measured by conductivity and discharge currents and FTIR spectra. Electrical conductivity of the membranes PET increases to 1-3 orders of magnitude after implantation and is determined by the charge transport caused by free space charge and by thermal detrapping of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability to accumulate charge

  5. Simulation of ion implantation for ULSI technology

    International Nuclear Information System (INIS)

    Hoessinger, A.

    2000-07-01

    In modern semiconductor technology ion implantation has turned out to be the most important technique to introduce dopant atoms into semiconducting materials. The major advantage of the ion implantation technique is the high controllability and reproducibility of the process parameters influencing the doping distributions. Furthermore, very shallow doping profiles can be formed, which are a prerequisite for ULSI (ultra large scale integration) technology. Since it is mainly ion implantation which determines the distribution of the dopants and thereby the electrical properties of the semiconductor devices highly accurate simulation methods for ion implantation processes are required to be able to predict and optimize the behavior of integrated circuits. In recent years successively shrinking device dimensions and new design concepts have shown the necessity of a full three-dimensional treatment of simulation problems, e.g. the simulation of MOS transistors with narrow gates, or vertical transistors. Three-dimensional simulations obviously require large computation times and a lot of memory. Therefore, it is a waste of computational resources if a three-dimensional simulation would be applied to all applications. Several problems, like the buried layer or the well formation of an MOS transistor can be analyzed as accurate by simpler two-dimensional or even one-dimensional simulations. Since it should be easy to switch the dimension of the simulation without recalibrating a simulator, it is not desirable to use different simulators, which eventually use different models, for the simulation of one-dimensional, two-dimensional and three-dimensional problems. The goal of this work was to further improve a Monte-Carlo ion implantation simulator developed over the last fifteen years within the scope of several PhD theses. As part of this work several new models and methods have been developed and implemented to improve the accuracy and the efficiency of the simulator, in

  6. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  7. Irradiation effects in Fe-30%Ni alloy during Ar ion implantation

    International Nuclear Information System (INIS)

    Soukieh, Mohamad; Al-Mohamad, Ali

    1993-12-01

    The use of metallic thin films for studying the processes which take place during ion irradiation has recently increased. For example, ion implantation is widely used to study the structural defects in transition metallic thin films such as (Fe, Ni, Co), because it can simulate the effects occurring in nuclear reactors during neutron irradiation especially the swelling of reactor materials. The swelling of metals and alloys is strongly related to the material structure and to the irradiation conditions. The general feature of formation of structural defects as a function of irradiation dosage and annealing temperature is well known. However, the detailed mechanisms are still not well understood. For example, the swelling of iron alloy with 30-35% nickel is very small in comparison with other Ni concentrations, and there is no clear information on the possibility of phase transitions in fe-Ni alloys during irradiation. The aim of this work is to study the phase-structural changes in Fe-30% Ni implanted by high dose of argon ions. The effect of irradiation with low energy argon ions (40 KeV, and fluences of 10.E15 to 10.E17 ions/cm) on the deposited thin films of Fe-30% Ni alloy was investigated using RBS and TEM techniques. The thicknesses of these films were about 65+-10 nm deposited on ceramic, KBr, and Be fiols substrates. Gas bubble formation and profile distribution of the implanted argon ions were investigated. Formation of an ordered phase Fe 3 Ni during irradiation appears to inhibit gas bubble formations in the film structure. (author). 17 refs., 15 figs., 7 tabs

  8. The PS 200 catching trap: A new tool for ultra-low energy antiproton physics

    International Nuclear Information System (INIS)

    Holzscheiter, M.H.; Dyer, P.L.; King, N.S.P.; Lizon, D.C.; Morgan, G.L.; Schauer, M.M.; Schecker, J.A.; Hoibraten, S.; Lewis, R.A.; Otto, T.

    1994-01-01

    Approximately one million antiprotons have been trapped and electron cooled in the PS200 catching trap from a single fast extracted pulse from LEAR. The system is described in detail, different extraction schemes are discussed, and possible applications of this instrument to ultra-low energy atomic and nuclear physics with antiprotons are mentioned

  9. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  10. Characterization of duplex hard coatings with additional ion implantation

    Directory of Open Access Journals (Sweden)

    B. Škorić

    2012-01-01

    Full Text Available In this paper, we present the results of a study of TiN thin fi lms which are deposited by a Physical Vapour Deposition (PVD and Ion Beam Assisted Deposition (IBAD. In the present investigation the subsequent ion implantation was provided with N+2 ions. The ion implantation was applied to enhance the mechanical properties of surface. The thin film deposition process exerts a number of eff ects such as crystallographic orientation, morphology, topography, densifi cation of the fi lms. The evolution of the microstructure from porous and columnar grains to densel packed grains is accompanied by changes in mechanical and physical properties. A variety of analytic techniques were used for characterization, such as scratch test, calo test, Scanning electron microscopy (SEM, Atomic Force Microscope (AFM, X-ray diff raction (XRD and Energy Dispersive X-ray analysis (EDAX.

  11. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M.; Berg, J.A. van den E-mail: j.a.vandenberg@salford.ac.uk; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q

    2004-02-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10{sup 13} to 5 x 10{sup 15} cm{sup -2}. MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10{sup 14} cm{sup -2} (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a {approx}4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses {>=}4 x 10{sup 14} cm{sup -2} the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, {approx}2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As.

  12. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    International Nuclear Information System (INIS)

    Werner, M.; Berg, J.A. van den; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q.

    2004-01-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10 13 to 5 x 10 15 cm -2 . MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10 14 cm -2 (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a ∼4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses ≥4 x 10 14 cm -2 the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, ∼2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As

  13. A novel electrode surface fabricated by directly attaching gold nanoparticles onto NH2+ ions implanted-indium tin oxide substrate

    International Nuclear Information System (INIS)

    Liu Chenyao; Jiao Jiao; Chen Qunxia; Xia Ji; Li Shuoqi; Hu Jingbo; Li Qilong

    2010-01-01

    A new type of gold nanoparticle attached to a NH 2 + ion implanted-indium tin oxide surface was fabricated without using peculiar binder molecules, such as 3-(aminopropyl)-trimethoxysilane. A NH 2 /indium tin oxide film was obtained by implantation at an energy of 80 keV with a fluence of 5 x 10 15 ions/cm 2 . The gold nanoparticle-modified film was characterized by X-ray photoelectron spectroscopy, scanning electron microscopy and electrochemical techniques and compared with a modified bare indium tin oxide surface and 3-(aminopropyl)-trimethoxysilane linked surface, which exhibited a relatively low electron transfer resistance and high electrocatalytic activity. The results demonstrate that NH 2 + ion implanted-indium tin oxide films can provide an important route to immobilize nanoparticles, which is attractive in developing new biomaterials.

  14. Pattern formation on Ge by low energy ion beam erosion

    International Nuclear Information System (INIS)

    Teichmann, Marc; Lorbeer, Jan; Frost, Frank; Rauschenbach, Bernd; Ziberi, Bashkim

    2013-01-01

    Modification of nanoscale surface topography is inherent to low-energy ion beam erosion processes and is one of the most important fields of nanotechnology. In this report a comprehensive study of surface smoothing and self-organized pattern formation on Ge(100) by using different noble gases ion beam erosion is presented. The investigations focus on low ion energies (⩽ 2000 eV) and include the entire range of ion incidence angles. It is found that for ions (Ne, Ar) with masses lower than the mass of the Ge target atoms, no pattern formation occurs and surface smoothing is observed for all angles of ion incidence. In contrast, for erosion with higher mass ions (Kr, Xe), ripple formation starts at incidence angles of about 65° depending on ion energy. At smaller incident angles surface smoothing occurs again. Investigations of the surface dynamics for specific ion incidence angles by changing the ion fluence over two orders of magnitude gives a clear evidence for coarsening and faceting of the surface pattern. Both observations indicate that gradient-dependent sputtering and reflection of primary ions play crucial role in the pattern evolution, just at the lowest accessible fluences. The results are discussed in relation to recently proposed redistributive or stress-induced models for pattern formation. In addition, it is argued that a large angular variation of the sputter yield and reflected primary ions can significantly contribute to pattern formation and evolution as nonlinear and non-local processes as supported by simulation of sputtering and ion reflection. (paper)

  15. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Niño, E D V; Dugar-Zhabon, V; Pinto, J L; Henao, J A

    2012-01-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 10 17 ions/cm 2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  16. Chemical characterization of 4140 steel implanted by nitrogen ions

    Science.gov (United States)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  17. In situ EELS and TEM observation of Al implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Hojou, K.; Furuno, S.; Kushita, K.N.; Otsu, H.; Izui, K.

    1995-01-01

    Formation processes of Aluminum nitride (AIN) in Aluminum (AI) implanted with nitrogen were examined by in situ EELS and TEM observations during nitrogen ion implantation in an electron microscope at room temperature and 400 deg C. AIN phase was identified both by EDP and EELS after nitrogen ion implantation to 6 x 10 20 (N + )/m 2 . The observed peak (20.8 eV) in EELS spectra was identified as plasmon loss peak of AIN formed in AI. The binding energy of N ls in AI was found to shift by about 4 eV to the lower side with increasing nitrogen-ion fluence. Unreacted AI was also found to remain in the AIN films after high fluence implantation both at room temperature and 400 deg C. (authors). 11 refs., 5 figs., 2 tabs

  18. Ion beam studies. Part 5 - the computer simulation of composite ion implantation profiles

    International Nuclear Information System (INIS)

    Freeman, J.H.; Booker, D.V.

    1977-01-01

    The computer simulation of composite ion implantation profiles produced by continuous energy programming and by discrete multiple dose doping is described. It is shown that precise matching of the computed profile to various uniform and power-law distributions can be achieved. (author)

  19. Effect of ion-implantation enhanced intermixing on luminescence of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Li, Q; Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra 0200 (Australia)

    2008-10-21

    Temperature dependent photoluminescence spectra of ion implanted InAs/InP quantum dots (QDs) followed by rapid thermal annealing were studied. By employing a recently developed luminescence model for localized states ensemble, the broadening of the distribution of the localized QD states was determined from the fitting to the luminescence peak energy positions. The broadening of the distribution of the localized QD states reduces due to ion-implantation enhanced intermixing. The contribution of carrier distribution within the localized QD states to the luminescence linewidth decreases after ion-implantation enhanced intermixing. The effect of doses and types of ions used for implantation were also investigated.

  20. Collisions of low-energy multicharged ions

    International Nuclear Information System (INIS)

    Phaneuf, R.A.; Crandall, D.H.

    1981-01-01

    Experimental measurements of cross sections for collisions of multiply charged ions with atoms at the lowest attainable collision energies are reported. Emphasis is on electron capture from hydrogen atoms by multiply charged ions at energies below 1 keV/amu. The principal effort is the development of a merged-ion-atom-beams apparatus for studies down to 1 eV/amu relative energy

  1. Effect of ion implantation on thin hard coatings

    International Nuclear Information System (INIS)

    Auner, G.; Hsieh, Y.F.; Padmanabhan, K.R.; Chevallier, J.; Soerensen, G.

    1983-01-01

    The surface mechanical properties of thin hard coatings of carbides, nitrides and borides deposited by r.f. sputtering were improved after deposition by ion implantation. The thickness and the stoichiometry of the films were measured by Rutherford backscattering spectrometry and nuclear reaction analysis before and after ion bombardment. The post ion bombardment was achieved with heavy inert ions such as Kr + and Xe + with an energy sufficient to penetrate the film and to reach the substrate. Both the film adhesion and the microhardness were consistently improved. In order to achieve a more detailed understanding, Rb + and Ni + ions were also used as projectiles, and it was found that these ions were more effective than the inert gas ions. (Auth.)

  2. Measurements of low energy auroral ions

    International Nuclear Information System (INIS)

    Urban, A.

    1981-01-01

    This paper summarizes ion measurements in the energy range 0.1 to 30 keV observed during the campaigns 'Substorm Phenomena' and 'Porcupine'. For a clear survey of the physical processes during extraordinary events, sometimes ion measurements of higher energies are also taken into account. Generally, the pitch angle distributions were isotropic during all flights except some remarkable events. In general the ion and electron flux intensities correlated, but sometimes revealed a spectral anti-correlation. Acceleration of the ions by an electrostatic field aligned parallel to the magnetic field could be identified accompanied by intense electron precipitation. On the other hand deceleration of the ions was observed in other field-aligned current sheets which are indicated by the electron and magnetic field measurements. Temporal successive monoenergetic ion variations pointed to energy dispersion and to the location of the source region at 9 Rsub(E). Furthermore, ion fluxes higher than those of the electrons were measured at pitch angles parallel to the magnetic field. The integral down-going number and energy flux of the ions contributed to the total particle or energy influx between 65% and less than 7% and did not clearly characterize the geophysical launch conditions or auroral activities. (author)

  3. Study of phase transformation processes in steel after phosphor ion implantation and following thermal treatment

    International Nuclear Information System (INIS)

    Zhetbaev, A.K.; Vereshchak, N.F.; Satpaev, K.K.; Dosmagambetov, T.D.; Serikbaeva, Z.T.

    1999-01-01

    In the paper process of phase transformation after phosphor ion implantation in steel-45 and annealing in vacuum at 1000 deg C and irradiation by various doses of phosphor ions with energy 100 keV an accelerator are researched by conversion electron method. The phosphor overall solubility in iron is equal 4.53 %. Implantation dose below 6·10 17 ions/cm 2 allows increase phosphor ions content in implantation region to 35 %. Therefore, iron phosphides (Fe 3 P, Fe 2 P and Fe P) forming are possible. (author)

  4. Electronic Transport and Raman Spectroscopy Characterization in Ion-Implanted Highly Oriented Pyrolytic Graphite

    Science.gov (United States)

    de Jesus, R. F.; Turatti, A. M.; Camargo, B. C.; da Silva, R. R.; Kopelevich, Y.; Behar, M.; Balzaretti, N. M.; Gusmão, M. A.; Pureur, P.

    2018-02-01

    We report on Raman spectroscopy, temperature-dependent in-plane resistivity, and in-plane magnetoresistance experiments in highly oriented pyrolytic graphite (HOPG) implanted with As and Mn. A pristine sample was also studied for comparison. Two different fluences were applied, φ = 0.5× 10^{16} {ions}/{cm}2 and φ = 1.0× 10^{16} {ions}/{cm}2. The implantations were carried out with 20 keV ion energy at room temperature. The Raman spectroscopy results reveal the occurrence of drastic changes of the HOPG surface as a consequence of the damage caused by ionic implantation. For the higher dose, the complete amorphization limit is attained. The resistivity and magnetoresistance results were obtained placing electrical contacts on the irradiated sample surface. Owing to the strong anisotropy of HOPG, the electrical current propagates mostly near the implanted surface. Shubnikov-de Haas (SdH) oscillations were observed in the magnetoresistance at low temperatures. These results allow the extraction of the fundamental SdH frequencies and the carriers' effective masses. In general, the resistivity and magnetoresistance results are consistent with those obtained from Raman measurements. However, one must consider that the electrical conduction in our samples occurs as in a parallel association of a largely resistive thin sheet at the surface strongly modified by disorder with a thicker layer where damage produced by implantation is less severe. The SdH oscillations do not hint to significant changes in the carrier density of HOPG.

  5. Characterization of junctions produced by medium-energy ion implantation in silicon; Caracterisation de jonctions obtenues par implantation d'ions de moyenne energie dans le silicium

    Energy Technology Data Exchange (ETDEWEB)

    Monfret, A [Commissariat a l' Energie Atomique, Grenoble (France). Centre d' Etudes Nucleaires

    1970-07-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [French] On examine les caracteristiques de diodes obtenues par implantation d'ions bore et phosphore de 20 keV dans le silicium. On met en evidence le comportement particulier de ces diodes et on presente certaines correlations avec la technologie. L'expose comprend trois parties: - la premiere partie est consacree au calcul du profil de dopage en mode canalise ou non. - Dans la deuxieme partie, on decrit l'appareillage et les conditions experimentales d'implantation. - Dans la troisieme partie, on presente les resultats experimentaux. On propose un modele schematique pour expliquer le comportement des tenues en tension des diodes. L'etude des courants de fuite en fonction de la preparation des echantillons et des traitements thermiques permet de determiner des conditions optimales d'elaboration. Au cours de cette etude on met en evidence l'influence de deux stades de recuit: le premier a 150 deg. C pour les implantations de

  6. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  7. Optical properties of ion-implanted InP and GaAs: Selectivity-excited photoluminescence spectra

    International Nuclear Information System (INIS)

    Makita, Yunosuke; Yamada, Akimasa; Kimura, Shinji; Niki, Shigeru; Yoshinaga, Hiroshi; Matsumori, Tokue; Iida, Tsutomu; Uekusa, Ichiro

    1993-01-01

    Implantation of Mg+ ions was carried out into high purity InP grown by liquid encapsulated Czochralski method. Mg+ ion-implanted InP presented the formation of plural novel emissions with increasing Mg concentration, [Mg] in the low temperature photoluminescence spectra. Selectively-excited photoluminescence (SPL) measurements were made to examine the features of two-hole replicas pertinent to the emissions of excitons bound to neutral Mg and residual Zn acceptors. Systematic variation of the emission intensities from the two types of two-hole replicas was found to be utilized for the evaluation of ion-implanted materials. The significant discrepancy of emission spectra between PL and SPL was attributed to the difference of the depth examined by using the excitation light with high and low absorption coefficient. The results revealed that the diffusion of ion-implanted Mg is extremely enhanced when [Mg] exceeds 1x10 17 cm -3

  8. Production of low axial energy spread ion beams with multicusp sources

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Yung -Hee Y. [Univ. of California, Berkeley, CA (United States)

    1998-05-01

    Multicusp ion sources are capable of producing ions with low axial energy spread which are necessary in applications such as: ion projection lithography (IPL) and focused ion beams for the next generation lithographic tools and nuclear science experiments such as radioactive ion beam production. The axial ion energy spread for multicusp source is approximately 6 eV which is too large for IPL and radioactive ion beam applications. The addition of a magnetic filter which consists of a pair of permanent magnets to the multicusp source reduces the energy spread considerably. The reduction is due to the improvement in the uniformity of the axial plasma potential distribution in the discharge region. Axial ion energy spread of the filament driven ion source has been measured using three different techniques. In all cases, it was found to be less than 2 eV. Energy spread of the radio frequency (RF) driven source has also been explored, and it was found to be less than 3 eV with the proper RF-shielding. A new multicusp source configuration has been designed and constructed to further reduce the energy spread. To achieve a more uniform axial plasma potential distribution, a cylindrical magnetic filter has been designed and constructed for a 2-cm-diameter source. This new source configuration, the co-axial source, is new in its kind. The energy spread in this source has been measured to be a record low of 0.6 eV. Because of the novelty of this device, some plasma parameters inside the source have been studied. Langmuir probe has been used to measure the plasma potential, the electron temperature and the density distribution.

  9. Production of low axial energy spread ion beams with multicusp sources

    International Nuclear Information System (INIS)

    Lee, Y.H.Y.

    1998-05-01

    Multicusp ion sources are capable of producing ions with low axial energy spread which are necessary in applications such as: ion projection lithography (IPL) and focused ion beams for the next generation lithographic tools and nuclear science experiments such as radioactive ion beam production. The axial ion energy spread for multicusp source is approximately 6 eV which is too large for IPL and radioactive ion beam applications. The addition of a magnetic filter which consists of a pair of permanent magnets to the multicusp source reduces the energy spread considerably. The reduction is due to the improvement in the uniformity of the axial plasma potential distribution in the discharge region. Axial ion energy spread of the filament driven ion source has been measured using three different techniques. In all cases, it was found to be less than 2 eV. Energy spread of the radio frequency (RF) driven source has also been explored, and it was found to be less than 3 eV with the proper RF-shielding. A new multicusp source configuration has been designed and constructed to further reduce the energy spread. To achieve a more uniform axial plasma potential distribution, a cylindrical magnetic filter has been designed and constructed for a 2-cm-diameter source. This new source configuration, the co-axial source, is new in its kind. The energy spread in this source has been measured to be a record low of 0.6 eV. Because of the novelty of this device, some plasma parameters inside the source have been studied. Langmuir probe has been used to measure the plasma potential, the electron temperature and the density distribution

  10. High definition surface micromachining of LiNbO 3 by ion implantation

    Science.gov (United States)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  11. INFN what next ultra-relativistic heavy-ion collisions

    CERN Document Server

    Dainese, A.; Usai, G.; Antonioli, P.; Arnaldi, R.; Beraudo, A.; Bruna, E.; Bruno, G.E.; Bufalino, S.; Di Nezza, P.; Lombardo, M.P.; Nania, R.; Noferini, F.; Oppedisano, C.; Piano, S.; Prino, F.; Rossi, A.; Agnello, M.; Alberico, W.M.; Alessandro, B.; Alici, A.; Andronico, G.; Antinori, F.; Arcelli, S.; Badala, A.; Barbano, A.M.; Barbera, R.; Barile, F.; Basile, M.; Becattini, F.; Bedda, C.; Bellini, F.; Beole, S.; Bianchi, L.; Bianchin, C.; Bonati, C.; Bossu, F.; Botta, E.; Caffarri, D.; Camerini, P.; Carnesecchi, F.; Casula, E.; Cerello, P.; Cicalo, C.; Cifarelli, M.L.; Cindolo, F.; Colamaria, F.; Colella, D.; Colocci, M.; Corrales Morales, Y.; Cortese, P.; De Caro, A.; De Cataldo, G.; De Falco, A.; De Gruttola, D.; D'Elia, M.; De Marco, N.; De Pasquale, S.; Di Bari, D.; Elia, D.; Fantoni, A.; Feliciello, A.; Ferretti, A.; Festanti, A.; Fionda, F.; Fiorenza, G.; Fragiacomo, E.; Fronze, G.G.; Girard, M. Fusco; Gagliardi, M.; Gallio, M.; Garg, K.; Giubellino, P.; Greco, V.; Grossi, E.; Guerzoni, B.; Hatzifotiadou, D.; Incani, E.; Innocenti, G.M.; Jacazio, N.; Das, S. Kumar; La Rocca, P.; Lea, R.; Leardini, L.; Leoncino, M.; Lunardon, M.; Luparello, G.; Mantovani Sarti, V.; Manzari, V.; Marchisone, M.; Margagliotti, G.V.; Masera, M.; Masoni, A.; Mastroserio, A.; Mazzilli, M.; Mazzoni, M.A.; Meninno, E.; Mesiti, M.; Milano, L.; Moretto, S.; Muccifora, V.; Nappi, E.; Nardi, M.; Nicassio, M.; Pagano, P.; Pappalardo, G.S.; Pastore, C.; Paul, B.; Petta, C.; Pinazza, O.; Plumari, S.; Preghenella, R.; Puccio, M.; Puddu, G.; Ramello, L.; Ratti, C.; Ravasenga, I.; Riggi, F.; Ronchetti, F.; Rucci, A.; Ruggieri, M.; Rui, R.; Sakai, S.; Scapparone, E.; Scardina, F.; Scarlassara, F.; Scioli, G.; Siddhanta, S.; Sitta, M.; Soramel, F.; Suljic, M.; Terrevoli, C.; Trogolo, S.; Trombetta, G.; Turrisi, R.; Vercellin, E.; Vino, G.; Virgili, T.; Volpe, G.; Williams, M.C.S.; Zampolli, C.

    2016-01-01

    This document was prepared by the community that is active in Italy, within INFN (Istituto Nazionale di Fisica Nucleare), in the field of ultra-relativistic heavy-ion collisions. The experimental study of the phase diagram of strongly-interacting matter and of the Quark-Gluon Plasma (QGP) deconfined state will proceed, in the next 10-15 years, along two directions: the high-energy regime at RHIC and at the LHC, and the low-energy regime at FAIR, NICA, SPS and RHIC. The Italian community is strongly involved in the present and future programme of the ALICE experiment, the upgrade of which will open, in the 2020s, a new phase of high-precision characterisation of the QGP properties at the LHC. As a complement of this main activity, there is a growing interest in a possible future experiment at the SPS, which would target the search for the onset of deconfinement using dimuon measurements. On a longer timescale, the community looks with interest at the ongoing studies and discussions on a possible fixed-target p...

  12. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  13. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  14. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  15. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  16. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  17. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  18. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  19. Nonlinear optical waveguides produced by MeV ion implantation in LiNbO3

    International Nuclear Information System (INIS)

    Sarkisov, S.S.; Curley, M.J.; Williams, E.K.; Ila, D.; Svetchnikov, V.L.; Zandbergen, H.W.; Zykov, G.A.; Banks, C.; Wang, J.-C.; Poker, D.B.; Hensley, D.K.

    2000-01-01

    We analyze microstructure, linear and nonlinear optical properties of planar waveguides produced by implantation of MeV Ag ions into LiNbO 3 . Linear optical properties are described by the parameters of waveguide propagation modes and optical absorption spectra. Nonlinear properties are described by the nonlinear refractive index. Operation of the implanted crystal as an optical waveguide is due to modification of the linear refractive index of the implanted region. The samples as implanted do not show any light-guiding. The implanted region has amorphous and porous microstructure with the refractive index lower than the substrate. Heat treatment of the implanted samples produces planar light-guiding layer near the implanted surface. High-resolution electron microscopy reveals re-crystallization of the host between the surface and the nuclear stopping region in the form of randomly oriented crystalline grains. They make up a light-guiding layer isolated from the bulk crystal by the nuclear stopping layer with low refractive index. Optical absorption of the sample as implanted has a peak at 430 nm. This peak is due to the surface plasmon resonance in nano-clusters of metallic silver. Heat treatment of the samples shifts the absorption peak to 545 nm. This is more likely due to the increase of the refractive index back to the value for the crystalline LiNbO 3 . The nonlinear refractive index of the samples at 532 nm (of the order of 10 -10 cm 2 W -1 ) was measured with the Z-scan technique using a picosecond laser source. Possible applications of the waveguides include ultra-fast photonic switches and modulators

  20. A 2 MV heavy ion Van de Graaff implanter for research and development

    International Nuclear Information System (INIS)

    Hemment, P.L.F.; Sealy, B.J.; Stephens, K.G.; Mynard, J.E.; Jeynes, C.; Browton, M.D.; Wilson, R.J.; Ma, M.X.; Cansell, A.; Mous, D.J.W.; Koudijs, R.

    1993-01-01

    A high energy heavy ion implantation system is described which is based upon a 2 MV High Voltage Engineering Europa Van de Graaff accelerator, which incorporates an ion source rapid exchange mechanism. The design and performance are described with particular reference to the system mass resolution, beam transport and performance of a sputter ion source. The system is used to provide a wide ranging implantation service and also supports material science studies, some of which are described briefly. (orig.)