WorldWideScience

Sample records for traditional process writing

  1. Combining Traditional and New Literacies in a 21st-Century Writing Workshop

    Science.gov (United States)

    Bogard, Jennifer M.; McMackin, Mary C.

    2012-01-01

    This article describes how third graders combine traditional literacy practices, including writer's notebooks and graphic organizers, with new literacies, such as video editing software, to create digital personal narratives. The authors emphasize the role of planning in the recursive writing process and describe how technology-based audio…

  2. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  3. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  4. Teaching the Writing Process

    Science.gov (United States)

    Keen, John

    2017-01-01

    This article outlines some cognitive process models of writing composition. Possible reasons why students' writing capabilities do not match their abilities in some other school subjects are explored. Research findings on the efficacy of process approaches to teaching writing are presented and potential shortcomings are discussed. Product-based…

  5. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  6. Design of Online Report Writing Based on Constructive and Cooperative Learning for a Course on Traditional General Physics Experiments

    Science.gov (United States)

    Lo, Hao-Chang

    2013-01-01

    The objective of this study was to develop an online report writing activity that was a constructive and cooperative learning process for a course on traditional general physics experiments. Wiki, a CMC authoring tool, was used to construct the writing platform. Fifty-eight undergraduate students (33 men and 25 women), working in randomly assigned…

  7. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  8. Teachers' attitudes and understandings about process writing in the School of Foreign Languages at Muğla University

    OpenAIRE

    Gümüş, Özlem

    2002-01-01

    Ankara : The Department of Teaching English as a Foreign Language, the Institute of Economics and Social Sciences of Bilkent University, 2002. Thesis (Master's) -- Bilkent University, 2002. Includes bibliographical references leaves 96-99. In the last 25 years, process writing has grown to dominate the traditional approaches in writing instruction. Many studies have looked at process writing in terms of implementation or the composing processes of students using process writ...

  9. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  10. Enhancing Critical Thinking Skills and Writing Skills through the Variation in Non-Traditional Writing Task

    Science.gov (United States)

    Sinaga, Parlindungan; Feranie, Shelly

    2017-01-01

    The research aims to identify the impacts of embedding non-traditional writing tasks within the course of modern physics conducted to the students of Physics Education and Physics Study Programs. It employed a quasi-experimental method with the pretest-posttest control group design. The used instruments were tests on conceptual mastery, tests on…

  11. Clinical report writing: Process and perspective

    Science.gov (United States)

    Ewald, H. R.

    1981-01-01

    Clinical report writing in psychology and psychiatry is addressed. Audience/use analysis and the basic procedures of information gathering, diagnosis, and prognosis are described. Two interlinking processes are involved: the process of creation and the process of communication. Techniques for good report writing are presented.

  12. Writing and translation process research: Bridging the gap

    Directory of Open Access Journals (Sweden)

    Helle Dam-Jensen & Carmen Heine

    2013-06-01

    Full Text Available Writing and translation are traditionally addressed as two different objects of study. However, they also share many characteristics - as revealed by the research carried out in the two fields, which often uses the same methods to investigate both areas. In this introduction, it is suggested that writing and translation can be studied as types of text production. Different dimensions of text production are sketched as examples of research topics at the interface between writing and translation. The two articles that follow this introduction explore two such dimensions: competence and profiles.

  13. Acts of Writing: A Compilation of Six Models That Define the Processes of Writing

    Science.gov (United States)

    Sharp, Laurie A.

    2016-01-01

    Writing is a developmental and flexible process. Using a prescribed process for acts of writing during instruction does not take into account individual differences of writers and generates writing instruction that is narrow, rigid, and inflexible. Preservice teachers receive limited training with theory and pedagogy for writing, which potentially…

  14. "SCAFFOLDING" STUDENTS' WRITING IN EFL CLASS: IMPLEMENTING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    Ekaning Dewanti Laksmi

    2006-01-01

    Full Text Available The writing process approach views a writing learner as a creator of text, and hence, he needs to experience what writers actually do as they write, and so do students in EFL writing classes. The approach offers an answer to the need of helping the students develop their writing skill without their having to master the basic fundamental elements of writing, i.e. grammar, prior to attending the writing courses. This article highlights the potential of the process approach-with which students go through a write-rewrite process-in giving students a scaffold to work in a real, live process of how a real writer engages in the process of writing. However, the most important harvest is the fact that students have become more confident in expressing their ideas in writings.

  15. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2013-01-01

    Full Text Available Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product approach to writing and asking the learners to complete the text rather than copying it can have a positive impact on EFL learners’ accuracy in writing. After training a number of EFL learners on using process approach, we held a two-session writing class. In the first session students wrote in the process approach, and in the second one they were given a model text to continue in the process-product approach. The writing performance of the students in these two sessions was compared in term of accuracy. Based on the students’ writing performance, we came to the conclusion that completing the model text in process-product writing can have a rather positive influence in some aspects of their writing accuracy such as punctuation, capitalization, spelling, subject-verb agreement, tense, the use of connectors, using correct pronouns and possessives. Also the results of the paired t-test indicate that using a model text to continue increased students’ writing accuracy.

  16. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  17. Teaching Process Writing in an Online Environment

    Science.gov (United States)

    Carolan, Fergal; Kyppö, Anna

    2015-01-01

    This reflective practice paper offers some insights into teaching an interdisciplinary academic writing course aimed at promoting process writing. The study reflects on students' acquisition of writing skills and the teacher's support practices in a digital writing environment. It presents writers' experiences related to various stages of process…

  18. Supporting the Thesis Writing Process of International Research Students through an Ongoing Writing Group

    Science.gov (United States)

    Li, Linda Y.; Vandermensbrugghe, Joelle

    2011-01-01

    Evidence from research suggests writing support is particularly needed for international research students who have to tackle the challenges of thesis writing in English as their second language in Western academic settings. This article reports the development of an ongoing writing group to support the thesis writing process of international…

  19. Evaluation of Candidate Teachers Related to the Weblog Writing Process

    Science.gov (United States)

    Çelik, Tugba; Demirgünes, Sercan

    2016-01-01

    Weblogs offer a new writing and reading environment. Most people in the education process may improve their writing skills and achieve new perspectives related to writing via weblogs. In this study the changes that weblog writing process created in undergraduates'/candidate teachers' minds regarding writing are revealed. The weblog writing process…

  20. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  1. Toward a parallel and cascading model of the writing system: A review of research on writing processes coordination

    OpenAIRE

    Thierry Olive

    2014-01-01

    Efficient coordination of the different writing processes is central to producing good-quality texts, and is a fundamental component of writing skill. In this article, I propose a general theoretical framework for considering how writing processes are coordinated, in which writing processes are concurrently activated with more or less overlap between processes depending on their working memory demands, and with the flow of information cascading from central to peripheral levels of processing....

  2. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    OpenAIRE

    Parastou Gholami Pasand; Eshrat Bazarmaj Haghi

    2013-01-01

    Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product app...

  3. Understanding the cognitive processes involved in writing to learn.

    Science.gov (United States)

    Arnold, Kathleen M; Umanath, Sharda; Thio, Kara; Reilly, Walter B; McDaniel, Mark A; Marsh, Elizabeth J

    2017-06-01

    Writing is often used as a tool for learning. However, empirical support for the benefits of writing-to-learn is mixed, likely because the literature conflates diverse activities (e.g., summaries, term papers) under the single umbrella of writing-to-learn. Following recent trends in the writing-to-learn literature, the authors focus on the underlying cognitive processes. They draw on the largely independent writing-to-learn and cognitive psychology learning literatures to identify important cognitive processes. The current experiment examines learning from 3 writing tasks (and 1 nonwriting control), with an emphasis on whether or not the tasks engaged retrieval. Tasks that engaged retrieval (essay writing and free recall) led to better final test performance than those that did not (note taking and highlighting). Individual differences in structure building (the ability to construct mental representations of narratives; Gernsbacher, Varner, & Faust, 1990) modified this effect; skilled structure builders benefited more from essay writing and free recall than did less skilled structure builders. Further, more essay-like responses led to better performance, implicating the importance of additional cognitive processes such as reorganization and elaboration. The results highlight how both task instructions and individual differences affect the cognitive processes involved when writing-to-learn, with consequences for the effectiveness of the learning strategy. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  4. Exploring the Affordances of the Writing Portal (TWP) as an Online Supplementary Writing Platform (For the Special Issue of GLoCALL 2013 and 2014 Conference Papers)

    Science.gov (United States)

    Lee, Kean Wah; Said, Noraini; Tan, Choon Keong

    2016-01-01

    The writing process has traditionally been seen "as a lonely journey" to typify the lack of support that students experience for writing outside the classroom. This paper examines an attempt of The Writing Portal (TWP), a supplementary online writing platform, to support students' writing needs throughout the five stages of the writing…

  5. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  6. Using Desktop Publishing To Enhance the "Writing Process."

    Science.gov (United States)

    Millman, Patricia G.; Clark, Margaret P.

    1997-01-01

    Describes the development of an instructional technology course at Fairmont State College (West Virginia) for education majors that included a teaching module combining steps of the writing process to provide for the interdisciplinary focus of writing across the curriculum. Discusses desktop publishing, the National Writing Project, and student…

  7. Dissociation of writing processes: functional magnetic resonance imaging during writing of Japanese ideographic characters.

    Science.gov (United States)

    Matsuo, K; Nakai, T; Kato, C; Moriya, T; Isoda, H; Takehara, Y; Sakahara, H

    2000-06-01

    Dissociation between copying letters and writing to dictation has been reported in the clinical neuropsychological literature. Functional magnetic resonance imaging (fMRI) was conducted in normal volunteers to detect the neurofunctional differences between 'copying Kanji', the Japanese ideographic characters, and 'writing Kanji corresponding to phonological information'. Four tasks were conducted: the copying-Kanji task, the writing-Kanji-corresponding-to-phonogram task, the Kanji-grapheme-puzzle task, and the control task. The right superior parietal lobule was extensively activated during the copying-Kanji task (a model of the copying letters process) and the Kanji-grapheme-puzzle task. These observations suggested that this area was involved in referring the visual stimuli closely related to the ongoing handwriting movements. On the other hand, Broca's area, which is crucial for language production, was extensively activated during the writing-Kanji-corresponding-to-phonogram task (a model of the writing-to-dictation process). The Kanji-grapheme-puzzle task activated the bilateral border portions between the inferior parietal lobule and the occipital lobe, the left premotor area, and the bilateral supplementary motor area (SMA). Since the Kanji-grapheme-puzzle task involved manipulospatial characteristics, these results suggested cooperation between visuospatial and motor executive functions, which may be extensively utilized in demanding visual language processing. The neurofunctional difference between 'copying Kanji' and 'writing Kanji corresponding to phonogram' was efficiently demonstrated by this fMRI experiment.

  8. Simplifying the writing process for the novice writer.

    Science.gov (United States)

    Redmond, Mary Connie

    2002-10-01

    Nurses take responsibility for reading information to update their professional knowledge and to meet relicensure requirements. However, nurses are less enthusiastic about writing for professional publication. This article explores the reluctance of nurses to write, the reasons why writing for publication is important to the nursing profession, the importance of mentoring to potential writers, and basic information about simplifying the writing process for novice writers. Copyright 2002 by American Society of PeriAnesthesia Nurses.

  9. The Emergent Writing Process of a Preschool Child.

    Science.gov (United States)

    Cruikshank, Maureen

    2001-01-01

    Used writing samples, informal observations, and formal interviews and observations over 6 weeks to document a 4-year-old's emergent writing process. Found that the subject made the transition from the pre-phonetic to the semi-phonetic writing stages at an early age. Also found that young children's low tolerance level for frustration has…

  10. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, November). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presentation at ICO Fall School 2012, Girona, Spain.

  11. The Dynamics of Narrative Writing in Primary Grade Children: Writing Process Factors Predict Story Quality

    Science.gov (United States)

    von Koss Torkildsen, Janne; Morken, Frøydis; Helland, Wenche A.; Helland, Turid

    2016-01-01

    In this study of third grade school children, we investigated the association between writing process measures recorded with key stroke logging and the final written product. Moreover, we examined the cognitive predictors of writing process and product measures. Analyses of key strokes showed that while most children spontaneously made local…

  12. Teaching Process Writing with Computers. Revised Edition.

    Science.gov (United States)

    Boone, Randy, Ed.

    Focusing on the use of word processing software programs as instructional tools for students learning writing composition, this collection includes 14 research articles and position papers, 16 reports on lesson ideas and projects, 5 articles on keyboarding, and 18 product reviews. These materials relate to teaching writing through the process…

  13. Effect of Process Approach to Writing on Senior Secondary Students ...

    African Journals Online (AJOL)

    Effect of Process Approach to Writing on Senior Secondary Students' ... The study adopted a quasi-experimental non equivalent pretest-posttest research design. ... Key words: process approach, product approach, essay, writing, achievement.

  14. Process Memos: Facilitating Dialogues about Writing between Students and Instructors

    Science.gov (United States)

    Parrott, Heather Macpherson; Cherry, Elizabeth

    2015-01-01

    We have created a new teaching tool--process memos--to improve student writing. Process memos are guided reflections submitted with scaffolded assignments that facilitate a written dialogue between students and instructors about the process of writing. Within these memos, students critically assess available teaching tools, discuss their writing…

  15. Progress in the development of the write process

    Energy Technology Data Exchange (ETDEWEB)

    Guffey, F.D.; Fahy, J.; Worman, D.; Lowry, C.; Mones, C. [Western Research Inst., Laramie, WY (United States); Corscadden, T.; Diduch, G. [MEG Energy Corp., Calgary, AB (Canada)

    2009-07-01

    This presentation described the commercialization of a field deployable upgrader developed by the Western Research Institute (WRI). The WRI Thermal Enhancement (WRITE) process was first tested in a 1-bbl/day bench scale unit. Based on the results of the testing program, a 5 bbl/day WRITE Process pilot plant was designed and built. It is currently in operation at WRI's Advanced Technology Center in Laramie, Wyoming. The 5 bbl/day WRITE Process pilot plant includes a 5 bbl/day distillate recovery unit (DRU) and a continuous coker that receives the produced bottoms. The DRU recovers diluent for recycling. The bitumen undergoes thermal conversion in the WRITE reactor to produce a pipeline quality product. The pyrolyzate produced by the coker is blended with the DRU to produce a synthetic crude oil (SCO) that is pipelined to existing refineries. Studies are currently underway at the pilot plant using dilbit produced at EMG's Christina Lake site. This presentation described the experimental program that is in progress. It also discussed the commercial viability of the technology for producing a pipeline quality product. tabs., figs.

  16. The Utility of Synthetic-based Approach of Writing among Iranian EFL Learners

    Directory of Open Access Journals (Sweden)

    Nasrin Derakhshandeh

    2014-05-01

    Full Text Available The present study intends to examine the utility of synthetic-based approach versus traditional approaches of writing among Iranian EFL learners. To achieve this end, ninety students at Upper-Intermediate level were randomly chosen from the English population of Kish and Gooyesh English Institutes. The students were divided into three groups. Group1 was asked to do a writing task based on product-based approach. A writing task based on process-oriented approach was administered to Group2; later on, Group 3 was invited to write a composition to assess their performance based on synthetic-based approach. The result of the t test and two-way ANOVA revealed that the students performed better in writing using synthetic approach rather than traditional approaches to writing.

  17. PROCESS-GENRE APPROACH, PRODUCT APPROACH, AND STUDENTS’ SELF-ESTEEM IN TEACHING WRITING

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2016-07-01

    Full Text Available This research was aimed at revealing whether or not: (1 process-genre approach is more effective than product approach in teaching writing; (2 students who have high self-esteem have better writing skill than those who have low self-esteem; and (3 there is an interaction between teaching-learning approaches and students’ self-esteem in teaching writing. This experimental research involved two classes of third semester students of English Education Study Program of IKIP PGRI Bojonegoro. Each class consisted of 38 students. The writing test and questionnaire on self-esteem were used as the instruments to collect the data of this research. The results show that: (1 Process-Genre Approach is more effective than Product Approach in teaching writing; (2 the students who have high self-esteem have better writing skill than those who have low self-esteem; and (3 there is an interaction between teaching approaches and the students’ self-esteem in teaching writing. Therefore, it is suggested to implement Process-Genre Approach since the students can experience every stage of writing process in order to deliver the message in their writing properly.

  18. Process-Genre Approach, Product Approach, and Students’ Self-Esteem in Teaching Writing

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2016-07-01

    Full Text Available This research was aimed at revealing whether or not: (1 process-genre approach is more effective than product approach in teaching writing; (2 students who have high self-esteem have better writing skill than those who have low self-esteem; and (3 there is an interaction between teaching-learning approaches and students’ self-esteem in teaching writing. This experimental research involved two classes of third semester students of English Education Study Program of IKIP PGRI Bojonegoro. Each class consisted of 38 students. The writing test and questionnaire on self-esteem were used as the instruments to collect the data of this research. The results show that: (1 Process-Genre Approach is more effective than Product Approach in teaching writing; (2 the students who have high self-esteem have better writing skill than those who have low self-esteem; and (3 there is an interaction between teaching approaches and the students’ self-esteem in teaching writing. Therefore, it is suggested to implement Process-Genre Approach since the students can experience every stage of writing process in order to deliver the message in their writing properly.

  19. Development of the Write Process for Pipeline-Ready Heavy Oil

    Energy Technology Data Exchange (ETDEWEB)

    Lee Brecher; Charles Mones; Frank Guffey

    2009-03-07

    Work completed under this program advances the goal of demonstrating Western Research Institute's (WRI's) WRITE{trademark} process for upgrading heavy oil at field scale. MEG Energy Corporation (MEG) located in Calgary, Alberta, Canada supported efforts at WRI to develop the WRITE{trademark} process as an oil sands, field-upgrading technology through this Task 51 Jointly Sponsored Research project. The project consisted of 6 tasks: (1) optimization of the distillate recovery unit (DRU), (2) demonstration and design of a continuous coker, (3) conceptual design and cost estimate for a commercial facility, (4) design of a WRITE{trademark} pilot plant, (5) hydrotreating studies, and (6) establish a petroleum analysis laboratory. WRITE{trademark} is a heavy oil and bitumen upgrading process that produces residuum-free, pipeline ready oil from heavy material with undiluted density and viscosity that exceed prevailing pipeline specifications. WRITE{trademark} uses two processing stages to achieve low and high temperature conversion of heavy oil or bitumen. The first stage DRU operates at mild thermal cracking conditions, yielding a light overhead product and a heavy residuum or bottoms material. These bottoms flow to the second stage continuous coker that operates at severe pyrolysis conditions, yielding light pyrolyzate and coke. The combined pyrolyzate and mildly cracked overhead streams form WRITE{trademark}'s synthetic crude oil (SCO) production. The main objectives of this project were to (1) complete testing and analysis at bench scale with the DRU and continuous coker reactors and provide results to MEG for process evaluation and scale-up determinations and (2) complete a technical and economic assessment of WRITE{trademark} technology to determine its viability. The DRU test program was completed and a processing envelope developed. These results were used for process assessment and for scaleup. Tests in the continuous coker were intended to

  20. Characterizing Fiber Bragg Grating Index Profiles to Improve the Writing Process

    DEFF Research Database (Denmark)

    Espejo, M.J.; Svalgaard, Mikael; Dyer, S.D.

    2006-01-01

    We demonstrate an accurate method for identifying both systematic and random errors in a fiber Bragg grating (FBG) writing system and show its application to calibration of the writing process. We first measure the FBG impulse response using low-coherence interferometry, and then we calculate......-beam dithered phase mask FBG writing system. We demonstrate the ability to identify errors in the writing process that would not likely be found from a measurement of the FBG reflection spectrum alone....

  1. Utilisation des micro-ordinateurs en ecriture aux cycles primaire et moyen: methodes, roles, attitudes et produits. L'education et la technologie (Writing with Microcomputers in the Elementary Grades: Process, Roles, Attitudes, and Products. Education and Technology Series).

    Science.gov (United States)

    Larter, Sylvia; And Others

    A study examined and compared writing with and without microcomputers in elementary schools. Specifically, the study examined (1) how the products of writing instruction with a computer differ from the products of traditionally taught writing, (2) the process of teaching writing, (3) teacher-pupil roles when pupils are writing, (4) teacher…

  2. Effects of Emotion on Writing Processes in Children

    Science.gov (United States)

    Fartoukh, Michael; Chanquoy, Lucile; Piolat, Annie

    2012-01-01

    The aim of this study was to analyze the consequences of emotion during narrative writing in accordance with Hayes's model. In this model, motivation and affect have an important role during the writing process. Moreover, according to the emotion-cognition literature, emotions are thought to create interferences in working memory, resulting in an…

  3. The writing process: A powerful approach for the language-disabled student.

    Science.gov (United States)

    Moulton, J R; Bader, M S

    1985-01-01

    Our understanding of the writing process can be a powerful tool for teaching language-disabled students the "how" of writing. Direct, explicit instruction in writing process helps these students learn to explore their ideas and to manage the multiple demands of writing. A case study of one student, Jeff, demonstrates how we structure the stages of writing: prewriting, planning, drafting, revising, and proofreading. When these stages are clearly defined and involve specific skills, language-disabled students can reach beyond their limitations and strengthen their expression. The case study of Jeff reveals the development of his sense of control and his regard for himself as a writer.

  4. A systematic writing program as a tool in the grief process: part 1.

    Science.gov (United States)

    Furnes, Bodil; Dysvik, Elin

    2010-12-06

    The basic aim of this paper is to suggest a flexible and individualized writing program as a tool for use during the grief process of bereaved adults. An open, qualitative approach following distinct steps was taken to gain a broad perspective on the grief and writing processes, as a platform for the writing program. Following several systematic methodological steps, we arrived at suggestions for the initiation of a writing program and its structure and substance, with appropriate guidelines. We believe that open and expressive writing, including free writing and focused writing, may have beneficial effects on a person experiencing grief. These writing forms may be undertaken and systematized through a writing program, with participation in a grief writing group and with diary writing, to achieve optimal results. A structured writing program might be helpful in promoting thought activities and as a tool to increase the coherence and understanding of individuals in the grief process. Our suggested program may also be a valuable guide to future program development and research.

  5. Indonesian EFL Students’ Perspective on Writing Process: A Pilot Study

    Directory of Open Access Journals (Sweden)

    Imelda Hermilinda Abas

    2016-06-01

    Full Text Available The study was aimed at understanding the EFL Indonesian students’ perspective on the writing process. The pilot study involved two male Indonesian postgraduate students in Universiti Utara Malaysia. The Indonesian students were selected based on the following criteria: (1 had enough knowledge in English writing, indicated by the completion of Academic Writing and Research Methodology courses taken in UUM; (2 had written an unpublished thesis during their undergraduate studies in Indonesia and they are writing their master or doctoral thesis in English; (3 used English extensively in writing their assignments, and in daily activities. Pseudonyms were used to refer to the participants as Sukarno and Suharto. The data were collected through in-depth interviews with the participants. The interview sessions took approximately 15-20 minutes for each participant and were videotaped and audiotaped. Semi-structured interview with 15 questions and probes were used. The results showed that the two participants had positive feelings and attitudes towards writing in English. Although they had a hard time in English writing during their undergraduate in Indonesia, they become fond of writing in English in their postgraduate time due to the exposure to English extensively. In composing, they used brainstorming, drafting, pausing, revising and editing in a recursive manner. Keywords: in-depth interview, pilot study, writing process, English as a Foreign Language (EFL

  6. The Writing Process for "Edutaining" CD-ROM Adventures.

    Science.gov (United States)

    Mountain, Lee

    2000-01-01

    Describes a CD-ROM adventure story written by the author to educate her students about the word relationships of synonyms and antonyms. Discusses how this interactive medium put a different twist on each of the stages of the writing process itself. Relates how two students enthusiastically used the program, and were inspired to write one of their…

  7. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  8. Incorporating A Structured Writing Process into Existing CLS Curricula.

    Science.gov (United States)

    Honeycutt, Karen; Latshaw, Sandra

    2014-01-01

    Good communication and critical thinking are essential skills for all successful professionals, including Clinical Laboratory Science/Medical Laboratory Science (CLS/MLS) practitioners. Professional programs can incorporate writing assignments into their curricula to improve student written communication and critical thinking skills. Clearly defined, scenario-focused writing assignments provide student practice in clearly articulating responses to proposed problems or situations, researching and utilizing informational resources, and applying and synthesizing relevant information. Assessment rubrics, structured feedback, and revision writing methodologies help guide students through the writing process. This article describes how a CLS Program in a public academic medical center, located in the central United States (US) serving five centrally-located US states has incorporated writing intensive assignments into an existing 11-month academic year using formal, informal and reflective writing to improve student written communication and critical thinking skills. Faculty members and employers of graduates assert that incorporating writing intensive requirements have better prepared students for their professional role to effectively communicate and think critically.

  9. Game-Based Practice versus Traditional Practice in Computer-Based Writing Strategy Training: Effects on Motivation and Achievement

    Science.gov (United States)

    Proske, Antje; Roscoe, Rod D.; McNamara, Danielle S.

    2014-01-01

    Achieving sustained student engagement with practice in computer-based writing strategy training can be a challenge. One potential solution is to foster engagement by embedding practice in educational games; yet there is currently little research comparing the effectiveness of game-based practice versus more traditional forms of practice. In this…

  10. Thinking and writing at Masters level: a reflective passage.

    Science.gov (United States)

    Fowler, John

    This article identifies key characteristics of Masters level writing and the underpinning relationship of these characteristics to reflective thought. Classical models of reflective writing are reviewed and their focus on reflection as a progressive process is debated. A linear model of reflection is proposed and its application to reflective writing for Masters level assessments is examined. The classical authors tend to present reflection as a process in which the outcomes of reflection becomes deeper at advanced levels. While this is an appropriate application of the concept of reflection for the traditional academic essay, it might not always be so appropriate for other more applied aspects of thinking and writing particularly in the development of advanced nursing practice. A linear model of reflective writing which offers a more pragmatic perspective on reflection is developed.

  11. Investigating IELTS Academic Writing Task 2 : Relationships between cognitive writing processes, text quality, and working memory

    NARCIS (Netherlands)

    Révész, Andrea; Michel, Marije; Lee, MinJin

    2017-01-01

    This project examined the cognitive processes and online behaviours of second language writers while performing IELTS Academic Writing Test Task 2, and the ways in which the online behaviours of test-takers relate to the quality of the text produced. An additional aim was to assess whether writing

  12. Real-time capture of student reasoning while writing

    Science.gov (United States)

    Franklin, Scott V.; Hermsen, Lisa M.

    2014-12-01

    We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, "S notation," is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews) into student reasoning during the writing process.

  13. Introducing the Process into Tertiary Level ESP Writing Classes.

    Science.gov (United States)

    Rea, Simon; Brewster, Eric

    1993-01-01

    Insights from first- and second-language learning research have been used to help prepare a process-based writing course for large nonnative speaker classes at a commercial and technical university in Austria. Methods used during the 21-hour course are described, including think-aloud writing tapes. (Contains 32 references.) (Author/LB)

  14. The Process Genre Writing Approach; An Alternative Option for the Modern Classroom

    Science.gov (United States)

    Tudor, Emma

    2017-01-01

    "Writing involves knowledge about the language, the context in which writing happens and skills in using language. Writing development happens by drawing out the learners' potential and providing input to which learners respond" (Badger & White, 2000.) Taking this in to account, the Process Genre Approach in writing classes can be…

  15. Process Writing in the Intermediate Grades: Magical Panacea or Oversold Cliche?

    Science.gov (United States)

    Balajthy, Ernest

    Noting that the principles of writing process instruction typically offered to elementary teachers are less readily adaptable to intermediate classrooms emphasizing content area learning rather than basic skills, this paper explores two key themes important to the successful implementation of writing process instruction: (1) teachers' needs to…

  16. Real-time capture of student reasoning while writing

    Directory of Open Access Journals (Sweden)

    Scott V. Franklin

    2014-09-01

    Full Text Available We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, “S notation,” is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews into student reasoning during the writing process.

  17. The text plan concept: contributions to the writing planning process

    Directory of Open Access Journals (Sweden)

    Ana Lúcia Tinoco Cabral

    2013-12-01

    Full Text Available Students - at different levels, ranging from early grades up to PhD - face problems both on comprehension and text production. This paper focuses on the text plan concept according to the DTA (Discourse Text Analysis approach, i.e., a principle of organization that allows students to put into practice the production intention as well as to arrange text information while producing; being responsible for the text compositional structure (Adam, 2008. The study analyzes the relation between text plan and the writing planning process, in which the first one provides the second with theoretical support. In order to develop such research, the study covers some issues related to the reading skill, analyzes an argumentative text as per its textual plan, and presents some reflections on the writing process, focusing on the relation between textual plan and the writing planning process.

  18. An Empirical Study on the Application of Process Approach in Non-English Majors' Writing

    Science.gov (United States)

    Zhou, Dongmei

    2015-01-01

    Process approach has been introduced to China for more than two decades. This approach which views writing as a recursive mental cognitive process is one of the most popular methods for teaching writing. It attaches more importance to a series of activities in the process of writing and the interaction among the student writers. Meanwhile, it…

  19. Indonesian EFL Students' Perspective on Writing Process: A Pilot Study

    Science.gov (United States)

    Hermilinda Abas, Imelda; Aziz, Noor Hashima Abd

    2016-01-01

    The study was aimed at understanding the EFL Indonesian students' perspective on the writing process. The pilot study involved two male Indonesian postgraduate students in Universiti Utara Malaysia. The Indonesian students were selected based on the following criteria: (1) had enough knowledge in English writing, indicated by the completion of…

  20. Writing processes, text quality, and task effects; empirical studies in first and second language writing

    NARCIS (Netherlands)

    van Weijen, D.|info:eu-repo/dai/nl/304834068

    2009-01-01

    This study was carried out in order to advance our understanding of the writing process by linking process and product characteristics to each other. The underlying question was: how does the way in which writers use different cognitive activities, such as planning, generating ideas, and

  1. Teaching the Writing Process as a First and Second Language Revisited: Are They the Same?

    Science.gov (United States)

    Lincoln, Felicia; Ben Idris, Anisa

    2015-01-01

    Research on the second writing process is not recent. Both first and second writing processes have been in the area of argument among scholars. It has been confirmed that both first and second writers nearly all practice similar physical activities pre-writing, during, and post writing stages; however, they still differ in the inner extra thinking…

  2. Effects of Test Media on Different EFL Test-Takers in Writing Scores and in the Cognitive Writing Process

    Science.gov (United States)

    Zou, Xiao-Ling; Chen, Yan-Min

    2016-01-01

    The effects of computer and paper test media on EFL test-takers with different computer familiarity in writing scores and in the cognitive writing process have been comprehensively explored from the learners' aspect as well as on the basis of related theories and practice. The results indicate significant differences in test scores among the…

  3. Transfer of Perceptual Expertise: The Case of Simplified and Traditional Chinese Character Recognition

    Science.gov (United States)

    Liu, Tianyin; Chuk, Tin Yim; Yeh, Su-Ling; Hsiao, Janet H.

    2016-01-01

    Expertise in Chinese character recognition is marked by reduced holistic processing (HP), which depends mainly on writing rather than reading experience. Here we show that, while simplified and traditional Chinese readers demonstrated a similar level of HP when processing characters shared between the simplified and traditional scripts, simplified…

  4. Challenges and Prospects of Traditional Food Processing ...

    African Journals Online (AJOL)

    This paper focuses on challenges and prospects of traditional food processing technologies and their products in Nigeria. The major objective of the paper is to identify the challenges confronting traditional food processing technologies as well as the potentials the traditional food processing technologies has in boosting the ...

  5. L2 Writing in Test and Non-test Situations: Process and Product

    Directory of Open Access Journals (Sweden)

    Baraa Khuder

    2015-02-01

    Full Text Available Test writers sometimes complain they cannot perform to their true abilities because of time constraints. We therefore examined differences in terms of process and product between texts produced under test and non-test conditions. Ten L2 postgraduates wrote two argumentative essays, one under test conditions, with only forty minutes being allowed and without recourse to resources, and one under non-test conditions, with unlimited time as well as access to the Internet. Keystroke logging, screen capture software, and stimulated recall protocols were used, participants explaining and commenting on their writing processes. Sixteen writing process types were identified. Higher proportions of the processes of translation and surface revision were recorded in the test situation, while meaningful revision and evaluation were both higher in the non-test situation. There was a statistically significant difference between time allocation for different processes at different stages. Experienced teachers awarded the non-test texts a mean score of almost one point (0.8 higher. A correlational analysis examining the relationship between writing process and product quality showed that while the distribution of writing processes can have an impact on text quality in the test situation, it had no effect on the product in the non-test situation.

  6. Process Writing and the Internet: Blogs and Ning Networks in the Classroom

    Science.gov (United States)

    Boas, Isabela Villas

    2011-01-01

    In contrast to the product approach to writing, which is based on studying and replicating textual models, the process approach involves multiple and repeated steps that compel the writer to closely consider the topic, language, purpose for writing, and social reality of an audience. In addition to discussing the benefits of the process approach…

  7. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  8. Teaching Psychological Report Writing: Content and Process

    Science.gov (United States)

    Wiener, Judith; Costaris, Laurie

    2012-01-01

    The purpose of this article is to discuss the process of teaching graduate students in school psychology to write psychological reports that teachers and parents find readable and that guide intervention. The consensus from studies across four decades of research is that effective psychological reports connect to the client's context; have clear…

  9. Drafting and acting on feedback supports student learning when writing essay assignments.

    Science.gov (United States)

    Freestone, Nicholas

    2009-06-01

    A diverse student population is a relatively recent feature of the higher education system in the United Kingdom. Consequently, it may be thought that more "traditional" types of assessment based around essay writing skills for science undergraduates may be of decreasing value and relevance to contemporary students. This article describes a study in which the process of feedback on, and associated redrafting of, an essay was closely supervised to improve essay writing skills and subsequent exam performance. The results of this study show that students can significantly improve their learning and academic performance, as assessed by final examination mark, by a process that more closely mimics a "real-world" situation of review and redrafting. Additionally, the data show that students benefit from feedback only when this is used appropriately by the student. The article also discusses the continuing importance and relevance of essay writing skills so that writing, and acting upon feedback to do with that writing, remains an integral part of the process of learning.

  10. The Impact of Project Work and the Writing Process Method on Writing Production

    Science.gov (United States)

    Díaz Ramírez, Marcela

    2014-01-01

    This article presents the outcomes of an investigation whose main goal was to implement the methodology of project work and a process approach in order to improve writing production in an English class of Colombian university students since their diagnostic tests showed that their written production had the lowest score. Based on data collected,…

  11. How does the interaction between spelling and motor processes build up during writing acquisition?

    Science.gov (United States)

    Kandel, Sonia; Perret, Cyril

    2015-03-01

    How do we recall a word's spelling? How do we produce the movements to form the letters of a word? Writing involves several processing levels. Surprisingly, researchers have focused either on spelling or motor production. However, these processes interact and cannot be studied separately. Spelling processes cascade into movement production. For example, in French, producing letters PAR in the orthographically irregular word PARFUM (perfume) delays motor production with respect to the same letters in the regular word PARDON (pardon). Orthographic regularity refers to the possibility of spelling a word correctly by applying the most frequent sound-letter conversion rules. The present study examined how the interaction between spelling and motor processing builds up during writing acquisition. French 8-10 year old children participated in the experiment. This is the age handwriting skills start to become automatic. The children wrote regular and irregular words that could be frequent or infrequent. They wrote on a digitizer so we could collect data on latency, movement duration and fluency. The results revealed that the interaction between spelling and motor processing was present already at age 8. It became more adult-like at ages 9 and 10. Before starting to write, processing irregular words took longer than regular words. This processing load spread into movement production. It increased writing duration and rendered the movements more dysfluent. Word frequency affected latencies and cascaded into production. It modulated writing duration but not movement fluency. Writing infrequent words took longer than frequent words. The data suggests that orthographic regularity has a stronger impact on writing than word frequency. They do not cascade in the same extent. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  13. Pedagogy and Process: A Case Study of Writing in a Hybrid Learning Model

    Science.gov (United States)

    Keiner, Jason F.

    2017-01-01

    This qualitative case study explored the perceived experiences and outcomes of writing in a hybrid model of instruction in a large suburban high school. In particular, the impact of a hybrid model on the writing process and on future writing performance were examined. In addition, teacher expectation and teacher attitude and their impact upon…

  14. 3D direct writing fabrication of electrodes for electrochemical storage devices

    Science.gov (United States)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  15. Pre-writing Techniques In The Writing Process For The L2 Classroom

    OpenAIRE

    Gülşah Geyimci

    2014-01-01

    This study investigated pre-writing techniques in the learning process to improve written communication skills of learners using qualitative research methods. This study was performed in a public school, Suphi Öner Primary School in Turkey, in Mersin. Students were seventh grade class that their level was pre-intermediate. This class was made up of twenty students. It took three weeks, the students' samples, drawings and blogs were documented by the students. In order to examine the results, ...

  16. Free Computer-Based Assistive Technology to Support Students with High-Incidence Disabilities in the Writing Process

    Science.gov (United States)

    Bouck, Emily C.; Meyer, Nancy K.; Satsangi, Rajiv; Savage, Melissa N.; Hunley, Megan

    2015-01-01

    Written expression is a neglected but critical component of education; yet, the writing process--from prewriting, to writing, and postwriting--is often an area of struggle for students with disabilities. One strategy to assist students with disabilities struggling with the writing process is the use of computer-based technology. This article…

  17. Modeling the Process of Summary Writing of Chinese Learners of English as a Foreign Language

    Science.gov (United States)

    Li, Jiuliang

    2016-01-01

    In language learning contexts, writing tasks that involve reading of source texts are often used to elicit more authentic integrative language use. Thus, interests in researching these read-to-write tasks in general and as assessment tasks keep growing. This study examined and modeled the process of summary writing as a read-to-write integrated…

  18. Writing lives in sport

    DEFF Research Database (Denmark)

    Christensen, Mette Krogh

    dealing with anonymous individuals, whose anonymity results from the confidentiality requirements of a social scientific research methodology, to those leaning more towards the literary-historical traditions of 'conventional' biographical writing. However, these examples are polar extremes and none...... in the academis world of sport studies. It does not set out to be a methodological treatise but through the writing of lives in sports does raise questions of method. Each essay in this collection deals with problems of writing sports-people's lives. These essays could be said to fall along a spectrum from those......Writing lives in sport is a book of stories about sports-persons. The people concerned include sports stars, sports people who are not quite so famous, and relatively unknown physical education teachers and sports scientists.Writing lives in sport raises questions about writing biographies...

  19. Writing Regulation Processes in Higher Education: A Review of Two Decades of Empirical Research

    Science.gov (United States)

    Sala-Bubaré, Anna; Castelló, Montserrat

    2018-01-01

    In Higher Education (HE), writers need to regulate their writing processes in order to achieve their communicative goals. Although critical for academic success and knowledge construction, writing regulation processes have been mainly researched in compulsory education rather than in HE, with no systematic review focused on this context. The…

  20. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  1. Post-stroke writing and reading disorders

    Directory of Open Access Journals (Sweden)

    Sinanović Osman

    2013-03-01

    Full Text Available The writing and reading disorders in stroke patients (alexias, agraphias and acalculias are more frequent than verified in routine exam, not only in the less developed but also in large neurological departments. Alexia is an acquired type of sensory aphasia where damage to the brain causes a patient to lose the ability to read. It is also called word blindness, text blindness or visual aphasia. Alexia refers to an acquired inability to read caused by brain damage and must be distinguished from dyslexia, a developmental abnormality in which the individual is unable to learn to read, and from illiteracy, which reflects a poor educational back-ground. Most aphasics are also alexic, but alexia may occur in the absence of aphasia and may occasionally be the sole disability resulting from specific brain lesions. There are different classifications of alexias. Traditionally, the alexias are divided into three categories: pure alexia with agraphia, pure alexia without agraphia, and alexia associated with aphasia ("aphasic alexia". Agraphia is defined as the disruption of previously intact writing skills by brain damage. Writing involves several elements - language processing, spelling, visual perception, visual-spatial orientation for graphic symbols, motor planning, and motor control of writing. A disturbance of any of these processes can impair writing. Agraphia may occur by itself or as association with aphasias, alexia, agnosia and apraxia. Agraphia can also result from "peripheral" involvement of the motor act of writing. Like alexia, agraphia must be distinguished from illiteracy, where writing skills were never developed. Acalculia is a clinical syndrome of acquired deficits in mathematical calculation, either mentally or with paper and pencil. This language disturbances can be classified differently, but there are three principal types of acalculia: acalculia associated with language disturbances, including number paraphasia, number agraphia, or

  2. POST-STROKE WRITING AND READING DISORDERS

    Directory of Open Access Journals (Sweden)

    Sinanović Osman

    2013-01-01

    Full Text Available The writing and reading disorders in stroke patients (alexias, agraphias and acalculias are more frequent than verified in routine exam, not only in the less developed but also in large neurological departments. Alexia is an acquired type of sensory aphasia where damage to the brain causes a patient to lose the ability to read. It is also called word blindness, text blindness orvisual aphasia. Alexia refers to an acquired inability to read caused by brain damage and must be distinguished from dyslexia, a developmental abnormality in which the individual is unable to learn to read, and from illiteracy, which reflects a poor educational back-ground. Most aphasics are also alexic, but alexia may occur in the absence of aphasia and may occasionally be the soledisability resulting from specific brain lesions. There are different classifications of alexias. Traditionally, the alexias are divided into three categories: pure alexia with agraphia, pure alexia without agraphia, and alexia associated with aphasia (“aphasic alexia”. Agraphia is defined as the disruption of previously intact writing skills by brain damage. Writing involves several elements—language processing, spelling, visual perception, visual-spatial orientation for graphic symbols, motor planning, and motor control of writing. A disturbance of any of these processes can impair writing. Agraphia may occur by itself or as association with aphasias, alexia, agnosia and apraxia. Agraphia can also result from “peripheral” involvement of the motor act of writing. Like alexia, agraphia must be distinguished from illiteracy, where writing skills were never developed. Acalculia is a clinical syndrome of acquired deficits in mathematical calculation, either mentally or with paper and pencil. This language disturbances can be classified differently, but there are three principal types of acalculia: acalculia associated with language disturbances, including number paraphasia, number

  3. Tracking the Muse: The Writing Processes of Poets.

    Science.gov (United States)

    Armstrong, Cherryl

    Focusing on the nature of revision in lyric poetry, a study investigated poets' writing processes. Primary data sources included poets' commentary, letters, recorded conversations, interviews, and essays. Two case studies were also conducted--an evaluation of two poets at work (one a novice and one an experienced poet) and a textual analysis of…

  4. Harmonizing the Writing Process with Music Training Techniques

    Science.gov (United States)

    Riecken, Nancy

    2009-01-01

    Can music help students become better thinkers and writers? Over the past three years, the author has incorporated some basic music training techniques in her classrooms to help her teach the writing process to students who would otherwise click her off. The students have developed clearer thinking and organizational skills, and have increased…

  5. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  6. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  7. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  8. The Oral Language Process in Writing: A Real-Life Writing Session.

    Science.gov (United States)

    Shuy, Roger W.; Robinson, David G.

    1990-01-01

    Analyzes a real-life writing session involving a male executive in the construction business, his female secretary, and a male representing himself as a state official, working collaboratively to write a letter to a state official urging action on a long overdue claim. Discusses the quality of the drafts and the participants' roles. (KEH)

  9. Exploring the Writing Process of Indonesian EFL Students: The Effectiveness of Think-Aloud Protocol

    Science.gov (United States)

    Abas, Imelda Hermilinda; Aziz, Noor Hashima Abd

    2016-01-01

    The objectives of this study were to explore the writing process of the Indonesian English as a Foreign Language (EFL) students and to find out the effectiveness of using think-aloud protocol to understand the writing process. The data were obtained from six proficient EFL students who were doing Postgraduate English Language Studies Program in…

  10. Writing in dyslexia: product and process.

    Science.gov (United States)

    Morken, Frøydis; Helland, Turid

    2013-08-01

    Research on dyslexia has largely centred on reading. The aim of this study was to assess the writing of 13 children with and 28 without dyslexia at age 11 years. A programme for keystroke logging was used to allow recording of typing activity as the children performed a sentence dictation task. Five sentences were read aloud twice each. The task was to type the sentence as correctly as possible, with no time constraints. The data were analysed from a product (spelling, grammar and semantics) and process (transcription fluency and revisions) perspective, using repeated measures ANOVA and t-tests to investigate group differences. Furthermore, the data were correlated with measures of rapid automatic naming and working memory. Results showed that the group with dyslexia revised their texts as much as the typical group, but they used more time, and the result was poorer. Moreover, rapid automatic naming correlated with transcription fluency, and working memory correlated with the number of semantic errors. This shows that dyslexia is generally not an issue of effort and that cognitive skills that are known to be important for reading also affect writing. Copyright © 2013 John Wiley & Sons, Ltd.

  11. The Process of Writing Research Articles in English and Getting Published: A Case Study

    Directory of Open Access Journals (Sweden)

    Süleyman Başaran

    2009-12-01

    Full Text Available Getting published in indexed English journals is a rather long and challenging process for non-native academics that have to write in English. In this study, we interview four Turkish academics (two physicists and two civil engineers, who use English as a foreign language, and analyze the processes they undergo while writing research articles (RA and striving to get them published. We also interview other four academics (a historian, a linguist, a sociologist and an educational scientist, who have no published works in indexed journals, to gain more insight about the difficulties entailed in getting published in English journals. In Turkey, it is a prerequisite to get published in indexed journals, most of which are English, in order to get a tenure position at Turkish universities. However, this process is much more difficult and demanding for those who have no US or UK background and who have had no formal education in writing of any kind. The interviews we conducted and the observation process hint that science and engineering articles have preset rules and conventions and that writing such articles is a mechanical process

  12. Improving Process Writing with the Use Authentic Assessment

    Science.gov (United States)

    bin Abdul Aziz, Muhammad Noor; Yusoff, Nurahimah Mohd

    2016-01-01

    The paper discusses on how process writing is improved with the use of authentic assessment in an English Language classroom. Eleven primary school children from Year 4 in a rural school in Sabah are the participants of the study. Data were collected by observing them during the English Language lessons and at the end of the series of…

  13. My Place or Yours: Theorizing Eclectic Writing Centers.

    Science.gov (United States)

    Rossini, Carol

    None of the theories that inform writing center work--Freudian theory, cognitivism, feminism, postmodernism, current-traditionalism, expressivism, social constructionism, and family systems theory--offer an adequate basis for writing center work. Even when Stephen North proposed "The Idea of a Writing Center" in 1984 (still considered to…

  14. Improving patient outcomes through registered dietitian order writing.

    Science.gov (United States)

    Roberts, Susan R

    2013-10-01

    Traditionally, registered dietitians (RD) have not had order writing privileges in most patient-care facilities and rely on physicians to implement their recommendations. Research has demonstrated that this model results in a high percentage of RD recommendations not being ordered. Timely nutrition interventions are important due to the prevalence of malnutrition in the hospital setting and when RD recommendations are implemented, important outcomes are improved. In addition, several studies have demonstrated that when RDs have order writing privileges, which allows more assurance that an intervention will occur and timely interventions, improved outcomes, such as improved nutrition status, better management of electrolytes and glycemic control, reaching goal calories sooner, reduction in inappropriate parenteral nutrition use, cost savings, and less error with electronic order entry. The process for implementation and outcomes of an RD order writing program at 1 large, urban, tertiary medical center is described. The program has been successful, but the implementation process required multiple years and ongoing monitoring through data collection to ensure success. RDs interested in order writing privileges must consider federal and state regulations, their individual scope of practice (relevant training and competency assessment), and how to obtain approval from the appropriate hospital governing committees. RDs who obtain order writing privileges must understand "with privilege comes responsibility" and should plan to conduct outcomes research to promote the value and acceptance of RD order writing by regulatory agencies at all levels and hospital leaders, for instance physicians and administrators.

  15. Development of a Computer Writing System Based on EOG.

    Science.gov (United States)

    López, Alberto; Ferrero, Francisco; Yangüela, David; Álvarez, Constantina; Postolache, Octavian

    2017-06-26

    The development of a novel computer writing system based on eye movements is introduced herein. A system of these characteristics requires the consideration of three subsystems: (1) A hardware device for the acquisition and transmission of the signals generated by eye movement to the computer; (2) A software application that allows, among other functions, data processing in order to minimize noise and classify signals; and (3) A graphical interface that allows the user to write text easily on the computer screen using eye movements only. This work analyzes these three subsystems and proposes innovative and low cost solutions for each one of them. This computer writing system was tested with 20 users and its efficiency was compared to a traditional virtual keyboard. The results have shown an important reduction in the time spent on writing, which can be very useful, especially for people with severe motor disorders.

  16. Development of a Computer Writing System Based on EOG

    Directory of Open Access Journals (Sweden)

    Alberto López

    2017-06-01

    Full Text Available The development of a novel computer writing system based on eye movements is introduced herein. A system of these characteristics requires the consideration of three subsystems: (1 A hardware device for the acquisition and transmission of the signals generated by eye movement to the computer; (2 A software application that allows, among other functions, data processing in order to minimize noise and classify signals; and (3 A graphical interface that allows the user to write text easily on the computer screen using eye movements only. This work analyzes these three subsystems and proposes innovative and low cost solutions for each one of them. This computer writing system was tested with 20 users and its efficiency was compared to a traditional virtual keyboard. The results have shown an important reduction in the time spent on writing, which can be very useful, especially for people with severe motor disorders.

  17. Peer editing: a strategic source in EFL students’ writing process

    Directory of Open Access Journals (Sweden)

    Nubia Mercedes Díaz Galvis

    2011-06-01

    Full Text Available This article reports on a research project focused on peer editing as a pedagogical tool to promote collaborative assessment in the EFL writing process. With teachers overstretched in the Bogotá public school system, a method needed to be found that would allow students to receive much needed feedback without overtaxing the teachers` resources. Peer editing, a phenomenon that often occurs naturally within the classroom, was therefore systematically implemented as a solution to the stated problem. The main aims of this study, conducted with a group of ninth grade student at a public school in Bogotá, were to determine the role of peer editing in the writing process and to characterize the relationships built when students corrected each others writings. The instruments used for collecting data were field notes, video recordings and students’ artifacts. The results showed that when students were engaged in peer editing sessions they created zones of proximal development in which high achiever students provided linguistic scaffolding and empowered low achievers. It was also found that students used thinking strategies such as noticing and explaining when they identified errors related to the formal aspects of the language.

  18. Teachers' implementation of writing as a process in English ...

    African Journals Online (AJOL)

    This descriptive study investigated teachers' implementation of writing as a process in English classrooms of Ghimbi Preparatory School. To this effect, two basic research questions were raised. To deal with these research questions, observation, questionnaire and interview were used. One hundred and twenty students ...

  19. How to write effective business letters: scribing information for pharmacists.

    Science.gov (United States)

    Hamilton, C W

    1993-11-01

    Pharmacists frequently write letters but lack specific training on how to do it well. This review summarizes strategies for improving business correspondence, emphasizes basic writing guidelines, and offers practical advice for pharmacists. The first steps for effective communication are careful planning and identifying the main message to be conveyed. The purpose for writing should be stated in the opening paragraph of the letter. To ensure a successful outcome, actions needed should be clearly summarized and visually highlighted. The tone of the letter should reflect a reasonable speech pattern, not the cryptic writing found in many scientific papers. The layout of the letter should be inviting, which is readily achievable through judicious use of word processing. Many delivery options are available, such as traditional postal services, express mail, and facsimile transmission. Readers are encouraged to test these basic writing principles and decide for themselves whether these recommendations affect the success of business correspondence.

  20. Lagging behind Writing Pedagogical Developments: The Impact of Implementing Process-Based Approach on Learners' Writing in a Vietnamese Secondary Education Context

    Science.gov (United States)

    Ngo, Chau M.; Trinh, Lap Q.

    2011-01-01

    The field of English language education has seen developments in writing pedagogy, moving from product-based to process-based and then to genre-based approaches. In Vietnam, teaching secondary school students how to write in English is still lagging behind these growing developments. Product-based approach is commonly seen in English writing…

  1. A State-of-the-Art Review of the Real-Time Computer-Aided Study of the Writing Process

    Science.gov (United States)

    Abdel Latif, Muhammad M.

    2008-01-01

    Writing researchers have developed various methods for investigating the writing process since the 1970s. The early 1980s saw the occurrence of the real-time computer-aided study of the writing process that relies on the protocols generated by recording the computer screen activities as writers compose using the word processor. This article…

  2. Differences in process and process-product relations in L2 writing

    NARCIS (Netherlands)

    van Weijen, D.; van den Bergh, H.; Rijlaarsdam, G.; Sanders, T.

    2008-01-01

    This study examines whether writers vary how they write under influence of the changing task situation when writing in a second language (L2) and, if so, whether differences in the way they write are related to variations in text quality. Twenty first year students wrote four texts each in their L2

  3. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  4. COGNITIVE PROCESS IN ARGUMENTATIVE WRITING: A CASE STUDY OF THREE INDONESIAN COLUMNISTS

    Directory of Open Access Journals (Sweden)

    Fahrus Zaman Fadhly

    2017-06-01

    Full Text Available This research is aimed at reconstructing cognitive processes experienced by Indonesian advancedwriters in five stages of writing: prewriting, drafting, revising, editing, and publishing. Three Indonesian advanced writers were involved to be sources to reveal the noumena or under-meaning structures that make their works come into existence. This research reveals that various ways experienced by every single writer in exploring inspiration, starting to write, developing ideas and arguments, revising draft, and determining them as final drafts.Learning from advanced writers isfruitful effortsto evolve our writing competence. Experiences, cognitions, interests, values, stylistics, metaphors, and mechanics are influential aspects in enriching their works.

  5. Exploring the process of writing about and sharing traumatic birth experiences online.

    Science.gov (United States)

    Blainey, Sarah H; Slade, Pauline

    2015-05-01

    This study aimed to explore the experience of writing about a traumatic birth experience and sharing it online. Twelve women who had submitted their stories about traumatic birth experiences to the Birth Trauma Association for online publication were interviewed about their experiences. Women were interviewed shortly after writing but before posting and again 1 month after the story was posted online. All participants completed both interviews. These were transcribed and analysed using template analysis. Women described varied reasons for writing and sharing their stories, including wanting to help themselves and others. The process of writing was described as emotional, however was generally seen as a positive thing. Aspects of writing that were identified as helpful included organizing their experiences into a narrative, and distancing themselves from the experience. Writing and posting online about a traumatic birth is experienced positively by women. It may be a useful self-help intervention and is worthy of systematic evaluation. The mechanisms through which writing is reported to have impacted as described in the interviews link to the mechanisms of change in cognitive-behavioural approaches to post-traumatic symptoms. Statement of contribution What is already known on this subject? Some women develop post-traumatic stress disorder-like symptoms following birth. These can impact on both themselves and their family, yet these women may not seek professional help. Writing about a traumatic event may be a useful approach for reducing post-traumatic stress symptoms, but the impact of online sharing is unknown. What does this study add? This study demonstrates that women report benefits from writing about their birth experiences. Writing enabled organizing the experience into a narrative and distancing from the trauma, which was helpful. Sharing the story online was an emotional experience for participants, however was generally seen positively. © 2014 The

  6. Technical Writing Redesign and Assessment: A Pilot Study

    Science.gov (United States)

    Winter, Gaye Bush

    2010-01-01

    The purpose of this study was to compare scores on writing assignments from traditional, fully online courses in technical writing to pilot, hybrid courses at a southern university. A total of 232 students' assignments were compared in this study. All writing assignments were scored by six trained instructors of English using the same five point…

  7. Can Weblogs and Microblogs Change Traditional Scientific Writing?

    Directory of Open Access Journals (Sweden)

    Hermann Maurer

    2009-11-01

    Full Text Available This paper describes a follow-up Web 2.0 approach to a technology enhanced master course for students of Graz University of Technology. The lecture “Social Aspects of Information Technology” has a long tradition for using new didactical scenarios as well as modern e-Learning technologies. After using a blogosphere one year ago, this year microblog channels helped to expand the traditional lecture. Students choose (on a voluntary basis whether they want to participate in a blogging/microblogging group instead of using conventional methods called Scientific Writer/Scientific Reviewer. This study addresses the question whether this method can change the learning outcome into a more reflective one. Furthermore, peer-reviewing groups judge the quality of essays and blog contributions. In this paper we examine if microblogging can be an appropriate technology for assisting the process. This publication comes to the conclusion that an amazing potential and a new way to work with information is opened when using microblogging. Students seem to be more engaged, reflective and critical in as much as they presented much more personal statements and opinions than years before.

  8. A Rubric to Enrich Student Writing and Understanding

    Directory of Open Access Journals (Sweden)

    Teresa L Larkin

    2015-05-01

    Full Text Available The importance of effective communication, both written and oral, has been widely documented within the STEM community. In fact, the ability to communicate effectively is a skillset that is often required by employers. Oftentimes it is challenging to make the transition from academia to the work place. The ability to communicate well is a critical element of this transition. This paper will describe a more authentic experience using a professional conference format that provides students an opportunity to sharpen both their written and oral communication skills. The professional conference paper activity has been utilized in a second-level physics course at American University for 15 years. The conference paper activity allows students to experience all aspects of a professional conference, which is something that they do not get in other courses. This paper will describe the conference paper activity and focus on the use of a rubric that has recently been implemented in order to assist students during multiple phases of the writing process. Through the conference paper, students must communicate about a technical topic in physics while simultaneously connecting that topic to their major field of study. Numerous steps are involved in the paper writing process and each one is designed to emulate an actual conference. The conference paper activity and the associated rubric discussed in this paper offer a unique opportunity for multiple points of feedback, both from the instructor and from their classmates, while the writing process is taking place. Too often in academia a writing activity is designed in such a way that students merely submit their final written papers for a grade. Once a final paper is submitted, there is no opportunity for feedback that will aid in the actual development and writing of the paper. A more traditional paper writing experience does not provide opportunities for formative feedback prior to submission of the final

  9. What Basic Writers Think about Writing.

    Science.gov (United States)

    Eves-Bowden, Anmarie

    2001-01-01

    Explores basic writing students' current writing processes, their thoughts on their writing, and their introduction to a structured writing process model. Suggests that educators can assist basic writers in becoming successful college writers by introducing them to a structured writing process model while also helping them to become reflective…

  10. Writing Editorials.

    Science.gov (United States)

    Pappas, Marjorie L.

    2003-01-01

    Presents a thematic unit for middle schools on editorial writing, or persuasive writing, based on the Pathways Model for information skills lessons. Includes assessing other editorials; student research process journals; information literacy and process skills; and two lesson plans that involve library media specialists as well as teachers. (LRW)

  11. Written mathematical traditions in Ancient Mesopotamia

    DEFF Research Database (Denmark)

    Høyrup, Jens

    2015-01-01

    Writing, as well as various mathematical techniques, were created in proto-literate Uruk in order to serve accounting, and Mesopotamian mathematics as we know it was always expressed in writing. In so far, mathematics generically regarded was always part of the generic written tradition....

  12. Natural language processing in an intelligent writing strategy tutoring system.

    Science.gov (United States)

    McNamara, Danielle S; Crossley, Scott A; Roscoe, Rod

    2013-06-01

    The Writing Pal is an intelligent tutoring system that provides writing strategy training. A large part of its artificial intelligence resides in the natural language processing algorithms to assess essay quality and guide feedback to students. Because writing is often highly nuanced and subjective, the development of these algorithms must consider a broad array of linguistic, rhetorical, and contextual features. This study assesses the potential for computational indices to predict human ratings of essay quality. Past studies have demonstrated that linguistic indices related to lexical diversity, word frequency, and syntactic complexity are significant predictors of human judgments of essay quality but that indices of cohesion are not. The present study extends prior work by including a larger data sample and an expanded set of indices to assess new lexical, syntactic, cohesion, rhetorical, and reading ease indices. Three models were assessed. The model reported by McNamara, Crossley, and McCarthy (Written Communication 27:57-86, 2010) including three indices of lexical diversity, word frequency, and syntactic complexity accounted for only 6% of the variance in the larger data set. A regression model including the full set of indices examined in prior studies of writing predicted 38% of the variance in human scores of essay quality with 91% adjacent accuracy (i.e., within 1 point). A regression model that also included new indices related to rhetoric and cohesion predicted 44% of the variance with 94% adjacent accuracy. The new indices increased accuracy but, more importantly, afford the means to provide more meaningful feedback in the context of a writing tutoring system.

  13. Dual Rubrics and the Process of Writing: Assessment and Best Practices in a Developmental English Course

    Science.gov (United States)

    Pireh, Diane Flanegan

    2014-01-01

    This article presents strategies for using two types of essay-writing rubrics in a developmental English class of students transitioning into college-level writing. One checklist rubric is student-facing, designed to serve as a guide for students throughout the writing process and as a self-assessment tool. The other checklist rubric is…

  14. Writing Process Products in Intermediate-Grade Children with and without Language-Based Learning Disabilities

    Science.gov (United States)

    Koutsoftas, Anthony D.

    2016-01-01

    Purpose: Difficulties with written expression are an important consideration in the assessment and treatment of school-age children. This study evaluated how intermediate-grade children with and without written language difficulties fared on a writing task housed within the Hayes and Berninger (2014) writing process framework. Method: Sixty-four…

  15. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  16. Static Analysis of Processes for No Read-Up and No Write-Down

    DEFF Research Database (Denmark)

    Bodei, C.; Degano, P.; Nielson, Flemming

    1999-01-01

    We study a variant of the no read-up/no write-down security property of Bell and LaPadula for processes in the π-calculus. Once processes are given levels of security clearance, we statically check that a process at a high level never sends names to processes at a lower level. The static check...

  17. Trends in Research on Writing as a Learning Activity

    Directory of Open Access Journals (Sweden)

    Perry D. Klein

    2016-02-01

    Full Text Available This article discusses five trends in research on writing as a learning activity. Firstly, earlier decades were marked by conflicting views about the effects of writing on learning; in the past decade, the use of meta-analysis has shown that the effects of writing on learning are reliable, and that several variables mediate and moderate these effects. Secondly, in earlier decades, it was thought that text as a medium inherently elicited thinking and learning. Research during the past decade has indicated that writing to learn is a self-regulated activity, dependent on the goals and strategies of the writer. Thirdly, the Writing Across the Curriculum (WAC movement emphasized domain-general approaches to WTL. Much recent research is consistent with the Writing in the Disciplines (WID movement, incorporating genres that embody forms of reasoning specific to a given discipline. Fourthly, WTL as a classroom practice was always partially social, but the theoretical conceptualization of it was largely individual. During the past two decades, WTL has broadened to include theories and research that integrate social and psychological processes. Fifthly, WTL research has traditionally focused on epistemic learning in schools; more recently, it has been extended to include reflective learning in the professions and additional kinds of outcomes.

  18. A Comparative Case Study of Implementation of Writing as a Process.

    Science.gov (United States)

    Mol, Anne Marie

    Implementation of a new program is a complex process of putting ideas into action. Program implementation can be characterized through the identification of interrelated factors which determine the success or failure of implementation of an innovation. Writing as a process has been perceived as a successful teaching methodology for many years, but…

  19. Expressive Writing as a Therapeutic Process for Drug Dependent Women

    Science.gov (United States)

    Meshberg-Cohen, Sarah; Svikis, Dace; McMahon, Thomas J

    2013-01-01

    Background Although women with Substance Use Disorders (SUD) have high rates of trauma and post-traumatic stress, many addiction programs do not offer trauma-specific treatments. One promising intervention is Pennebaker’s expressive writing, which involves daily, 20-minute writing sessions to facilitate disclosure of stressful experiences. Methods Women (N = 149) in residential treatment completed a randomized clinical trial comparing expressive writing to control writing. Repeated measures analysis of variance was used to document change in psychological and physical distress from baseline to 2-week and 1-month follow-ups. Analyses also examined immediate levels of negative affect following expressive writing. Results Expressive writing participants showed greater reductions in post-traumatic symptom severity, depression, and anxiety scores, when compared to control writing participants at the 2-week follow-up. No group differences were found at the 1-month follow-up. Safety data were encouraging; while expressive writing participants showed increased negative affect immediately after each writing session, there were no differences in pre-writing negative affect scores between conditions the following day. By the final writing session, participants were able to write about traumatic/stressful events without having a spike in negative affect. Conclusions Results suggest expressive writing may be a brief, safe, low cost, adjunct to SUD treatment that warrants further study as a strategy for addressing post-traumatic distress in substance-abusing women. PMID:24588298

  20. Expressive writing as a therapeutic process for drug-dependent women.

    Science.gov (United States)

    Meshberg-Cohen, Sarah; Svikis, Dace; McMahon, Thomas J

    2014-01-01

    Although women with substance use disorders (SUDs) have high rates of trauma and posttraumatic stress, many addiction programs do not offer trauma-specific treatments. One promising intervention is Pennebaker's expressive writing, which involves daily, 20-minute writing sessions to facilitate disclosure of stressful experiences. Women (N = 149) in residential treatment completed a randomized clinical trial comparing expressive writing with control writing. Repeated-measures analysis of variance was used to document change in psychological and physical distress from baseline to 2-week and 1-month follow-ups. Analyses also examined immediate levels of negative affect following expressive writing. Expressive writing participants showed greater reductions in posttraumatic symptom severity, depression, and anxiety scores, when compared with control writing participants at the 2-week follow-up. No group differences were found at the 1-month follow-up. Safety data were encouraging: although expressive writing participants showed increased negative affect immediately after each writing session, there were no differences in pre-writing negative affect scores between conditions the following day. By the final writing session, participants were able to write about traumatic/stressful events without having a spike in negative affect. Results suggest that expressive writing may be a brief, safe, low-cost, adjunct to SUD treatment that warrants further study as a strategy for addressing posttraumatic distress in substance-abusing women.

  1. Writing for Professional Publication: Three Road Signs for Writing Success

    Science.gov (United States)

    Buttery, Thomas J.

    2010-01-01

    In the first edition of Writing for Publication: An Organizational Paradigm (Buttery, 2010), I recommend a model for organizing theoretical articles. The process includes seven components: title, introduction, outline/advanced organizer, headings, transitions, summary and references. This article will focus on the writing process. The strands of…

  2. Technical Writing in Hydrogeology.

    Science.gov (United States)

    Tinker, John R., Jr.

    1986-01-01

    A project for Writing Across the Curriculum at the University of Wisconsin-Eau Claire is described as a method to relate the process of writing to the process of learning hydrology. The project focuses on an actual groundwater contamination case and is designed to improve the technical writing skills of students. (JN)

  3. Effect of Weblog-Based Process Approach on EFL Learners' Writing Performance and Autonomy

    Science.gov (United States)

    Azari, Mohammad Hosein

    2017-01-01

    This article investigated the effect of weblog use in a process-based writing course on the writing performance of students as well as on their level of learner autonomy. The participants were 43 English language learners who were doing their BA in the field of English Language Teaching. The control group (n = 19) went through in-class writing…

  4. WORD PROCESSING AND SECOND LANGUAGE WRITING: A LONGITUDINAL CASE STUDY

    Directory of Open Access Journals (Sweden)

    Alister Cumming

    2001-12-01

    Full Text Available The purpose of this study was to determine whether word processing might change a second language (L2 leamer's writing processes and improve the quality of his essays over a relatively long period of time. We worked from the assumption that research comparing word-processing to pen and paper composing tends to show positive results when studies include lengthy terms of data collection and when appropriate instruction and training are provided. We compared the processes and products of L2 composing displayed by a 29-year-old, male Mandarin leamer of English with intermediate proficiency in English while he wrote, over 8 months, 14 compositions grouped into 7 comparable pairs of topics altemating between uses of a lap-top computer and of pen and paper. Al1 keystrokes were recorded electronically in the computer environrnent; visual records of al1 text changes were made for the pen-and paper writing. Think-aloud protocols were recorded in al1 sessions. Analyses indicate advantages for the word-processing medium over the pen-and-paper medium in terms ofi a greater frequency of revisions made at the discourse level and at the syntactical level; higher scores for content on analytic ratings of the completed compositions; and more extensive evaluation ofwritten texts in think-aloud verbal reports.

  5. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  6. Peer-Review Writing Workshops in College Courses: Students’ Perspectives about Online and Classroom Based Workshops

    Directory of Open Access Journals (Sweden)

    Erin B. Jensen

    2016-11-01

    Full Text Available Peer-review workshops are commonly used in writing courses as a way for students to give their peers feedback as well as help their own writing. Most of the research on peer-review workshops focuses on workshops held in traditional in-person courses, with less research on peer-review workshops held online. Students in a freshman writing course experienced both a classroom based writing workshop and an online workshop and then took a survey about their experiences. The majority of the students preferred the online writing workshop because of the convenience of the workshop and being able to post anonymous reviews. Students whom preferred the traditional in-person writing workshop liked being able to talk with their peers about their papers. This research article focuses on the students’ responses and experiences with traditional and online peer-reviews.

  7. Categorical Perception of Chinese Characters by Simplified and Traditional Chinese Readers

    Science.gov (United States)

    Yang, Ruoxiao; Wang, William Shi Yuan

    2018-01-01

    Recent research has shown that the visual complexity of orthographies across writing systems influences the development of orthographic representations. Simplified and traditional Chinese characters are usually regarded as the most visually complicated writing systems currently in use, with the traditional system showing a higher level of…

  8. THE USE OF TEACHING MEDIA TO ENHANCE STUDENTS’ SKILL IN WRITING FUNCTIONAL TEXTS

    Directory of Open Access Journals (Sweden)

    Yusuf Hidayat

    2017-04-01

    Full Text Available Teaching writing functional texts usually tends to be conventionally applied. Most teachers tend to emphasize their teaching process traditionally without paying attention to the teaching media which can be used to help students’ success achieving the goal of learning. This paper is aimed at investigating the role of teaching media in enhancing students’ skill in writing functional texts. In this regard, classroom action research (CAR was employed as the method in this study. This study is intended to answer the following research questions: (1 Is teaching media effective for enhancing students’ skill in writing functional texts? (2 To what extent does teaching media enhance students’ skill in writing functional texts? Through the process of teaching and learning activities, in cycle 1, the writers taught writing functional texts through conventional teaching, they then gave a test on functional text to the students. Additionally, to confirm the writers’ belief to the students’ real writing proficiency, they then gave them TOEFL written test model. Next, in cycle 2, the writers taught the students by using teaching media. Finally, the writers gave them a test of writing functional text. After undertaking several tests in cycle 1, students got average score 56.60, and in cycle 2, they got 65.08. Thus, there was an improvement of the average score. In addition, the students’ enthusiasm also improved.

  9. Building a scholar in writing (BSW): A model for developing students' critical writing skills.

    Science.gov (United States)

    Bailey, Annette; Zanchetta, Margareth; Velasco, Divine; Pon, Gordon; Hassan, Aafreen

    2015-11-01

    Several authors have highlighted the importance of writing in developing reflective thinking skills, transforming knowledge, communicating expressions, and filling knowledge gaps. However, difficulties with higher order processing and critical analysis affect students' ability to write critical and thoughtful essays. The Building a Scholar in Writing (BSW) model is a 6-step process of increasing intricacies in critical writing development. Development of critical writing is proposed to occur in a processed manner that transitions from presenting simple ideas (just bones) in writing, to connecting ideas (connecting bones), to formulating a thesis and connecting key components (constructing a skeleton), to supporting ideas with evidence (adding muscle), to building creativity and originality (adding essential organs), and finally, developing strong, integrated, critical arguments (adding brain). This process symbolically represents the building of a scholar. The idea of building a scholar equates to progressively giving life and meaning to a piece of writing with unique scholarly characteristics. This progression involves a transformation in awareness, thinking, and understanding, as well as advancement in students' level of critical appraisal skills. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. Improving Writing through Stages

    Science.gov (United States)

    Rivera Barreto, Adriana Maritza

    2011-01-01

    Writing as a means of communication is one of the basic skills students must master at the university level. Although it is not an easy task because students are usually reluctant to correct, teachers have great responsibility at the time of guiding a writing process. For that reason, this study aimed at improving the writing process in fourth…

  11. Using tracking software for writing instruction

    Directory of Open Access Journals (Sweden)

    Sane M. Yagi

    2011-08-01

    Full Text Available Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product; it is there that they could find sources of writing problems. Despite all controversy evoked by post-process approaches with respect to process writing, information technology has lately offered tools that can shed new light on how writing takes place. Software that can record keyboard, mouse, and screen activities is capable of unraveling mysteries of the writing process. Technology has given teachers and learners the option of examining the writing process as it unfolds, enabling them to diagnose strategy as well as wording problems, thus empowering teachers to guide learners individually in how to think about each of their trouble spots in the context of a specific product of writing. With these advances in information technology, metacognitive awareness and strategy training begin to acquire new dimensions of meaning. Technology lays open aspects of the writing process, offering unprecedented insight into creative text production as well. This paper attempts to explain how tracking software can influence writing instruction. It briefly examines the process and post-process approaches to assess their viability, explains the concept of tracking software, proposes methodology needed for the adoption of this technology, and then discusses the pedagogical implications of these issues.

  12. An empirical study on the application of memetics to the teaching of college English writing

    Directory of Open Access Journals (Sweden)

    Huang Zeyun

    2016-01-01

    Full Text Available Memes, the replicator of culture and information, and basic unit of culture, are copied, spread, transmitted and finally survives through imitation among vectors. The replication and transmission of memes have some resemblance to the process of second language acquisition. This paper examines the influence of language memes on the development of Chinese college students’ English writing proficiency through an empirical study. The study reveals that students instructed by the framework of teaching writing with memetics obtain an obvious improvement in their overall writing proficiency, compared with those who are instructed by the traditional method, that students’ awareness of using memes is greatly enhanced, and that language memes are significantly correlated to the students’ performance in the post-test writing. Singular language memes can be easily transmitted; memetic genotype prevails in EFL learners’ essay writing.

  13. Direct-write/cure conductive polymer nanocomposites for 3D structural electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Yanfeng; Vatani, Morteza; Choi, Jae Won [The University of Akron, Akron, Ohio (United States)

    2013-10-15

    The use of direct-write (DW) in the fabrication of conductive structures offers dramatic benefits over traditional technologies in terms of low-cost, print-on-demand conformal manufacturing. This DW process can be combined with direct-cure (DC) process as one-step manufacturing of conducting elements, whereas conventional methods need a manufacturing process of conducting elements followed by a relatively long time post-curing/baking process. A hybrid technology combined with direct-write/cure (DWC) and projection microstereolithography (PμSL) is presented in this work. Carbon nanotubes (CNTs) were dispersed in a photopolymer solution to introduce conductivity. The developed PμSL was used to create 3D structures, and DWC of conductive photopolymers with CNTs was utilized to produce conductive paths. To show the capabilities of the developed system and materials, a 3D structure with embedded conductive paths was designed and fabricated. Based on the experiments, it is thought that the suggested manufacturing process and materials are promising to produce 3D structural electronics.

  14. Direct-write/cure conductive polymer nanocomposites for 3D structural electronics

    International Nuclear Information System (INIS)

    Lu, Yanfeng; Vatani, Morteza; Choi, Jae Won

    2013-01-01

    The use of direct-write (DW) in the fabrication of conductive structures offers dramatic benefits over traditional technologies in terms of low-cost, print-on-demand conformal manufacturing. This DW process can be combined with direct-cure (DC) process as one-step manufacturing of conducting elements, whereas conventional methods need a manufacturing process of conducting elements followed by a relatively long time post-curing/baking process. A hybrid technology combined with direct-write/cure (DWC) and projection microstereolithography (PμSL) is presented in this work. Carbon nanotubes (CNTs) were dispersed in a photopolymer solution to introduce conductivity. The developed PμSL was used to create 3D structures, and DWC of conductive photopolymers with CNTs was utilized to produce conductive paths. To show the capabilities of the developed system and materials, a 3D structure with embedded conductive paths was designed and fabricated. Based on the experiments, it is thought that the suggested manufacturing process and materials are promising to produce 3D structural electronics.

  15. The writing approaches of secondary students.

    Science.gov (United States)

    Lavelle, Ellen; Smith, Jennifer; O'Ryan, Leslie

    2002-09-01

    Research with college students has supported a model of writing approaches that defines the relationship between a writer and writing task along a deep and surface process continuum (Biggs, 1988). Based on that model, Lavelle (1993) developed the Inventory of Processes in College Composition which reflects students' motives and strategies as related to writing outcomes. It is also important to define the approaches of secondary students to better understand writing processes at that level, and development in written composition. This study was designed to define the writing approaches of secondary students by factor analysing students' responses to items regarding writing beliefs and writing strategies, and to compare the secondary approaches to those of college students. A related goal was to explore the relationships of the secondary writing approaches to perceived self-regulatory efficacy for writing (Zimmerman & Bandura, 1994), writing preferences, and writing outcomes. The initial, factor analytic phase involved 398 junior level high school students (11th grade) enrolled in a mandatory language arts class at each of three large Midwestern high schools (USA). Then, 49 junior level students enrolled in two language arts classes participated as subjects in the second phase. Classroom teachers administered the Inventory of Processes in College Composition (Lavelle, 1993), which contained 72 true-or-false items regarding writing beliefs and strategies, during regular class periods. Data were factor analysed and the structure compared to that of college students. In the second phase, the new inventory, Inventory of Processes in Secondary Composition, was administered in conjunction with the Perceived Self-Regulatory Efficacy for Writing Inventory (Zimmerman & Bandura, 1994), and a writing preferences survey. A writing sample and grade in Language Arts classes were obtained and served as outcome variables. The factor structure of secondary writing reflected three

  16. Content analysis of process based writing in web-supported environment at bits Pilani and its possible implications

    Directory of Open Access Journals (Sweden)

    Luhach, Suman

    2016-01-01

    Full Text Available A digital environment for instructional purposes has been adopted in the form of a Learning Management System (LMS by educational institutions. The present study facilitates incorporation of online writing tutorials over LMS ‘Nalanda’ BITS Pilani with the objective of understanding the nature of the writing process while students accomplish their writing tasks on online forums. A paragogical framework has also been devised by keeping scaffolding as the theoretical basis of learning. The methodological strategy adopted to realize the objective and analyze the impact of the educational intervention was content analysis. Results of the content analysis, under the parameters corresponding to different stages of the writing process, suggest that students’ emphasis had been more on content development and critical thinking

  17. Subjectivity Matters: Using Gerda Lerner's Writing and Rhetoric to Claim an Alternative Epistemology for the Feminist Writing Classroom

    Science.gov (United States)

    Ryan, Kathleen J.

    2006-01-01

    In this article, the author argues the common assumption among teachers that the traditional academic essay is the most appropriate sustained writing activity for students. As a feminist, the author believes that the traditional academic essay considers a positivist, patriarchal epistemology that governs beliefs about knowledge and teaching…

  18. The Predictive Validity of CBM Writing Indices for Eighth-Grade Students

    Science.gov (United States)

    Amato, Janelle M.; Watkins, Marley W.

    2011-01-01

    Curriculum-based measurement (CBM) is an alternative to traditional assessment techniques. Technical work has begun to identify CBM writing indices that are psychometrically sound for monitoring older students' writing proficiency. This study examined the predictive validity of CBM writing indices in a sample of 447 eighth-grade students.…

  19. Designing a Website to Support Students' Academic Writing Process

    Science.gov (United States)

    Åberg, Eva Svärdemo; Ståhle, Ylva; Engdahl, Ingrid; Knutes-Nyqvist, Helen

    2016-01-01

    Academic writing skills are crucial when students, e.g., in teacher education programs, write their undergraduate theses. A multi-modal web-based and self-regulated learning resource on academic writing was developed, using texts, hypertext, moving images, podcasts and templates. A study, using surveys and a focus group, showed that students used…

  20. Peer Review as a Strategy for Improving Students' Writing Process

    Science.gov (United States)

    Baker, Kimberly M.

    2016-01-01

    Peer review is an established strategy for improving the quality of students' writing. This study moves beyond the focus on outcomes to assess the peer-review process. In particular, this study focuses on the timing of the peer review, a highly structured feedback form, and student writers' revisions after engaging in peer review. This study draws…

  1. Reflections on the Writing Process: Perspectives from Recent Hindi Novels

    Directory of Open Access Journals (Sweden)

    Veronica Ghirardi

    2017-05-01

    Full Text Available Patricia Waugh defined metafiction as ‘fictional writing which self-consciously and systematically draws attention to its status as an artefact in order to pose questions about the relationship between fiction and reality’ . Metafictional works, she suggested, are those which ‘explore a theory of writing fiction through the practice of writing fiction’. They are characterised by a tendency to self-reflexivity or, in other words, by a tendency to call attention to the writing process itself. In actual fact, even in dedicated critical works, it is not easy to find a clear and all-encompassing definition of metafiction. Generally, various types of texts are mentioned under this term: texts recounting their origin and birth, dealing with the history of narrative, recounting stories of writers. Metafiction is generally considered an important feature of postmodern literature. In the postmodern era pure realistic writing is perceived as a limitation and an unsuitable device to render the complexity of the contemporary world. As Baudrillard said, we no longer live in a world made of unequivocal meanings, we live in a world of signs. In this context authors, by reflecting on the writing process, foreground the fictional nature of their narratives. Because of this, the role of metafiction (which obviously cannot be considered as an innovation introduced by postmodernism has become predominant in the postmodern era. Metafiction can follow different paths to reach its aims: its experimental component can be evident and radical or can be limited to a few pages or lines, without unduly affecting the perception of the story. In some cases, the reader will find no reflections on the structure or on the textual functions of the novel, but on its artistic and intellectual meaning. Within the history of Hindi literature (referring here to Khari Boli Hindi only probably the most famous example of metafictional novel is Suraj ka Satvan Ghoda (The Sun

  2. LEARNING TO TEACH WRITING THROUGH WRITING

    Directory of Open Access Journals (Sweden)

    Svetlana Suchkova

    2013-01-01

    Full Text Available This paper discusses some major issues concerning teaching writing to future teachers. There are a lot of EFL/ESL textbooks focused on teaching writing. However, those that are intended for trainee teachers are rare on the market. The goal of this paper is to share the result of several years of work on the writing syllabus and materials that is effective in the process of teaching future teachers. It contains sample of tasks based on certain principles that may promote teachers to become effective writers for themselves and, at the same time, to acquire initial professional skills necessary in their future career. A course book can not address any audience in general. It must focus on a particular learner, the objectives, and content of the process of learning. In the situation when no textbook meets these requirements, the problem of providing students with an appropriate textbook must be solved by creating new textbooks.

  3. Comparison of pressure-driven membrane processes and traditional ...

    African Journals Online (AJOL)

    In this article a comparison is made between drinking water production from surface water using pressure-driven membrane processes and using traditional surface water treatment systems. Three alternatives are considered: Traditional treatment using coagulation/flocculation, sand filtration, physicochemical softening, ...

  4. Reader-Centered Technical Writing

    Science.gov (United States)

    Narayanan, M.

    2012-12-01

    Technical writing is an essential part of professional communication and in recent years it has shifted from a genre-based approach. Formerly, technical writing primarily focused on generating templates of documents and sometimes it was creating or reproducing traditional forms with minor modifications and updates. Now, technical writing looks at the situations surrounding the need to write. This involves deep thinking about the goals and objectives of the project on hand. Furthermore, one observes that it is very important for any participatory process to have the full support of management. This support needs to be well understood and believed by employees. Professional writing may be very persuasive in some cases. When presented in the appropriate context, technical writing can persuade a company to improve work conditions ensuring employee safety and timely production. However, one must recognize that lot of professional writing still continues to make use of reports and instruction manuals. Normally, technical and professional writing addresses four aspects. Objective: The need for generating a given professionally written technical document and the goals the document is expected to achieve and accomplish. Clientele: The clientele who will utilize the technical document. This may include the people in the organization. This may also include "unintended readers." Customers: The population that may be affected by the content of the technical document generated. This includes the stakeholders who will be influenced. Environment: The background in which the document is created. Also, the nature of the situation that warranted the generation of the document. Swiss Psychologist Jean Piaget's view of Learning focuses on three aspects. The author likes to extend Jean Piaget's ideas to students, who are asked to prepare and submit Reader-Centered Technical Writing reports and exercises. Assimilation: Writers may benefit specifically, by assimilating a new object into

  5. Peer Evaluation in CMC Learning Environment and Writing Skill

    Directory of Open Access Journals (Sweden)

    Morteza Mellati

    2014-09-01

    Full Text Available Peer evaluation and technology-based instruction as the various domains of language teaching perspectives might affect language development. Group work in a technology-based environment might be more successful when learners are involved in developing the assessment process particularly peer assessment. This study investigated the effectiveness of peer evaluation in technology-based language environment and its effects on English writing ability. To reach this goal, 70 Iranian learners were participated in English language writing context. They were divided into two groups, one group assigned to CMC (Computer-Mediated Communication language learning context and the other assigned to a traditional learning environment. Both groups were encouraged to evaluate their classmates’ writing tasks. In addition, interviews were conducted with two learners. Comparing these two groups provides comprehensive guidelines for teachers as well as curriculum designers to set adjusted writing language environment for more effective and creative language teaching and learning. E-collaboration classroom tasks have high intrinsic motivation as well as significant effects on learners’ outcomes. Cooperative tasks specifically in technology-based environment lead learners to group working and consequently group learning. Computer-Mediated Communication is meaningful, especially in contexts in which teachers stimulate group work activities.

  6. The Politics of Writing, Writing Politics: Virginia Woolf’s A [Virtual] Room of One’s Own

    Directory of Open Access Journals (Sweden)

    Tegan Zimmerman

    2012-12-01

    Full Text Available This article revisits A Room of One’s Own, Virginia Woolf’s foundational 1929 text on women’s writing. I examine from a feminist materialist perspective the relevance of Woolf’s notion of a “room” in our globalized and technological twenty-first century. I first review Woolf’s position on the material conditions necessary for women writers in her own time and then the applicability of her thinking for contemporary women writers on a global scale. I emphasize that the politics of writing, and in particular writing by women, that Woolf puts forth gives feminists the necessary tools to reevaluate and rethink women’s writing both online and offline. I therefore argue that Woolf’s traditional work on materiality can be updated and developed to further inform what is now, in the twenty-first century, an urgent need for women writers, a feminist philosophy of sexual difference in relation to technology, and an e-feminism of online spaces and women’s online writing.

  7. Dynamic exposure model analysis of continuous laser direct writing in Polar-coordinate

    Science.gov (United States)

    Zhang, Shan; Lv, Yingjun; Mao, Wenjie

    2018-01-01

    In order to exactly predict the continuous laser direct writing quality in Polar-coordinate, we take into consideration the effect of the photoresist absorbing beam energy, the Gaussian attribute of the writing beam and the dynamic exposure process, and establish a dynamic exposure model to describe the influence of the tangential velocity of the normal incident facular center and laser power on the line width and sidewall angle. Numerical simulation results indicate that while writing velocity remains unchanged, the line width and sidewall angle are all increased as the laser power increases; while laser power remains unchanged, the line width and sidewall angle are all decreased as the writing velocity increases; at the same time the line profile in the exposure section is asymmetry and the center of the line has tiny excursion toward the Polar-coordinate origin compared with the facular center. Then it is necessary to choose the right writing velocity and laser power to obtain the ideal line profile. The model makes up the shortcomings of traditional models that can only predict line width or estimate the profile of the writing line in the absence of photoresist absorption, and can be considered as an effect analysis method for optimizing the parameters of fabrication technique of laser direct writing.

  8. Elementary Teachers' Views on the Creative Writing Process: An Evaluation

    Science.gov (United States)

    Akkaya, Nevin

    2014-01-01

    The goal of this study is to discover and evaluate both the areas of personal interest and the views of 4th and 5th grade classroom teachers regarding the creative writing process. In this study, one of the qualitative study methods, state study, and related to this, single state design which refers to the whole has been chosen. Research was…

  9. Writing-to-Learn, Writing-to-Communicate, & Scientific Literacy

    Science.gov (United States)

    Balgopal, Meena; Wallace, Alison

    2013-01-01

    Writing-to-learn (WTL) is an effective instructional and learning strategy that centers on the process of organizing and articulating ideas, as opposed to writing-to-communicate, which centers on the finished written product. We describe a WTL model that we have developed and tested with various student groups over several years. With effective…

  10. Retort process modelling for Indian traditional foods.

    Science.gov (United States)

    Gokhale, S V; Lele, S S

    2014-11-01

    Indian traditional staple and snack food is typically a heterogeneous recipe that incorporates varieties of vegetables, lentils and other ingredients. Modelling the retorting process of multilayer pouch packed Indian food was achieved using lumped-parameter approach. A unified model is proposed to estimate cold point temperature. Initial process conditions, retort temperature and % solid content were the significantly affecting independent variables. A model was developed using combination of vegetable solids and water, which was then validated using four traditional Indian vegetarian products: Pulav (steamed rice with vegetables), Sambar (south Indian style curry containing mixed vegetables and lentils), Gajar Halawa (carrot based sweet product) and Upama (wheat based snack product). The predicted and experimental values of temperature profile matched with ±10 % error which is a good match considering the food was a multi component system. Thus the model will be useful as a tool to reduce number of trials required to optimize retorting of various Indian traditional vegetarian foods.

  11. Source-Based Tasks in Writing Independent and Integrated Essays

    Directory of Open Access Journals (Sweden)

    Javad Gholami

    2017-07-01

    Full Text Available Integrated writing tasks have gained considerable attention in ESL and EFL writing assessment and are frequently needed and used in academic settings and daily life. However, they are very rarely practiced and promoted in writing classes. This paper explored the effects of source-based writing practice on EFL learners’ composing abilities and investigated the probable differences between those tasks and independent writing ones in improving Iranian EFL learners’ essay writing abilities. To this end, a quasi-experimental design was implemented to gauge EFL learners’ writing improvements using a pretest-posttest layout. Twenty female learners taking a TOEFL iBT preparation course were randomly divided into an only-writing group with just independent writing instruction and essay practice, and a hybrid-writing-approach group receiving instruction and practice on independent writing plus source-based essay writing for ten sessions. Based on the findings, the participants with hybrid writing practice outperformed their counterparts in integrated essay tests. Their superior performance was not observed in the case of traditional independent writing tasks. The present study calls for incorporating more source-based writing tasks in writing courses.

  12. An emotional processing writing intervention and heart rate variability: the role of emotional approach.

    Science.gov (United States)

    Seeley, Saren H; Yanez, Betina; Stanton, Annette L; Hoyt, Michael A

    2017-08-01

    Expressing and understanding one's own emotional responses to negative events, particularly those that challenge the attainment of important life goals, is thought to confer physiological benefit. Individual preferences and/or abilities in approaching emotions might condition the efficacy of interventions designed to encourage written emotional processing (EP). This study examines the physiological impact (as indexed by heart rate variability (HRV)) of an emotional processing writing (EPW) task as well as the moderating influence of a dispositional preference for coping through emotional approach (EP and emotional expression (EE)), in response to a laboratory stress task designed to challenge an important life goal. Participants (n = 98) were randomly assigned to either EPW or fact control writing (FCW) following the stress task. Regression analyses revealed a significant dispositional EP by condition interaction, such that high EP participants in the EPW condition demonstrated higher HRV after writing compared to low EP participants. No significant main effects of condition or EE coping were observed. These findings suggest that EPW interventions may be best suited for those with preference or ability to process emotions related to a stressor or might require adaptation for those who less often cope through emotional approach.

  13. Stories Are Like Water: An Academic Writing Workshop for Nurses.

    Science.gov (United States)

    Walker, Madeline; Tschanz, Coby

    2018-04-01

    Traditionally, there is very little formal instruction in academic writing for nurses in graduate programs. We, the writing scholar and a nurse educator and PhD student at a major Canadian university, describe how we collaborated on developing and delivering a 1-day academic writing workshop for incoming master of nursing students. By sharing this description, we hope to motivate nursing faculty to offer similar workshops to address the dearth of writing instruction for graduate students in nursing and to improve scholarship outcomes.

  14. Missing in Action: Writing Process-Based Instructional Practices and Measures of Higher-Order Literacy Achievement in Predominantly Urban Elementary Schools

    Science.gov (United States)

    Briddell, Andrew

    2013-01-01

    This study of 1,974 fifth grade students investigated potential relationships between writing process-based instruction practices and higher-order thinking measured by a standardized literacy assessment. Writing process is defined as a highly complex, socio-cognitive process that includes: planning, text production, review, metacognition, writing…

  15. Learning Science Process Through Data Exploration and Writing

    Science.gov (United States)

    Prothero, W. A.

    2007-12-01

    One of the most effective ways of teaching science process is to have students take part in the same activities that practicing scientists engage in. These activities include studying the current research in the field, discussing ideas with colleagues, formulating a research problem, making a proposal defining the problem and plan of attack, presenting and writing about the results of the study, and critically reviewing the work of others. An inquiry curriculum can use these activities to guide the scaffolding of assignments and learning experiences that help students learn science process. At UCSB, students in a large general education oceanography class use real Earth data to study plate tectonics, the Indian Monsoon, climate change, and the health of the world fisheries. The end product for each subject has been a science paper based on Earth data. Over a period of approximately 15 years, the scaffolding of activities to prepare each student for the written assignments has been modified and improved, in response to student feedback and their success with the assignments. I have found that the following resources and sequence of activities help the oceanography students write good science papers. 1. Lecture: motivation and the opportunity for feedback and questions. 2. Textbook: background information. It is also possible to get the information from the internet, but unless the scope of reading is strictly defined, students don't know when to stop reading and become unhappy. 3. Online assignments: automatically graded assignments that force the student to keep up with reading. 4. Questions of the day: in-class handouts, with diagrams that the students either complete, or answer questions about. They are handed in and tallied, but not graded. They also inform the instructor of misconceptions. 5. Thought questions: student answers are posted on a threaded discussion list, and are due prior to lecture. The answers provide instructor feedback and guide the lecture

  16. [Influence of the recording interval and a graphic organizer on the writing process/product and on other psychological variables].

    Science.gov (United States)

    García Sánchez, Jesús N; Rodríguez Pérez, Celestino

    2007-05-01

    An experimental study of the influence of the recording interval and a graphic organizer on the processes of writing composition and on the final product is presented. We studied 326 participants, age 10 to 16 years old, by means of a nested design. Two groups were compared: one group was aided in the writing process with a graphic organizer and the other was not. Each group was subdivided into two further groups: one with a mean recording interval of 45 seconds and the other with approximately 90 seconds recording interval in a writing log. The results showed that the group aided by a graphic organizer obtained better results both in processes and writing product, and that the groups assessed with an average interval of 45 seconds obtained worse results. Implications for educational practice are discussed, and limitations and future perspectives are commented on.

  17. On Paying Attention: Flagpoles, Mindfulness, and Teaching Writing

    Science.gov (United States)

    Kroll, Keith

    2008-01-01

    To pay attention--to observe, to see the richness and detail that is right before everyone--is the essence of mindfulness. It is also, the author argues, the essence of good writing--the kind of writing for which there is a long American tradition of writers such as Emerson, Thoreau, E. B. White, Barry Lopez, Annie Dillard, Joan Didion, John…

  18. Scientific writing and editing: a new role for the library.

    Science.gov (United States)

    Stephens, P A; Campbell, J M

    1995-10-01

    Traditional library instruction programs teach scientists how to find and manage information, but not how to report their research findings effectively. Since 1990, the William H. Welch Medical Library has sponsored classes on scientific writing and, since 1991, has offered a fee-based editing service for affiliates of the Johns Hopkins Medical Institutions. These programs were designed to fill an educational gap: Although formal instruction was offered to support other phases of the scientific communication process, the medical institutions had no central resource designed to help scientists develop and improve their writing skills. The establishment of such a resource at Welch has been well received by the community. Attendance at classes has grown steadily, and in 1993 a credit course on biomedical writing was added to the curriculum. The editing service, introduced in late 1991, has generated more requests for assistance than can be handled by the library's editor. This service not only extends the library's educational outreach but also generates a revenue stream. The Welch program in scientific writing and editing, or elements of it, could provide a model for other academic medical libraries interested in moving in this new direction.

  19. THE FLIPPED WRITING CLASSROOM IN TURKISH EFL CONTEXT: A COMPARATIVE STUDY ON A NEW MODEL

    Directory of Open Access Journals (Sweden)

    Emrah EKMEKCI

    2017-04-01

    Full Text Available Flipped learning, one of the most popular and conspicuous instructional models of recent time, can be considered as a pedagogical approach in which the typical lecture and homework elements of a course are reversed. Flipped learning transforms classrooms into interactive and dynamic places where the teacher guides the students and facilitates their learning. The current study explores the impact of flipped instruction on students’ foreign language writing skill which is often perceived as boring, complex and difficult by English as a Foreign Language (EFL learners. The study compares flipped and traditional face-to-face writing classes on the basis of writing performances. Employing a pre- and post-test true experimental design with a control group, the study is based on a mixed-method research. The experimental group consisting of 23 English Language Teaching (ELT students attending preparatory class were instructed for fifteen weeks through Flipped Writing Class Model while the control group comprising 20 ELT preparatory class students followed traditional face-to-face lecture-based writing class. Independent and paired samples t-tests were carried out for the analyses of the data gathered through the pre-and post-tests. The results indicated that there was a statistically significant difference between the experimental and control groups in terms of their writing performances based on the employed rubric. It was found that the students in the experimental group outperformed the students in the control group after the treatment process. The results of the study also revealed that the great majority of the students in the experimental group held positive attitudes towards Flipped Writing Class Model.

  20. Additive direct-write microfabrication for MEMS: A review

    Science.gov (United States)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  1. Engaging Young Adolescents in School-Based Writing

    Science.gov (United States)

    Yost, Deborah S.; Liang, Ling L.; Vogel, Robert

    2014-01-01

    How might middle school teachers and schools more appropriately engage early adolescent students in the writing process so that they are motivated and engaged to "want" to write and write well? This article introduces "Writers Matter," an approach designed to engage and motivate young adolescents in the writing process,…

  2. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  3. Reducing resistance and emotional blocks in writing

    OpenAIRE

    Majda Cencič

    1996-01-01

    Writing is as much a communicative as it is a cognitive process an active individual is involved in. In this paper some characteristics of writing as a communicative process and some characteristics of writing as a cognitive process are stated. Further a model engaging both communicative and cognitive elements of writing is added, these elements being connected with linguistic resources. The author considers some prejudices, myths or emotional blocks to be destroyed as not to hinder the write...

  4. Improving the quality of the order-writing process for inpatient orders and outpatient prescriptions.

    Science.gov (United States)

    Meyer, T A

    2000-12-15

    Because many preventable medication errors occur at the ordering stage, a program for improving the quality of writing inpatient orders and outpatient prescriptions at one institution was developed. To determine whether potential problems existed in the order-writing process for inpatients, all physician orders for a seven-day period in 1997 were reviewed (n = 3740). More than 10% of all orders had illegible handwriting or were written with a felt-tip pen, which makes NCR copies difficult to read. Other potential errors were also identified. Following educational programs for physicians and residents focusing on the importance of writing orders clearly, physician orders were reviewed for a 24-hour period (n = 654). The use of felt-tip pens decreased to 1.37% of all orders, and no orders had illegible handwriting. A similar quality improvement approach was used to evaluate the outpatient prescription-writing process. A review of all new prescriptions for a consecutive seven-day period at a local hospital-owned community pharmacy (n = 1425) revealed that about 15% of the prescriptions had illegible handwriting and roughly 10% were incomplete. Additional data were gathered through a survey sent to 71 outside provider pharmacies requesting information on problems related to prescriptions written by physicians from the institution; 66% responded. Failure to print prescriber name (96%), illegible signature (94%), failure to include DEA number (89%), and illegible handwriting other than signature (69%) were reported as the main problems. Each physician was given a self-inking name stamp to use when writing prescriptions. In addition, educational programs covering examples of poorly written prescriptions and the legal requirements of a prescription were held for physicians and residents. A follow-up survey showed that 72% of pharmacies saw stamps being used; when stamps were not used, however, illegible signatures continued to be a problem. Follow-up reviews of outpatient

  5. An ESL Audio-Script Writing Workshop

    Science.gov (United States)

    Miller, Carla

    2012-01-01

    The roles of dialogue, collaborative writing, and authentic communication have been explored as effective strategies in second language writing classrooms. In this article, the stages of an innovative, multi-skill writing method, which embeds students' personal voices into the writing process, are explored. A 10-step ESL Audio Script Writing Model…

  6. Effects of processing adjuvants on traditional Chinese herbs

    Directory of Open Access Journals (Sweden)

    Lin-Lin Chen

    2018-04-01

    Full Text Available Processing of Chinese medicines is a pharmaceutical technique that transforms medicinal raw materials into decoction pieces for use in different therapies. Various adjuvants, such as vinegar, wine, honey, and brine, are used in the processing to enhance the efficacy and reduce the toxicity of crude drugs. Proper processing is essential to ensure the quality and safety of traditional Chinese medicines (TCMs. Therefore, sound knowledge of processing principles is crucial to the standardized use of these processing adjuvants and to facilitate the production and clinical use of decoction pieces. Many scientific reports have indicated the synergistic effects of processing mechanisms on the chemistry, pharmacology, and pharmacokinetics of the active ingredients in TCMs. Under certain conditions, adjuvants change the content of active or toxic components in drugs by chemical or physical transformation, increase or decrease drug dissolution, exert their own pharmacological effects, or alter drug pharmacokinetics. This review summarizes various processing methods adopted in the last two decades, and highlights current approaches to identify the effects of processing parameters on TCMs. Keywords: Adjuvant, Processing, Synergism, Traditional Chinese medicine

  7. Middle Byzantine Historiography: Tradition, Innovation, and Reception

    Directory of Open Access Journals (Sweden)

    Staffan Wahlgren

    2015-10-01

    Full Text Available This paper provides an overview of Greek historical writing of the Middle Byzantine period (approx. 800 until 1000 A.D., with a particular focus on the major chronicles, such as Theophanesthe Confessor (early 9th c., George the Monk (probably late 9th c., and Symeon the Logothete (second half of the 10th c.. On the one hand, it is discussed how the chroniclers engage with tradition and either accept it or reject it. Acceptance of tradition is illustrated by many cases where chroniclers keep very close to the narrative modes of their predecessors and in particular where they copy them extensively. Rejection of, or at least deviation from tradition is illustrated by many cases where new narrative techniques and modes of expression are apparent. Particular attention is paid to some aspects of narrative technique which seem to be innovative. In short, there seems to be an increased tendency towards greater logical (and hence, narrative coherence in the chronicles and an increased tendency towards concentration on a small number of settings, issues and persons (in particular, there is an increased concentration on the Capital of Constantinople and the Emperor’s person. Further, reception is discussed, and especially how Middle Byzantine historical texts were read and used in later writings, including the Slavic literatures. The need for further research in order to understand the transmission processes, especially in the form of the philological study of manuscripts, is stressed.

  8. The Effect of Keyboard-Based Word Processing on Students With Different Working Memory Capacity During the Process of Academic Writing

    NARCIS (Netherlands)

    Van der Steen, Steffie; Samuelson, Dianne; Thomson, Jennifer M.

    This study addresses the current debate about the beneficial effects of text processing software on students with different working memory (WM) during the process of academic writing, especially with regard to the ability to display higher-level conceptual thinking. A total of 54 graduate students

  9. Feedback effects on students' writing motivation, process, and performance

    NARCIS (Netherlands)

    Duijnhouwer, H.

    2010-01-01

    Many students’ writing capacities remain insufficient during college years (Kellogg & Whiteford, 2009). Teachers try to improve students’ writing skills by providing them with feedback on their texts. Remarkably, research on the effects of feedback provided on written products is scarce (Graham &

  10. Writing for Physics Mastery.

    Science.gov (United States)

    Weber, Stephen W.

    A study examined the effectiveness of incorporating writing as a tool to master the concepts of physics. Subjects were students in the three traditional physics classes and one non-math or conceptual physics class at East High School in Rockford, Illinois. The instructor tried a variety of methods--students wrote criticisms of Carl Sagan videos,…

  11. Experiential self-focus writing as a facilitator of processing an interpersonal hurt.

    Science.gov (United States)

    Liao, Kelly Yu-Hsin; Wei, Meifen; Russell, Daniel W; Abraham, W Todd

    2012-10-01

    This study examined the effects of experiential self-focus writing on changes in psychological outcomes (i.e., unforgiveness and negative affect) after an interpersonal hurt and the buffering effects of experiential self-focus writing on the association between anger rumination and these psychological outcomes. A sample of 182 college students who had experienced interpersonal hurt were randomly assigned to either the experiential self-focus writing condition, in which participants wrote about their feelings and experiences related to the hurt, or to a control writing condition in which they wrote about a recent neutral event. Latent growth curve analyses indicated that changes in unforgiveness over time did not differ between the experiential self-focus writing and the control writing conditions. However, relative to the control writing condition, negative affect decreased faster during writing and increased more slowly at follow-ups in the experiential self-focus writing condition. The results supported the hypothesis that negative affect resulting from an interpersonal hurt would significantly decrease over time among participants in the experiential self-focus writing group compared with the control group. Implications of experiential self-focus writing for interpersonal hurt and directions for future studies are discussed. © 2012 Wiley Periodicals, Inc.

  12. The Effects of Wiki-based Recursive Process Writing on Chinese Narrative Essays for Chinese as a Second Language (CSL Students in Singapore

    Directory of Open Access Journals (Sweden)

    Chee Kuen Chin

    2015-01-01

    Full Text Available This paper reports on the effects of using wiki-based process writing in Singapore’s Chinese as a Second Language (CSL scenarios. A group of 32 Secondary 1 (Seventh Grade students (“Students” received various forms of online scaffolding at different steps of the writing process over two years. A whole set of teaching materials on 45 writing skills was developed and uploaded to the Wiki platform through five recursive cycles. In each cycle, the students were encouraged to apply skills they learned via Wiki platform in their writing and afterwards work as a team in the platform to peer-review each other’s first draft. With feedback received from peer revision, students proceeded to edit their first draft, focusing on the content of narratives and the appropriateness on their use of micro writing skills. The scaffolding decreased as the project progressed. Students’ pre-, mid- and post-writing tests were marked and compared. The authors analyzed the impact that the feedback in the process had towards the students’ overall writing performance. It was discovered that students' quality of written products was improved in general. It was also discovered that students benefited the most from giving remarks to their peers’ writing. The revision patterns of high, medium and low language ability students were also compared. It was found that the higher the language ability of the students, the more concerned they were with macro level for their revisions. ICT-mediated process writing has not garnered much attention in the field of CSL. The study hopes to contribute to the literature of ICTmediated writing instruction in the field of CSL.

  13. Enhancing Writing Self-Efficacy Beliefs of Students with Learning Disabilities Improves Their Writing Processes and Products

    Science.gov (United States)

    de Caso, Ana Maria; Garcia, Jesus Nicasio; Diez, Carmen; Robledo, Patricia; Alvarez, Maria Lourdes

    2010-01-01

    Introduction: The use of self efficacy has been suggested as an effective classroom intervention procedure. The present research examined the use of self-efficacy training on the writing of Spanish elementary student with learning disabilities. Objectives: We present a research study focused on the improvement of the writing product and the…

  14. Academic writing development: a complex, dynamic process

    NARCIS (Netherlands)

    Penris, Wouter; Verspoor, Marjolijn; Pfenniger, Simone; Navracsics, Judit

    2017-01-01

    Traditionally we look at learning outcomes by examining single outcomes. A new and future direction is to look at the actual process of development. Imagine an advanced, 17-year-old student of English (L2) who has just finished secondary school in the Netherlands and wants to become an English

  15. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  16. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  17. Genre Analysis and Writing Skill: Improving Iranian EFL Learners Writing Performance through the Tenets of Genre Analysis

    Directory of Open Access Journals (Sweden)

    Nazanin Naderi Kalali

    2015-12-01

    Full Text Available The main thrust of this study was to determine whether a genre-based instruction improve the writing proficiency of Iranian EFL learners. To this end, 30 homogenous Iranian BA learners studying English at Islamic Azad University, Bandar Abbas Branch were selected as the participants of the study through a version of TOEFL test as the proficiency test. The selected participants were 15 females and 15 males who were randomly divided into two groups of experimental and control. The both experimental and control groups were asked to write on a topic determined by the researcher which were considered as the pre-test. The writing of the students were scored using holistic scoring procedure. The subjects received sixteen hours instruction—the experimental group using a genre-based pedagogy and the control group through the traditional methodology which was followed by a post-test—the subjects were, this time, asked to write on the same topic which they were asked to write before instruction. Their post-writings were also scored through the holistic scoring procedures. In analyzing the data, t-test statistic was utilized for comparing the performances of the two groups. It was found that there is statistically significant difference between the writing ability of the participants who go under a genre-based instruction and who don’t. The study, however, didn’t find any significant role for gender. Keywords: genre analysis, writing skill, holistic scoring procedure, pre-test, post-test, t-test

  18. Reduce, Reuse, Recycle: Resources and Strategies for the Use of Writing Projects in Mathematics

    Science.gov (United States)

    Latulippe, Joe; Latulippe, Christine

    2014-01-01

    As an often recommended but under-utilized pedagogical strategy, writing in mathematics has many benefits for students. However, creating and grading worthwhile writing projects can be more time-consuming than utilizing more traditional forms of assessment. This paper provides a concrete example of a writing project prompt, questions, directions,…

  19. The Knowledge Society and the Reform of Creative Writing

    Directory of Open Access Journals (Sweden)

    Cristina-Emanuela DASCĂLU

    2011-12-01

    Full Text Available This article deals with how major top-down reforms in the Romanian higher education system have affected and will continue to affect student writing and have forever challenged and changed teachers’ and students’ traditional roles. The reform of student writing in Romania is initially due to the implementation in the Romanian education system of the Bologna Declaration of 2002 and continues ever stronger due to the extraordinary new Education Law passed by the Romanian Ministry of Education, Research and Innovation in 2011. One of the initial outcomes of the adherence of the Romanian education system to Bologna Declaration was that, while previously to this change Romanian universities demanded very little undergraduate writing especially the original, research-oriented one and, thus, grades relied heavily on the results of the traditional sit-down final examinations, most courses now in the Romanian higher education system include student essay writing and other types of writing and systematic teacher feedback. Creative writing has started to appear here and there, too in the university curriculum especially at private universities. As a result of Romania’s adherence to Bologna Declaration of 2002, Portfolio Assessment, which demands extended writing, has been also introduced in Romania, both at state universities and private ones. As a result of the new 2011 Education Law, even more emphasis will be placed on writing, research, competences and abilities, included practical ones, and creativity at all levels of education, higher education included therefore. The article presents some results from an evaluation of the educational reforms in Romania, mostly of the initial reforms following Romania’s adherence to Bologna Declaration of 2002, but the study considers some of the reforms that follow from the newly passed Romanian Education Law. Mainly the following questions are addressed in this research study (1 Why did the initial reforms

  20. Improving Students� Ability in Writing Hortatory Exposition Texts by Using Process-Genre Based Approach with YouTube Videos as the Media

    Directory of Open Access Journals (Sweden)

    fifin naili rizkiyah

    2017-06-01

    Full Text Available Abstract: This research is aimed at finding out how Process-Genre Based Approach strategy with YouTube Videos as the media are employed to improve the students� ability in writing hortatory exposition texts. This study uses collaborative classroom action research design following the procedures namely planning, implementing, observing, and reflecting. The procedures of carrying out the strategy are: (1 relating several issues/ cases to the students� background knowledge and introducing the generic structures and linguistic features of hortatory exposition text as the BKoF stage, (2 analyzing the generic structure and the language features used in the text and getting model on how to write a hortatory exposition text by using the YouTube Video as the MoT stage, (3 writing a hortatory exposition text collaboratively in a small group and in pairs through process writing as the JCoT stage, and (4 writing a hortatory exposition text individually as the ICoT stage. The result shows that the use of Process-Genre Based Approach and YouTube Videos can improve the students� ability in writing hortatory exposition texts. The percentage of the students achieving the score above the minimum passing grade (70 had improved from only 15.8% (3 out of 19 students in the preliminary study to 100% (22 students in the Cycle 1. Besides, the score of each aspect; content, organization, vocabulary, grammar, and mechanics also improved. � Key Words: writing ability, hortatory exposition text, process-genre based approach, youtube video

  1. Robots Learn Writing

    Directory of Open Access Journals (Sweden)

    Huan Tan

    2012-01-01

    Full Text Available This paper proposes a general method for robots to learn motions and corresponding semantic knowledge simultaneously. A modified ISOMAP algorithm is used to convert the sampled 6D vectors of joint angles into 2D trajectories, and the required movements for writing numbers are learned from this modified ISOMAP-based model. Using this algorithm, the knowledge models are established. Learned motion and knowledge models are stored in a 2D latent space. Gaussian Process (GP method is used to model and represent these models. Practical experiments are carried out on a humanoid robot, named ISAC, to learn the semantic representations of numbers and the movements of writing numbers through imitation and to verify the effectiveness of this framework. This framework is applied into training a humanoid robot, named ISAC. At the learning stage, ISAC not only learns the dynamics of the movement required to write the numbers, but also learns the semantic meaning of the numbers which are related to the writing movements from the same data set. Given speech commands, ISAC recognizes the words and generated corresponding motion trajectories to write the numbers. This imitation learning method is implemented on a cognitive architecture to provide robust cognitive information processing.

  2. Use of Microthemes to Increase Writing Content for Introductory Science Laboratory

    Directory of Open Access Journals (Sweden)

    Michelle L. Lewis

    2012-02-01

    Full Text Available Writing is a learning activity, as well as a communication skill. Many instructors recognize the value of writing as a learning tool but struggle to develop effective writing assignments. Instructors are generally pressed for time during lecture due to the necessity to deliver content and, therefore, cannot dedicate time necessary to teach science writing skills effectively. Traditional term papers assigned to a class with varying writing skills may not accomplish the desired goal of teaching both technical writing skills and critical thinking skills. Students that are already struggling with content may be at a disadvantage in terms of conveying complex ideas. An answer to this problem is the microtheme paper which we employ in an Introductory Botany laboratory setting.

  3. Peer-tutoring in academic writing: the infectious nature of engagement

    OpenAIRE

    O'Sullivan, Íde; Cleary, Lawrence

    2014-01-01

    non-peer-reviewed Students often struggle with writing as they are unaware of the process of writing and of strategies and skills to help them write well. They often focus on the product of writing rather than engaging with the process of writing. However, it is in the process of writing, and in the discovery of that process, that learning happens (Murray 1973, Emig 1977, Berlin 1982). It is thought that the inductive, non-intrusive model of student peer-tutoring practiced at the Regional ...

  4. English language and literature students' perceptions of reflective writing, its effects on engagement in writing and literature

    OpenAIRE

    Uçar, Hakan

    2013-01-01

    Ankara : The Department of Teaching English as a Foreign Language, Bilkent University, 2013. Thesis (Master's) -- Bilkent University, 2013. Includes bibliographical references leaves 122-139. This study investigated the effects of the reflective writing process on English Language and Literature students’ engagement with writing and literature and their demonstrated engagement level in the reflective writing process. This study was conducted over a period of nine weeks with...

  5. The Effect of Keyboard-Based Word Processing on Students with Different Working Memory Capacity during the Process of Academic Writing

    Science.gov (United States)

    Van Der Steen, Steffie; Samuelson, Dianne; Thomson, Jennifer M.

    2017-01-01

    This study addresses the current debate about the beneficial effects of text processing software on students with different working memory (WM) during the process of academic writing, especially with regard to the ability to display higher-level conceptual thinking. A total of 54 graduate students (15 male, 39 female) wrote one essay by hand and…

  6. The Write Brain: How to Educate and Entertain with Learner-Centered Writing

    Science.gov (United States)

    Iverson, Kathleen M.

    2009-01-01

    This article presents a conceptual framework for the writing process to facilitate motivation, learning, retention, and knowledge transfer in readers of expository material. Drawing from four well-developed bodies of knowledge--cognitive science, learning theory, technical communication, and creative writing--the author creates a model that allows…

  7. Language Literacy in Writing

    Directory of Open Access Journals (Sweden)

    Saeideh Ahangari

    2008-05-01

    Full Text Available This paper explores the ways in which the transfer of assumptions from first language (L1 writing can help the process of writing in second language (L2. In learning second language writing skills, learners have two primary sources from which they construct a second language system: knowledge and skills from first language and input from second language. To investigate the relative impact of first language literacy skills on second language writing ability, 60 EFL students from Tabriz Islamic Azad University were chosen as participants of this study, based on their language proficiency scores. The subjects were given two topics to write about: the experimental group subjects were asked to write in Persian and then translate their writing into English. The control group wrote in English. The results obtained in this study indicate that the content and vocabulary components of the compositions were mostly affected by the use of first language.

  8. Writing with Phineas

    DEFF Research Database (Denmark)

    Wegener, Charlotte

    2014-01-01

    This article describes a collaborative writing strategy when you are alone. It is the story of how I came to bring Phineas, the protagonist in A. S. Byatt’s The Biographer’s Tale, into my writing process as a third voice in my dialogue with my data. It is a self-reflective text that shows how co...

  9. Opinions of Students Completing Master Thesis in Turkish Education Field about Academic Writing and Thesis Formation Process

    Directory of Open Access Journals (Sweden)

    Mustafa Onur KAN

    2016-12-01

    Full Text Available The overall aim of this research is to evaluate opinions of students completing master thesis in the field of Turkish education about academic writing and process of forming thesis. The study has been devised using phenomenological design within the qualitative research methods. The study group of research is consisted of 9 participants completed master thesis in the field of Turkish education at Mustafa Kemal University Instıtute of Social Sciences in 2015. In this study, semi-structured interview form developed by the researcher was used to collect data. In order to ensure the reliability of the scope and structure, table of specification was constituted and expert views were consulted. For analyzing data descriptive analysis method was used. According to results of the research, it was obtained that participants experience various diffuculties in writing the basic sections of the thesis. In addition, it was seen that participants can not benefit enough from the studies written in foreign language. Participants reported that they find themselves more enough about academic writing and process of forming thesis after postgraduate education, but also they stated that academic writing courses should take part in program.

  10. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  11. Bad Loans and Loan Write-Offs

    OpenAIRE

    福田, 慎一; 鯉渕, 賢

    2006-01-01

    In this paper, we investigate who bears the burden when writing off bad loans in Japan. Traditionally, Japanese main banks bore large burdens in saving their customers. We still find that some main banks bear a large burden in saving their customers. However, in most cases, main banks became very reluctant to bear large burdens when bailing out their customers. In the transition from the bank-based system to a market-based system, traditional implicit rules are collapsing dramatically. We sug...

  12. From Ideas in the Head to Words on the Page: Young Adolescents' Reflections on Their Own Writing Processes

    Science.gov (United States)

    Jones, Susan

    2014-01-01

    Cognitive models of the writing process make a distinction between the functions of planning and translation: the first to generate ideas, the second to represent these ideas in written linguistic conventions. For many writers however, ideas do not always appear to precede the act of writing, rather they appear to be discovered through it. This…

  13. BACK and DRAW activities for improving writing skills

    Directory of Open Access Journals (Sweden)

    Muhammad Lukman Syafii

    2017-09-01

    Full Text Available This present paper addresses the issue of writing as an important aspect of EFL instruction. Writing as one of the four basic language skills plays a pivotal role in the context of English teaching as a foreign language in Indonesia. Considering these problems, it is important to modify the writing process in such a way to cope the writing. The process of writing the writer means is BACK and DRAW activities. What the writer termed as BACK and DRAW is a set of writing activities involving students’ active participation in the process, their intense learning experience in producing a required text, as well as their interactive work with peers and the teacher. BACK and DRAW stands for Brainstorming, Attaching, Correcting, Keeping, Developing, Revising, Arranging, and Wow! Or Wonderful! Or Well done!. These activities are good ways to improve the writing Ability.

  14. Magazine Editors and the Writing Process: An Analysis of How Editors Work with Staff and Free-Lance Writers.

    Science.gov (United States)

    Schierhorn, Ann B.; Endres, Kathleen L.

    Editors of business and consumer magazines chosen by a random sample were asked in a mail survey what method they used in working with staff writers and free-lance writers. They were asked how they work with writers in the five stages of the writing process--idea, reporting, organizing, writing and rewriting. The first mailing to consumer…

  15. [Low level auditory skills compared to writing skills in school children attending third and fourth grade: evidence for the rapid auditory processing deficit theory?].

    Science.gov (United States)

    Ptok, M; Meisen, R

    2008-01-01

    The rapid auditory processing defi-cit theory holds that impaired reading/writing skills are not caused exclusively by a cognitive deficit specific to representation and processing of speech sounds but arise due to sensory, mainly auditory, deficits. To further explore this theory we compared different measures of auditory low level skills to writing skills in school children. prospective study. School children attending third and fourth grade. just noticeable differences for intensity and frequency (JNDI, JNDF), gap detection (GD) monaural and binaural temporal order judgement (TOJb and TOJm); grade in writing, language and mathematics. correlation analysis. No relevant correlation was found between any auditory low level processing variable and writing skills. These data do not support the rapid auditory processing deficit theory.

  16. Writing to Learn Law and Writing in Law: An Intellectual Property Illustration

    OpenAIRE

    Madison, Michael

    2017-01-01

    This essay, prepared as part of a Symposium on teaching intellectual property law, describes a method of combining substantive law teaching with a species of what is commonly called "skills" training. The method involves assessing students not via traditional final exams but instead via research memos patterned after assignments that junior lawyers might encounter in actual legal practice. The essay grounds the method in the theoretical disposition known generally as "writing to learn." It ar...

  17. Observation of peers in learning to write: Practice and research

    Directory of Open Access Journals (Sweden)

    Rijlaarsdam, Gert

    2008-01-01

    Full Text Available Elke Van Steendam, Anne Toorenaar,Journal of Writing Research 1(1, 53-83In this paper we discuss the role of observation in learning to write. We argue that the acquisition of skill in such a complex domain as writing relies on observation, the classical imitatio. An important phase in learning to write, at all ages, is learning to write by observing and evaluating relevant processes: writing processes, reading processes or communication processes between writers and readers.First, we present two practical cases: writing lessons in which observation and inquiry are amongst other key elements and where students participate in a community of learners. Then, we review research that may inspire and substantiate proposals for implementing observation as a learning activity in writing education. Two types of studies are discussed: studies in which learners acquire strategies by observing and evaluating writing and reading processes of peers, as a prewriting instructional activity, and studies in which learners are stimulated to 'pre-test' and then revise their first draft, as a post writing instructional activity. The paper closes with some recommendations for further research.

  18. A Case Study on an Item Writing Process: Use of Test Specifications, Nature of Group Dynamics, and Individual Item Writers' Characteristics

    Science.gov (United States)

    Kim, Jiyoung; Chi, Youngshin; Huensch, Amanda; Jun, Heesung; Li, Hongli; Roullion, Vanessa

    2010-01-01

    This article discusses a case study on an item writing process that reflects on our practical experience in an item development project. The purpose of the article is to share our lessons from the experience aiming to demystify item writing process. The study investigated three issues that naturally emerged during the project: how item writers use…

  19. The Writing Staff as Faculty Compost Pile.

    Science.gov (United States)

    Dorenkamp, Angela G.

    Misconceptions about the teaching of writing prevail on many college campuses, partially because writing teachers fail to communicate with their colleagues. It is especially important for writing teachers to let their colleagues know that learning to write is a long term developmental process that needs support and reinforcement from the entire…

  20. Using micro-contexts to describe a writing process in Estonian as a second language across proficiency levels

    Directory of Open Access Journals (Sweden)

    Olga Pastuhhova

    2015-04-01

    Full Text Available This paper aims to describe the writing process of native Russian‑speaking students in Estonian as a second language. 34 participants were given the assignment of writing a text in the L2. The written texts were then rated as being at levels from A2 to C1 according to the Common European Framework of Reference for Languages (CEFR. The data were collected by computer keystroke logging and analysed based on the concept of a ‘micro-context’. Micro-contexts were analysed according to their frequency and duration and were compared across proficiency levels. The results show that writing in the L2 is not a smooth process. The longest transitions in micro-contexts reveal that the most cognitive effort is made between paragraphs and sentences and when deletions are involved. The growing number of consecutive deletions demonstrates that even with developing proficiency, the linear production text is subject to constant revision, correction and modification.

  1. ESL intermediate/advanced writing

    CERN Document Server

    Munoz Page, Mary Ellen; Jaskiewicz, Mary

    2011-01-01

    Master ESL (English as a Second Language) Writing with the study guide designed for non-native speakers of English. Skill-building lessons relevant to today's topics help ESL students write complete sentences, paragraphs, and even multi-paragraph essays. It's perfect for classroom use or self-guided writing preparation.DETAILS- Intermediate drills for improving skills with parallel structure, mood, correct shifting errors & dangling participles- Advanced essay drills focusing on narrative, descriptive, process, reaction, comparison and contrast- Superb preparation for students taking the TOEFL

  2. Moving beyond Journaling to Dialogues in Writing

    Science.gov (United States)

    Hail, Cindy; George, Sue; Hail, John

    2013-01-01

    The last two decades have produced theoretical-based methodology models emphasizing student-centered and learner-controlled writing experiences. During the 1990s, writing evolved into a function of learning. As more was learned about the writing process, it became evident that writing led to clarifying thinking and served as a forum for revealing…

  3. Reflective Journal Writing as a Tool to Teach Aspects of Social Studies

    Science.gov (United States)

    Al-karasneh, Samih M.

    2014-01-01

    This article analyses the impact of a constructivist approach to learning in Jordan, where a traditional context of passive/receptive philosophy of teaching prevails. Student teachers were introduced to journal writing. It was expected that their experiences with journal writing would afford them a better understanding of how it would affect their…

  4. Writing Motivation of Students with Specific Language Impairments

    Science.gov (United States)

    Brouwer, Kyle Lee

    2010-01-01

    This study was designed to compare the writing motivation of students with specific language impairments with their non-disabled peers. Due to the cognitive and linguistic demands of the writing process, students with language impairments face unique difficulties during the writing process. It was hypothesized that students with specific language…

  5. How to develop and write a case for technical writing

    Science.gov (United States)

    Couture, B.; Goldstein, J.

    1981-01-01

    Case of different sizes and shapes for teaching technical writing to engineers at Wayne State University have been developed. The case approach was adopted for some assignments because sophomores and juniors lacked technical expertise and professional knowledge of the engineering world. Cases were found to be good exercises, providing realistic practice in specific writing tasks or isolating particular skills in the composing process. A special kind of case which narrates the experiences of one technical person engaged in the problem-solving process in a professional rhetorical situation was developed. This type of long, realistic fiction is called a an "holistic" case. Rather than asking students to role-play a character, an holistic case realistically encompasses the whole of the technical writing process. It allows students to experience the total communication act in which the technical task and data are fully integrated into the rhetorical situation and gives an opportunity to perform in a realistic context, using skills and knowledge required in communication on the job. It is believed that the holistic case most fully exploits the advantages of the case method for students of professional communication.

  6. Impact of a Technology-Infused Middle School Writing Program on Sixth-Grade Students' Writing Ability and Engagement

    Science.gov (United States)

    Goldenberg, Lauren; Meade, Terri; Midouhas, Emily; Cooperman, Naomi

    2011-01-01

    Process-oriented approaches are increasingly used in schools to improve writing. One of these approaches, known as the writing workshop model, is challenging for teachers to implement without supports. This quasi-experimental study evaluated the effectiveness of a middle school writing program that incorporates this model along with technological…

  7. DOMAIN SPECIFIC BELIEFS ABOUT WRITING AND WRITING PERFORMANCE OF PRESERVICE ENGLISH TEACHERS: IS THERE ANY RELATIONSHIP?

    Directory of Open Access Journals (Sweden)

    Seray Tanyer

    2017-07-01

    Full Text Available Learning as a retrospective phenomenon can make learners transmit their past as an ingredient while they are (restructuring their present and future. Previous and present experiences can form a basis for cognitive, behavioral and motivational factors which can create a cognitive load for learners and affect their learning process. In this regard, current study aims to investigate first-year undergraduates’ beliefs about writing and relation of these beliefs to writing performance in essay writing. A total of 147 students studying in ELT department of a Turkish university participated in the research. Their domain-specific beliefs about writing were determined through the Beliefs about Writing Survey (BAWS. Writing performance was measured on an essay writing task by calculating both overall grade and six component grades. As a result, multiple regression analysis affirmed that beliefs about writing accounted for writing performance independently. Pearson correlation values showed that some beliefs about writing were adaptive and associated with higher writing scores (e.g. “Adapt to the Audience”. Also, some belief subcategories were associated with each other. The results of the present study have been discussed along with the related literature on beliefs about writing and writing performance. Implications/suggestions related to the coursework, writing practices and future research have been presented.

  8. The Effect of Two Types of Corrective Feedback on EFL Learners’ Writing Skill

    Directory of Open Access Journals (Sweden)

    Sina Soltanabadi Farshi

    2015-02-01

    Full Text Available The purpose of this study was to compare the effects of two types of corrective feedback on EFL learners’ writing skill. Thirty five advanced learners in three groups participated in this study. Structures of written texts were taught in all three classes during fourteen sessions of treatment; and each session, a related topic was given and the learners were asked to write about it. In class A, the learners had to deliver their assignments to the teacher in classroom; then the teacher wrote the corrective notes on their papers and gave their papers back the next session. In class B, students had to write their assignments on their electronic instruments, and after that send written tasks via email to the teacher, and he also sent the corrective comments on their errors through email. In class C, as control group, no corrective feedback was given to learners’ errors in their written tasks. Moreover, in class C, learners were free to deliver their writings whether in class or by email. The obtained results showed both methods to be effective since the scores of both experimental groups were significantly higher than the scores of control group, but electronic feedback was more effective and profitable than traditional type; because scores of the learners in group B (Electronic feedback were significantly higher than class C (Traditional feedback. Keywords: writing skill, corrective feedback, electronic feedback, traditional feedback

  9. Fluency First: Reversing the Traditional ESL Sequence.

    Science.gov (United States)

    MacGowan-Gilhooly, Adele

    1991-01-01

    Describes an ESL department's whole language approach to writing and reading, replacing its traditional grammar-based ESL instructional sequence. Reports the positive quantitative and qualitative results of the first three years of using the new approach. (KEH)

  10. Effects of disfluency in writing.

    Science.gov (United States)

    Medimorec, Srdan; Risko, Evan F

    2016-11-01

    While much previous research has suggested that decreased transcription fluency has a detrimental effect on writing, there is recent evidence that decreased fluency can actually benefit cognitive processing. Across a series of experiments, we manipulated transcription fluency of ostensibly skilled typewriters by asking them to type essays in two conditions: both-handed and one-handed typewriting. We used the Coh-Metrix text analyser to investigate the effects of decreased transcription fluency on various aspects of essay writing, such as lexical sophistication, sentence complexity, and cohesion of essays (important indicators of successful writing). We demonstrate that decreased fluency can benefit certain aspects of writing and discuss potential mechanisms underlying disfluency effects in essay writing. © 2016 The British Psychological Society.

  11. Coordination processes in computer supported collaborative writing

    NARCIS (Netherlands)

    Kanselaar, G.; Erkens, Gijsbert; Jaspers, Jos; Prangsma, M.E.

    2005-01-01

    In the COSAR-project a computer-supported collaborative learning environment enables students to collaborate in writing an argumentative essay. The TC3 groupware environment (TC3: Text Composer, Computer supported and Collaborative) offers access to relevant information sources, a private notepad, a

  12. A Community of Writers: Peer Tutor Training for Writing Center Techniques Which Foster Dialogue in the Writing Process.

    Science.gov (United States)

    Houston, Linda; Johnson, Candice

    After much trial and error, the Agricultural Technical Institute of the Ohio State University (ATI/OSO) discovered that training of writing lab tutors can best be done through collaboration of the Writing Lab Coordinator with the "Development of Tutor Effectiveness" course offered at the institute. The ATI/OSO main computer lab and…

  13. A Structural Equation Model of the Writing Process in Typically Developing Sixth Grade Children

    Science.gov (United States)

    Koutsoftas, Anthony D.

    2010-01-01

    Educational reform initiatives of the last decade have focused on the three R's: reading, writing, and arithmetic, with writing receiving the least attention in the research literature (National Commission on Writing, 2003). Studies of writing performance in United States schoolchildren indicate that many are writing only at basic levels. The…

  14. Complex transmission in a literate music tradition: a case study

    NARCIS (Netherlands)

    de Groot, R.

    2008-01-01

    How is it possible to arrive at musical sound from a written document? Is it possible by reading only? Usually a clear distinction is made between oral and written traditions in music. However, written music traditions cannot work by transmission through writing alone. Oral transmission is always

  15. Children's high-level writing skills: development of planning and revising and their contribution to writing quality.

    Science.gov (United States)

    Limpo, Teresa; Alves, Rui A; Fidalgo, Raquel

    2014-06-01

    It is well established that the activity of producing a text is a complex one involving three main cognitive processes: Planning, translating, and revising. Although these processes are crucial in skilled writing, beginning and developing writers seem to struggle with them, mainly with planning and revising. To trace the development of the high-level writing processes of planning and revising, from Grades 4 to 9, and to examine whether these skills predict writing quality in younger and older students (Grades 4-6 vs. 7-9), after controlling for gender, school achievement, age, handwriting fluency, spelling, and text structure. Participants were 381 students from Grades 4 to 9 (age 9-15). Students were asked to plan and write a story and to revise another story by detecting and correcting mechanical and substantive errors. From Grades 4 to 9, we found a growing trend in students' ability to plan and revise despite the observed decreases and stationary periods from Grades 4 to 5 and 6 to 7. Moreover, whereas younger students' planning and revising skills made no contribution to the quality of their writing, in older students, these high-level skills contributed to writing quality above and beyond control predictors. The findings of this study seem to indicate that besides the increase in planning and revising, these skills are not fully operational in school-age children. Indeed, given the contribution of these high-level skills to older students' writing, supplementary instruction and practice should be provided from early on. © 2013 The British Psychological Society.

  16. Using a Personalized System of Instruction to Improve the Writing Skills of Undergraduates.

    Science.gov (United States)

    Allen, George J.

    1984-01-01

    A traditional abnormal psychology course was restructured to emphasize writing using a personalized system of instruction. The major benefit was that students showed significant improvement in writing style and clarity. The major costs of the course were the high student turnover and the abnormally large workload for students and instructor. (RM)

  17. (un) Disciplining the nurse writer: doctoral nursing students' perspective on writing capacity.

    Science.gov (United States)

    Ryan, Maureen M; Walker, Madeline; Scaia, Margaret; Smith, Vivian

    2014-12-01

    In this article, we offer a perspective into how Canadian doctoral nursing students' writing capacity is mentored and, as a result, we argue is disciplined. We do this by sharing our own disciplinary and interdisciplinary experiences of writing with, for and about nurses. We locate our experiences within a broader discourse that suggests doctoral (nursing) students be prepared as stewards of the (nursing) discipline. We draw attention to tensions and effects of writing within (nursing) disciplinary boundaries. We argue that traditional approaches to developing nurses' writing capacity in doctoral programs both shepherds and excludes emerging scholarly voices, and we present some examples to illustrate this dual role. We ask our nurse colleagues to consider for whom nurses write, offering an argument that nurses' writing must ultimately improve patient care and thus would benefit from multiple voices in writing. © 2013 John Wiley & Sons Ltd.

  18. The Effects of Wiki-Based Recursive Process Writing on Chinese Narrative Essays for Chinese as a Second Language (CSL) Students in Singapore

    Science.gov (United States)

    Chin, Chee Kuen; Gong, Cheng; Tay, Boon Pei

    2015-01-01

    This paper reports on the effects of using wiki-based process writing in Singapore's Chinese as a Second Language (CSL) scenarios. A group of 32 Secondary 1 (Seventh Grade) students ("Students") received various forms of online scaffolding at different steps of the writing process over two years. A whole set of teaching materials on 45…

  19. The Cognitive Demands of Writing

    NARCIS (Netherlands)

    Torrance, Mark; Jeffery, Gaynor

    1999-01-01

    Writing is a complex activity that places demands on cognitive resources. This volume presents original theory and research exploring the ways in which the sub-components of the writing process (generating and organizing content, producing grammatical sentences, etc.) differ in their cognitive

  20. Rubrics: Heuristics for Developing Writing Strategies

    Science.gov (United States)

    De La Paz, Susan

    2009-01-01

    Rubrics are an integral part of many writing programs, and they represent elements of good writing in essays, stories, poems, as well as other genres and forms of text. Although it is possible to use rubrics to teach students about the processes underlying effective writing, a more common practice is to use rubrics as a means of assessment, after…

  1. Communication pitfalls of traditional history and physical write-up documentation.

    Science.gov (United States)

    Brown, Jeffrey L

    2017-01-01

    An unofficial standardized "write-up" outline is commonly used for documenting history and physical examinations, giving oral presentations, and teaching clinical skills. Despite general acceptance, there is an apparent discrepancy between the way clinical encounters are conducted and how they are documented. Fifteen medical school websites were randomly selected from search-engine generated lists. One example of a history and physical write-up from each of six sites, one teaching outline from each of nine additional sites, and recommendations for documentation made in two commonly used textbooks were compared for similarities and differences. Except for minor variations in documenting background information, all sampled materials utilized the same standardized format. When the examiners' early perceptions of the patients' degree of illness or level of distress were described, they were categorized as "general appearance" within the physical findings. Contrary to clinical practice, none of the examples or recommendations documented these early perceptions before chief concerns and history were presented. An examiner's initial perceptions of a patient's affect, degree of illness, and level of distress can influence the content of the history, triage decisions, and prioritization of likely diagnoses. When chief concerns and history are shared without benefit of this information, erroneous assumptions and miscommunications can result. This survey confirms common use of a standardized outline for documenting, communicating, and teaching history-taking and physical examination protocol. The present outline shares early observations out of clinical sequence and may provide inadequate context for accurate interpretation of chief concerns and history. Corrective actions include modifying the documentation sequence to conform to clinical practice and teaching contextual methodology for sharing patient information.

  2. Discontinuities during UV writing of waveguides

    DEFF Research Database (Denmark)

    Svalgaard, Mikael; Harpøth, Anders; Andersen, Marc

    2005-01-01

    UV writing of waveguides can be hampered by discontinuities where the index change process suddenly shuts down. We show that thermal effects may account for this behaviour.......UV writing of waveguides can be hampered by discontinuities where the index change process suddenly shuts down. We show that thermal effects may account for this behaviour....

  3. It's Not "Just the Facts, Ma'am": Writing for Success in Career Education

    Science.gov (United States)

    Converse, Caren Wakerman

    2012-01-01

    Writing across the secondary curriculum has been viewed primarily through the lens of traditional academic courses taught in comprehensive high schools. In this paper the author draws on her past experience as a criminal justice teacher at a career and technical high school to describe writing that facilitates and demonstrates learning of subject…

  4. Scientific writing: strategies and tools for students and advisors.

    Science.gov (United States)

    Singh, Vikash; Mayer, Philipp

    2014-01-01

    Scientific writing is a demanding task and many students need more time than expected to finish their research articles. To speed up the process, we highlight some tools, strategies as well as writing guides. We recommend starting early in the research process with writing and to prepare research articles, not after but in parallel to the lab or field work. We suggest considering scientific writing as a team enterprise, which needs proper organization and regular feedback. In addition, it is helpful to select potential target journals early and to consider not only scope and reputation, but also decision times and rejection rates. Before submission, instructions to authors and writing guides should be considered, and drafts should be extensively revised. Later in the process editor's and reviewer's comments should be followed. Our tips and tools help students and advisors to structure the writing and publishing process, thereby stimulating them to develop their own strategies to success. Copyright © 2014 The International Union of Biochemistry and Molecular Biology.

  5. How to write a good scientific paper

    CERN Document Server

    Mack, Chris A

    2018-01-01

    Many scientists and engineers consider themselves poor writers or find the writing process difficult. The good news is that you do not have to be a talented writer to produce a good scientific paper, but you do have to be a careful writer. In particular, writing for a peer-reviewed scientific or engineering journal requires learning and executing a specific formula for presenting scientific work. This book is all about teaching the style and conventions of writing for a peer-reviewed scientific journal. From structure to style, titles to tables, abstracts to author lists, this book gives practical advice about the process of writing a paper and getting it published.

  6. The Effect of the Process Approach on Students’ Writing Success: A Meta-Analysis

    OpenAIRE

    Kansızoğlu, Hasan Basri; Bayrak Cömert, Özlem

    2017-01-01

    Theaim of this study is to identify -by merging the results of a large number ofstudies conducted in related literature review- at which level “writing as aprocess” approach affects students’ writing success. Additionally, this paperinvestigates whether the writing success level differentiates depending oncertain study characteristic. Meta-analysis has been preferred as researchmethod in this study and among the studies which are associated withprocess-based writing practice, only the results...

  7. Using Tracking Software for Writing Instruction

    Science.gov (United States)

    Yagi, Sane M.; Al-Salman, Saleh

    2011-01-01

    Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product;…

  8. A Structural Equation Model of the Writing Process in Typically-Developing Sixth Grade Children

    Science.gov (United States)

    Koutsoftas, Anthony D.; Gray, Shelley

    2013-01-01

    The purpose of this study was to evaluate how sixth grade children planned, translated, and revised written narrative stories using a task reflecting current instructional and assessment practices. A modified version of the Hayes and Flower (1980) writing process model was used as the theoretical framework for the study. Two hundred one…

  9. Individual differences in children's working memory and writing skill.

    Science.gov (United States)

    Swanson, H L; Berninger, V W

    1996-11-01

    The purpose of this research is to address (a) whether individual differences in working memory (WM) and writing are related to a general or process-specific system, (b) whether WM tasks operate independently of phonological short-term memory (STM) on measures of writing and reading, and (c) whether working memory predicts variance in writing beyond that predicted by reading alone. The present study correlated several WM and phonological STM measures with writing and reading measures. The study showed among the memory measures that a four-factor model reflecting phonological STM, verbal WM span, executive processing, and visual-spatial WM span best fit the multivariate data set. Working memory was correlated significantly with a number of writing measures, particularly those related to text generation. WM measures contributed unique variance to writing that was independent of reading skill, and STM measures best predicted transcription processes and reading recognition, whereas WM measures best predicted text generation and reading comprehension. Both verbal and visual-spatial working memory measures predicted reading comprehension, whereas only WM measures that reflect executive processing significantly predicted writing. In general, the results suggest that individual differences in children's writing reflect a specific capacity system, whereas reading comprehension draws upon a multiple capacity system.

  10. THE USE OF RESEARCH PAPER WRITING INSTRUCTIONAL MATERIALSTO IMPROVE STUDENTS‟ACADEMIC WRITING: A CLASSROOM ACTION RESEARCH

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-04-01

    Full Text Available Most of students in English Education Department of IKIP PGRI Bojonegoro frequently consider that academic writing, in term of writing scientific paper, is not easy task to do. The result of their academic writing performance at preliminary research indicated that they achieved low scores in writing a scientific article. Consequently, they are not motivated in academic writing. For this case, I used Research Paper Writing Instructional Materials as sources in teaching and learning. This research investigatedwhether the use of Research Paper Writing Instructional Materials can improve students‘ academic writing andhow class situation is when Research Paper Writing Instructional Materials are used as a source of teaching and learning process. This is a Classroom Action Research (CAR which is conducted at the fourth semester students of English Education Department of IKIP PGRI Bojonegoro in the academic year of 2014/2015. This research was done in two cycles. Each cycle consisted of four steps: Planning, Acting, Observing, and Reflecting. The qualitative data were collected through observation and interview. The quantitative data were collected through test. The research findings revealed that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and improve students‘ motivation in academic writing class.Derived from the findings, it can be concluded that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and class situation. Therefore, it is recommended for the lecturers to use Research Paper Writing Instructional Materialsas it can improve students‘ academic writing as well as class situation.

  11. Children’s Democratic Experiences in a Collective Writing Process – Analysing Classroom Interaction in Terms of Deliberation

    Directory of Open Access Journals (Sweden)

    Eva Hultin

    2017-03-01

    Full Text Available The aim of this study is twofold: firstly, it aims to explore the interactional conditions in terms of democratic qualities constituted in collective writing in a primary school classroom; and secondly, it aims to examine whether a set of deliberative criteria is fruitful as an analytical tool when studying classroom interaction. Theoretically, I turn to New Literacy Studies for understanding the writing classroom as a literacy practice and the actual (collective writing as literacy events. The study has an ethnographic approach in which classroom observations were conducted during a collective writing process involving six nine-year-old children and their teacher. The observations included, two lessons, divided into 3 hours, which were observed, videotaped, and transcribed. The teacher had planned for a strict interactional or didactical order during the collective writing in which the children were to respond individually. However, the children responded in a different manner by starting a vivid dialogue in which they negotiated both the form and the content of the story. The analysis shows some deliberative qualities in this classroom interaction, while some other qualities were not evident. Furthermore, the analysis showed that the set of deliberative criteria was useful in visualizing both existing deliberative qualities in the interaction and the potential for developing such qualities.

  12. Student Perceptions of Scholarly Writing

    Directory of Open Access Journals (Sweden)

    Shirley Peganoff O'Brien

    2016-07-01

    Full Text Available Learning the process of scholarly writing, including the significance of peer review, is an essential element in the preparation of students for professional practice. This descriptive research study, using Scholarship of Teaching and Learning methodology, explores one approach to teaching scholarly writing in an occupational science/occupational therapy curriculum. The writing assignment was designed to offer multiple points for feedback and revision and instructional features to reinforce learning. A survey of students [n = 169] participating in this scholarly writing project was conducted yearly to gather their perceptions of learning. The results revealed four key elements: instructional strategies are needed to support scholarly writing, students value explicit instructor feedback, a successful writing experience opens the possibility for students to write in their professional future, and students will develop the habits of a writer given structure and pedagogical considerations in the assignment construction. This experience shows students will work to achieve the expected standard for scholarship once writing is made an essential part of the course and their efforts are supported by scaffolding the assignment. Through this experience, it was also learned students need opportunities for repetition and practice to refine scholarly writing. Suggestions for future research are proposed.

  13. Study of science students' expectation for university writing courses

    Directory of Open Access Journals (Sweden)

    Shanthi Nadarajan

    2013-07-01

    Full Text Available The New Malaysia Education Blueprint (2012 states that the private sector continues to have concerns for Malaysian graduates’ English proficiency. The present study investigates the views and expectations of science students taking English courses in a public university. The findings revealed that learners saw opportunities to communicate and job applications process as important soft skills. They preferred practical learning methods above traditional teaching methods. Learners considered group performance, personal attitudes and online activities as important learning opportunities, while factual knowledge, report writing were least supported despite the fact that the majority viewed both assessments and instructional process as relevant. The data revealed that though they were dissatisfied with their existing level of proficiency, many students continued to expect an A for their course. An assessment of the learner’s’ language ability revealed that language ability was less under the learner’s control and more dependent on learner proficiency level. Taken together, this study suggests that the curriculum for the Professional Writing course should be highly diversified and balanced, with some emphasis on getting less proficient learners to read and improve their grammar skills while better students should be given opportunities to develop creative talents and interpersonal skills.

  14. Review Essay: On Transparency, Epistemologies, and Positioning in Writing Introductory Qualitative Research Texts

    Directory of Open Access Journals (Sweden)

    Audra Skukauskaite

    2011-11-01

    Full Text Available Building on Günter MEY's (2000, para. 2 argument that "reviews should help to promote additional perspectives … and to open up new scientific discourses," in this essay review of Carol GRBICH's (2007 "Qualitative Data Analysis," we present an approach to reading texts ethnographically that enabled us to uncover how the choices GRBICH makes in positioning readers and in choosing particular ways of representing select qualitative approaches inscribes particular worlds and possibilities for qualitative research. In her text GRBICH argues that authors position readers through the ways in which they report and write about their work. In this review essay we use this argument as a basis to uncover how GRBICH positions readers, researchers, those researched, different qualitative traditions and perspectives as well as herself as an author of the text, to lay a foundation for engaging readers of FQS in a hermeneutic dialogue (KELLY, 2006 about the authoring and reviewing processes and their inter-relationships. Through this dialogue, we seek to develop with readers of FQS a new discourse about the necessity of transparency in the position that authors and reviewers take in reporting/reviewing of research, and in representing the traditions that differ from the author's/reviewer's own tradition(s. Our goal in framing this essay review as a hermeneutical dialogue is to identify previously unexamined issues of how the writing of introductory texts is shaped by the often invisible perspectives of authors, which in turn leads to a particular inscription of what counts as qualitative research. URN: http://nbn-resolving.de/urn:nbn:de:0114-fqs1201233

  15. Writing(s and subjectivation: From the modern citizen to the contemporary young subjectivity

    Directory of Open Access Journals (Sweden)

    Monica Maria Bermudez Grajales

    2015-12-01

    Full Text Available The present text is related to the preponderant status that writing occupied in the political configuration of the modern citizen. Writing practices conceived for development of autonomy, self-control and domestication of passions were some of the ideas that promoted the construction of a rational individual who was able to participate in the public arena and activities conceived by the Nation-State. Nowadays, the meaning of modern writing has varied. Other writing styles are being developed in parallel to the economic, social and technological transitions. In fact, we do not require such a kind of modern writing as the only condition for the political participation. At present, social movements and the communicative and digital interactions of many youngsters, and their hyper textual narratives, show us a vindication of the oral, resounding and iconic as process of a political subjectivity that does not become a rational one in the modern sense but in a nomadic, vernacular and sensitive one.

  16. Methodological issues in using sequential representations in the teaching of writing

    Directory of Open Access Journals (Sweden)

    Chien-Ching Lee

    2007-12-01

    Full Text Available This study looks at a specific application of Ainsworth's conceptual framework for learning with multiple representations in the context of using multiple sequential graphic organizers that are student-generated for a process-writing task. Process writing refers to writing that consists of multiple drafts. It may be a process of re-writing without feedback or re-writing based on feedback where the teacher or peers will provide feedback on the original draft and then the students will revise their writing based on the feedback given. The objective was to explore how knowledge of students' cognitive processes when using multiple organizers can inform the teaching of writing. The literature review analyzes the interaction of the design, function and task components of the framework; culminating in instructional approaches for using multiple organizers for classes with students of different writing abilities. Extended implications for designers of concept mapping tools based on these approaches are provided.

  17. Computer Support for the Rhythms of Writing.

    Science.gov (United States)

    Sharples, Mike

    1994-01-01

    Suggests that writing is a rhythmic activity. Claims that the combined effect of rapidly switching between composing and revising is to set up complex cycles of engagement and reflection that may disrupt the flow of composition. Describes "Writer's Assistant," a writing environment designed to study computer support for writing processes. Proposes…

  18. Fostering Topic Knowledge: Essential for Academic Writing

    Science.gov (United States)

    Proske, Antje; Kapp, Felix

    2013-01-01

    Several researchers emphasize the role of the writer's topic knowledge for writing. In academic writing topic knowledge is often constructed by studying source texts. One possibility to support that essential phase of the writing process is to provide interactive learning questions which facilitate the construction of an adequate situation…

  19. Merging a Metalinguistic Grammar Approach with L2 Academic Process Writing: ELLs in Community College

    Science.gov (United States)

    Camhi, Paul J.; Ebsworth, Miriam Eisenstein

    2008-01-01

    This action research study evaluates a classroom approach incorporating a reflective, metacognitive component within a second language process-oriented writing environment. Inspired by the literature and developed by the first author, this approach seeks to provide English language learners (ELLs) with a command of metalinguistic principles…

  20. Written Mathematical Traditions in Ancient Mesopotamia: Knowledge, ignorance, and reasonable guesses

    DEFF Research Database (Denmark)

    Høyrup, Jens

    of the latter tradition to type of writing after the Old Babylonian period is not well elucidated by the sources. Much worse, however, is the situation if we consider the sophisticated mathematics created during the Old Babylonian period. Its connection to the school institution and the new literate style......Writing, as well as various mathematical techniques, were created in proto-literate Uruk in order to serve accounting, and Mesopotamian mathematics as we know it was always expressed in writing. In so far, mathematics generically regarded was always part of the generic written tradition. However......, once we move away from the generic perspective, things become much less easy. If we look at basic numeracy from Uruk IV until Ur III, it is possible to point to continuity and thus to a “tradition”, and also if we look at place-value practical computation from Ur III onward – but already the relation...

  1. Scaffolding Collaborative Technical Writing with Procedural Facilitation and Synchronous Discussion

    Science.gov (United States)

    Yeh, Shiou-Wen; Lo, Jia-Jiunn; Huang, Jeng-Jia

    2011-01-01

    With the advent of computer technology, researchers and instructors are attempting to devise computer support for effective collaborative technical writing. In this study, a computer-supported environment for collaborative technical writing was developed. This system (Process-Writing Wizard) provides process-oriented scaffolds and a synchronous…

  2. Writing from the Margins of Myself

    Directory of Open Access Journals (Sweden)

    Luci Gorell Barnes

    2014-02-01

    Full Text Available In my doctoral studies at the University of Bristol, I took part in an experimental process of free writing, and my paper begins with the short story that emerged from this. During the taught unit we discussed ethical dilemmas that might arise from writing as inquiry, and in the commentary that follows my story, I reflect on how this practice requires an awareness of care for self and others. I describe my process, and discuss how it allowed me to transcend my dominant voice and restructure some of my ideas about what I study. I propose that by writing fiction I was able to consider complex issues in a way that opened up multiple meanings for me, and offered different views into social experience. I was guided by my practice as a visual artist and inspired by the writings of Ursula Le Guin (2004, Miller Mair (1989, and Ronald Pelias (2004, who encouraged me to listen in an empathic way, and write from the heart.

  3. Writing Stories in the Sciences

    Science.gov (United States)

    Lee, Eunbae; Maerz, John C.

    2015-01-01

    Writing stories is advocated as an excellent means of learning the process of science; however, little is understood about students' experiences of engaging in story writing in postsecondary science courses. The study described in this article was designed to improve the practice of using stories in science by examining students' lived experience…

  4. Enhancing the Lecture: Revitalizing the Traditional Format.

    Science.gov (United States)

    Bonwell, Charles C.

    1996-01-01

    The traditional lecture format of college courses can be enhanced by including active learning designed to further course goals of learning knowledge, developing skills, or fostering attitudes. Techniques suggested include using pauses, short writing periods, think-pair-share activities, formative quizzes, lecture summaries, and several assessment…

  5. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  6. Landscape, Process and Power: Re-evaluating Traditional Environmental Knowledge

    Directory of Open Access Journals (Sweden)

    Colleen Marie O'Brien

    2010-09-01

    Full Text Available Review of Landscape, Process and Power: Re-evaluating Traditional Environmental Knowledge. Serena Heckler, ed. 2009. Berghahn Books, New York. Pp. 304, 21 illustrations, bibliography, index. $95.00 (hardback. ISBN 978-1-84545-549-1

  7. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  8. AN ANALYSIS OF STUDENTS’ FREE WRITING

    Directory of Open Access Journals (Sweden)

    Rahmi Phonna

    2014-05-01

    Full Text Available Writing contains a compound process to be expressed that entails the writer to pay more attention on linking appropriate words together. Most linguists agree that a writer should attain high level of understanding to pursue the lifelong learning of academic writing pedagogy. This study aimed to analyze the students’ free writing by identifying the category of mistakes that often appear on their writing assignment. 28 free writings were collected, as the main data, from 28 students as the samples for this study. They were then analyzed by using the guidelines of correction symbols from Hogue (1996 and Oshima & Hogue (1999. The results revealed that 11 categories of grammar that often applied incorrectly on the students’ free writing. The misused of verb-agreement (V/A was the most frequent category occurred, followed by word form (Wf and Spelling (Sp. The least category of errors identified on the students’ free writing was conjunction (Conj and wrong word (Ww categories. Overall, 175 errors from different grammatical conventions were repeated in the students’ free writing.

  9. Peer scaffolding in an EFL writing classroom: An investigation of writing accuracy and scaffolding behaviors

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2017-09-01

    Full Text Available Considering the tenets of Sociocultural Theory with its emphasis on co-construction of knowledge, L2 writing can be regarded as a co-writing practice whereby assistance is provided to struggling writers. To date, most studies have dealt with peer scaffolding in the revision phase of writing, as such planning and drafting are remained untouched. The present study examines the impact of peer scaffolding on writing accuracy of a group of intermediate EFL learners, and explores scaffolding behaviors employed by them in planning and drafting phases of writing. To these ends, 40 freshmen majoring in English Language and Literature in the University of Guilan were randomly divided into a control group and an experimental group consisting of dyads in which a competent writer provided scaffolding to a less competent one using the process approach to writing. Results of independent samples t-tests revealed that learners in the experimental group produced more accurate essays. Microgenetic analysis of one dyad’s talks showed that scaffolding behaviors used in planning and drafting phases of writing were more or less the same as those identified in the revision phase. These findings can be used to inform peer intervention in L2 writing classes, and assist L2 learners in conducting successful peer scaffolding in the planning and drafting phases of writing.

  10. Traditional fish processing: technology, quality development and evaluation

    International Nuclear Information System (INIS)

    Nketsia-Tabiri, J.

    1994-01-01

    Traditional fish processing technologies are important in the preservation and utilization of fish in many developing countries. These technologies as well as the quality of the products arc poorly defined and understood. This study therefore investigated the production, quality characteristics and utilization of traditional cured fish products using field surveys and laboratory techniques. It was found that simple traditional technologies for smoking, salting and drying are used to process fish in Ghana; infrastructural requirements for traditional fish processing have high local material input. The cured fish products have distinct sensory, physico-chemical properties and variable storage characteristics. Processors' perceptions of important quality attributes of cured fish products were linked to storage, marketing and other product delivery characteristics. Consumers' perceptions and expectations of desirable quality attributes however were found to he dependent upon the type of cured fish product and the food in which it is used. Cost was found to be the most important factor influencing the utilization of animal protein foods; other factors were nutritional quality, beliefs and food habits. Animal protein consumers showed a high preference for fish in general and cured fish products in particular. U sing central composite rotatable design for k = 3, representing salting time (0 - 24), drying temperature (40°C - 60°C) and drying time (6 - 20 hours), equations for predicting objective and subjective quality indices were developed. The critical salting time for attaining minimum moisture content were 20.5, 12 and 8.5 hours respectively for products dried at 40°C, 50°C and 60°C. At each salting time, the mean hardness score was dependent on the drying temperature and drying time whilst the mean colour and overall acceptability scores were influenced by drying temperature. It was found that the long salting time (24-72 hours) and drying time (5

  11. Improvement in the traditional processing method and nutritional quality of traditional extruded cassava-based snack (modified Ajogun).

    Science.gov (United States)

    Obadina, Adewale O; Oyewole, Olusola B; Williams, Oluwasolabomi E

    2013-07-01

    This study was carried out to investigate and improve the traditional processing method and nutritional quality of the traditional cassava snack (Ajogun). Cassava root (Manihot esculenta Crantz L.) of TME 419 variety was processed into mash (40% moisture content). The cassava mash was mixed into different blends to produce fried traditional "Ajogun", fried and baked extrudates (modified Ajogun) as snacks. These products were analyzed to determine the proximate composition including carbohydrate, fat, protein, fiber, ash, and moisture contents and functional properties such as bulk density. The results obtained for the moisture, fat, protein, and ash contents showed significant difference (P extrudates. However, there was no significant difference (P > 0.05) in the carbohydrate and fiber contents between the three samples. There was no significant difference (P > 0.05) in the bulk density of the snacks. Also, sensory evaluation was carried out on the cassava-based snacks using the 9-point hedonic scale to determine the degree of acceptability. Results obtained showed significant difference (P extrudates and control sample in terms of appearance, taste, flavor, color, aroma, texture, and overall acceptability. The highest acceptability level of the product was at 8.04 for the control sample (traditional Ajogun). This study has shown that "Ajogun", which is a lesser known cassava product, is rich in protein and fat.

  12. Writing in first and second language: empirical studies on text quality and writing processes

    NARCIS (Netherlands)

    Tillema, M.

    2012-01-01

    This thesis is about writing proficiency among students of secondary education. Due to globalization, the ability to express oneself in a language other than the first language (L1) is increasingly becoming a condition for educational success. In The Netherlands, this ‘other’ or second language (L2)

  13. [Progress of sulfur fumigation and modern processing technology of Chinese traditional medicines].

    Science.gov (United States)

    Lu, Tu-Lin; Shan, Xin; Li, Lin; Mao, Chun-Qin; Ji, De; Yin, Fang-Zhou; Lang, Yong-Ying

    2014-08-01

    Infestation, moldy and other phenomenon in the processing and storage of Chinese herbal medicines is a problem that faced in the production of Chinese traditional medicine. The low productivity of traditional processing methods can not guarantee the quality of Chinese herbal medicines. Sulfur fumigation is the first choice of grassroots to process the Chinese herbal medicine with its low cost and easy operation. Sulfur fumigation can solve some problems in the processing and storage of Chinese herbal medicines, but modern pharmacological studies show that long-term use of Chinese traditional medicine which is fumigated by sulfur can cause some serious harm to human liver, kidney and other organs. This paper conducts a review about the application history of sulfur fumigation, its influence to the quality of Chinese herbal medicines as well as domestic and foreign limits to sulfur quantity, and a brief introduction of the status of modern processing technologies in the processing of food and some Chinese herbal medicines, the problems ex- isting in the Chinese herbal medicines processing, which can provide a reference basis for the further research, development and application of investigating alternative technologies of sulfur fumigation.

  14. Teaching the Essential Understanding of Creative Writing

    OpenAIRE

    Kallionpää, Outi

    2010-01-01

    In my Master´s thesis I have researched teaching of creative writing for high school students. I have also created the concept called the Essential Understanding of Creative Writing, which I think is the base and the starting point of teaching creative writing. The term is hypothesis and it roughly means the subjectively understood essence of creative work and writing process, as well as the strengthening the inner motivation and author identity by writer. Collaboration seems to support the E...

  15. Democratizing Academic Writing: A Revision of an Experience of Writing an Autoethnographic Dissertation in Color

    Science.gov (United States)

    Polanco, Marcela

    2013-01-01

    In this paper, I revise my experience of writing an autoethnographic (Ellis, 2004) dissertation in the field of family therapy as a Colombian mestiza. I discuss how I grappled with my writing, and, in the process, stumbled into matters of democratizing texts. I problematize male-dominant academic standards, telling of the tensions when maneuvering…

  16. The Effect of Process Oriented Writing Activities on the Achievement and Attitude of the Preservice Primary School Teachers: An Example of Mixed Method Study

    Science.gov (United States)

    Özenç, Emine Gül

    2016-01-01

    The purpose of this study is to find out whether process oriented writing exercises/activities have any effect on the achievement and attitude of preservice teachers as well as to set forth the opinions of primary preservice teachers on process oriented writing approach. In the research one classroom was designated as experimental group (N = 35)…

  17. Writing to Learn Statistics in an Advanced Placement Statistics Course

    Science.gov (United States)

    Northrup, Christian Glenn

    2012-01-01

    This study investigated the use of writing in a statistics classroom to learn if writing provided a rich description of problem-solving processes of students as they solved problems. Through analysis of 329 written samples provided by students, it was determined that writing provided a rich description of problem-solving processes and enabled…

  18. Writing learning cases for an information literacy tutorial

    Directory of Open Access Journals (Sweden)

    Gunhild Austrheim

    2010-09-01

    Full Text Available The research and writing processes are often hidden mysteries to our students. A key point in the online tutorial Search and Write (Søk and Skriv has been to supply our students with tools to handle these processes. Learning cases embedded in the tutorial allow us to demonstrate a variety of working techniques and to better cater for a diverse student population. The tutorial can be used as an independent resource for students and as a teaching aid for both library sessions on information literacy and for faculty-led sessions on academic writing. Our tutorial is available in Norwegian and in English and thereby the tutorial can be used with both local and international students. An online tutorial is aimed at all students and therefore the information literacy content is of a general kind. The pedagogical foundation for the Search and Write tutorial is in contextual learning. Adding context to our general content has been important to us and we decided to develop learning cases for this purpose. In our online tutorial we have developed three sample student blogs, Kuhlthau’s information search process functions as a template in structuring the students’ stories. The blogs are learning cases, developed with the intent of illustrating various aspects of academic writing tasks. The blog stories are idealized and touch upon many of the known stumbling stones for student writers. Contextualising the search and write process like this let us explore the diversity of student assignments and from various fields of study. When our real-life students use Search and Write they may use their own research question as a point of departure. They can read the blog stories and relate these stories to their own experiences. They can use the How to brainstorm-tips provided in Sofie’s blog. Christian’s use of tutors, library staff and his writing group can provide guidance on who to ask for help. For students writing literature reviews Oda’s systematic

  19. Journal Writing: Enlivening Elementary Linear Algebra.

    Science.gov (United States)

    Meel, David E.

    1999-01-01

    Examines the various issues surrounding the implementation of journal writing in an undergraduate linear algebra course. Identifies the benefits of incorporating journal writing into an undergraduate mathematics course, which are supported with students' comments from their journals and their reflections on the process. Contains 14 references.…

  20. [Study on moisture sorption process model and application traditional Chinese medicine extract powder].

    Science.gov (United States)

    Lin, Tingting; He, Yan; Xiao, Xiong; Yuan, Liang; Rao, Xiaoyong; Luo, Xiaojian

    2010-04-01

    Study on the moisture sorption process characteristics of traditional Chinese medicine extract powder, to establish a mathematical model, provide a new method for in-depth study for moisture sorption behavior of traditional Chinese medicine extract powder and a reference for determine the production cycle, and predict product stability. Analyzed moisture absorption process of traditional Chinese medicine extract powder by utilized the law of conservation of mass and Fick's first law to establish the double exponential absorption model, fitted the moisture absorption data and compared with other commonly used five kinds of model to estimate the double-exponential absorption model. The statistical analysis showed that the coefficient of determination (R2) of double exponential model, Weibull distribution model and first order kinetics model were large, but the residues sum of squares (RSS) and AIC values were small. Synthesized the practical application meaning, we consided that the double exponential model was more suitable for simulating the process of Chinese medicine extract powder moisture absorption. The double exponential is suitable for characterization the process of traditional Chinese medicine extract moisture absorption.

  1. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  2. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  3. Feedback providing improvement strategies and reflection on feedback use: Effects on students' writing motivation, process, and performance

    NARCIS (Netherlands)

    Duijnhouwer, H.; Prins, F.J.; Stokking, K.M.

    2012-01-01

    This study investigated the effects of feedback providing improvement strategies and a reflection assignment on students’ writing motivation, process, and performance. Students in the experimental feedback condition (n = 41) received feedback including improvement strategies, whereas students in the

  4. Executive functions in becoming writing readers and reading writers: note taking and report writing in third and fifth graders.

    Science.gov (United States)

    Altemeier, Leah; Jones, Janine; Abbott, Robert D; Berninger, Virginia W

    2006-01-01

    Results are reported for a study of 2 separate processes of report writing-taking notes while reading source material and composing a report from those notes-and related individual differences in executive functions involved in integrating reading and writing during these writing activities. Third graders (n = 122) and 5th graders (n = 106; overall, 127 girls and 114 boys) completed two reading-writing tasks-read paragraph (mock science text)-write notes and use notes to generate written report, a reading comprehension test, a written expression test, four tests of executive functions (inhibition, verbal fluency, planning, switching attention), and a working memory test. For the read-take notes task, the same combination of variables was best (explained the most variance and each variable added unique variance) for 3rd graders and 5th graders: Wechsler Individual Achievement Test-Second Edition (WIAT-II) Reading Comprehension, Process Assessment of the Learner Test for Reading and Writing (PAL) Copy Task B, WIAT-II Written Expression, and Delis-Kaplan Executive Function System (D-KEFS) Inhibition. For the use notes to write report task, the best combinations of variables depended on grade level: For 3rd graders, WIAT-II Reading Comprehension, WIAT-II Written Expression, D-KEFS Verbal Fluency, and Tower of Hanoi; for 5th graders, WIAT-II Reading Comprehension, D-KEFS Verbal Fluency, WIAT-II Written Expression, and PAL Alphabet Task. These results add to prior research findings that executive functions contribute to the writing development of elementary-grade students and additionally support the hypothesis that executive functions play a role in developing reading-writing connections.

  5. Researching the black box of wrItIng processes in higher education

    DEFF Research Database (Denmark)

    Smedegaard Ernst Bengtsen, Søren; Jensen, Gry Sandholm

    Paper Presenter/author: Søren S.E. Bengtsen Title/position: PhD, Research assistant, Centre for Teaching Development and Digital Media, Aarhus University Postal Address: Paludan Müllers-Vej 48, 8200 Aarhus N. E-mail: ssbe@dpu.dk Co-author: Gry S. Jensen (co-author and co-presenter) Supervisory......, we wish to render visible such student thinking and writing processes. Drawing on phenomenological and linguistic research methods (Manen 2002; Manen 1997; Johnstone 1996) we argue that a new vocabulary for supervision on student texts must be developed for supervisors to more fully grasp...

  6. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  7. Scientific writing: a randomized controlled trial comparing standard and on-line instruction

    OpenAIRE

    Phadtare, Amruta; Bahmani, Anu; Shah, Anand; Pietrobon, Ricardo

    2009-01-01

    Abstract Background Writing plays a central role in the communication of scientific ideas and is therefore a key aspect in researcher education, ultimately determining the success and long-term sustainability of their careers. Despite the growing popularity of e-learning, we are not aware of any existing study comparing on-line vs. traditional classroom-based methods for teaching scientific writing. Methods Forty eight participants from a medical, nursing and physiotherapy background from US ...

  8. Issues of IT-Professionals Training in Traditional Educational Process

    Science.gov (United States)

    Eminov, Farid; Golitsyna, Irina

    2017-01-01

    The paper presents issues of modern IT-specialists training. Formation of information-educational environment of IT-professionals is discussed. Studying of enterprise infocommunication infrastructure and its management features within a framework of the traditional educational process is considered. [For the complete proceedings, see ED579395.

  9. Writing Plays Using Creative Problem-Solving.

    Science.gov (United States)

    Raiser, Lynne; Hinson, Shirley

    1995-01-01

    This article describes a project which involved inner city elementary grade children with disabilities in writing and performing their own plays. A four-step playwriting process focuses on theme and character development, problem finding, and writing dialogue. The project has led to improved reading skills, attention, memory skills,…

  10. How the Writing Context Shapes College Students' Strategies for Writing from Sources. Technical Report No. 16.

    Science.gov (United States)

    Nelson, Jennie; Hayes, John R.

    Observing the composing processes of students working over real time in naturalistic settings, two exploratory studies asked: (1) What skills and assumptions do freshman and advanced writers invoke when they are searching for information to be used in writing? (2) What strategies and goals do students bring to a typical writing-from-sources task…

  11. Business plan writing for physicians.

    Science.gov (United States)

    Cohn, Kenneth H; Schwartz, Richard W

    2002-08-01

    Physicians are practicing in an era in which they are often expected to write business plans in order to acquire, develop, and implement new technology or programs. This task is yet another reminder of the importance of business principles in providing quality patient care amid allocation of increasingly scarce resources. Unfortunately, few physicians receive training during medical school, residencies, or fellowships in performing such tasks. The process of writing business plans follows an established format similar to writing a consultation, in which the risks, benefits, and alternatives to a treatment option are presented. Although administrative assistance may be available in compiling business plans, it is important for physicians to understand the rationale, process, and pitfalls of business planning. Writing a business plan will serve to focus, clarify, and justify a request for scarce resources, and thus, increase its chance of success, both in terms of funding and implementation. A well-written business plan offers a plausible, coherent story of an uncertain future. Therefore, a business plan is not merely an exercise to obtain funding but also a rationale for investment that can help physicians reestablish leadership in health care.

  12. Towards a more explicit writing pedagogy: The complexity of teaching argumentative writing

    Directory of Open Access Journals (Sweden)

    Jacqui Dornbrack

    2014-04-01

    Full Text Available Advances in technology, changes in communication practices, and the imperatives of the workplace have led to the repositioning of the role of writing in the global context. This has implications for the teaching of writing in schools. This article focuses on the argumentative essay, which is a high-stakes genre. A sample of work from one Grade 10 student identified as high performing in a township school in Cape Town (South Africa is analysed. Drawing on the work of Ormerod and Ivanic, who argue that writing practices can be inferred from material artifacts, as well as critical discourse analysis, we show that the argumentative genre is complex, especially for novice first additional language English writers. This complexity is confounded by the conflation of the process and genre approaches in the Curriculum and Assessment Policy Statement (CAPS document. Based on the analysis we discuss the implications of planning, particularly in relation to thinking and reasoning, the need to read in order to write argument and how social and school capital are insufficient without explicit instruction of the conventions of this complex genre. These findings present some insights into particular input needed to improve writing pedagogy for specific genres.

  13. Similar and/or Different Writing Processes? A Study of Spanish Foreign Language and Heritage Language Learners

    Science.gov (United States)

    Elola, Idoia; Mikulski, Ariana M.

    2016-01-01

    Following a cognitively-oriented framework, this study builds upon the authors' previous work (Elola and Mikulski 2013; Mikulski and Elola 2011), which analyzed writing processes (planning time, execution time, revision time), fluency, and accuracy of Spanish heritage language (SHL) learners when composing in English and in Spanish. By analyzing…

  14. Traditional processing of masau fruits (Ziziphus mauritiana) in Zimbabwe

    NARCIS (Netherlands)

    Nyanga, L.K.; Nout, M.J.R.; Gadaga, T.H.; Boekhout, T.; Zwietering, M.H.

    2008-01-01

    A survey of the traditional processing techniques of masau was conducted using a questionnaire and two focus group discussions in Mudzi, Mt. Darwin, and Muzarabani districts in Zimbabwe. Masau fruits form part of the family diet and generate additional income by selling at local markets. Surplus

  15. Successful Writing: Five Roadblocks to Overcome

    Science.gov (United States)

    King, Kathleen P.

    2013-01-01

    This article provides essential strategies to be more successful in one of the major roles in academia: writing. Most academics struggle with roadblocks in their writing process. We are forever battling to complete research articles, manuscripts, grant proposals or other documents. The strategies and perspective shared here help overcome several…

  16. [Establishment of design space for production process of traditional Chinese medicine preparation].

    Science.gov (United States)

    Xu, Bing; Shi, Xin-Yuan; Qiao, Yan-Jiang; Wu, Zhi-Sheng; Lin, Zhao-Zhou

    2013-03-01

    The philosophy of quality by design (QbD) is now leading the changes in the drug manufacturing mode from the conventional test-based approach to the science and risk based approach focusing on the detailed research and understanding of the production process. Along with the constant deepening of the understanding of the manufacturing process, the design space will be determined, and the emphasis of quality control will be shifted from the quality standards to the design space. Therefore, the establishment of the design space is core step in the implementation of QbD, and it is of great importance to study the methods for building the design space. This essay proposes the concept of design space for the production process of traditional Chinese medicine (TCM) preparations, gives a systematic introduction of the concept of the design space, analyzes the feasibility and significance to build the design space in the production process of traditional Chinese medicine preparations, and proposes study approaches on the basis of examples that comply with the characteristics of traditional Chinese medicine preparations, as well as future study orientations.

  17. Picture a World without Pens, Pencils, and Paper: The Unanticipated Future of Reading and Writing

    Science.gov (United States)

    Bromley, Karen

    2010-01-01

    This article discusses the future of reading and writing. It includes a brief history of reading and writing, shows the reader how digital text has quietly evolved and threatens to take over traditional notions of what it means to be literate, and suggests that speech will emerge as a dominant way of communicating. The three ideas developed here…

  18. Materials for Assessing the Writing Skill

    Directory of Open Access Journals (Sweden)

    Vahid Nimehchisalem

    2010-07-01

    Full Text Available This paper reviews the issues of concern in writing scale development in English as Second Language (ESL settings with an intention to provide a useful guide for researchers or writing teachers who wish to develop or adapt valid, reliable and efficient writing scales considering their present assessment situations. With a brief discussion on the rationale behind writing scales, the author considers the process of scale development by breaking it into three phases of design, operationalization and administration. The issues discussed in the first phase include analyzing the samples, deciding on the type of scale and ensuring the validity of its design. Phase two encompasses setting the scale criteria, operationalization of definitions, setting a numerical value, assigning an appropriate weight for each trait, accounting for validity and reliability. The final phase comprises recommendations on how a writing scale should be used.

  19. Partners in Crime: Integrating Forensic Science and Writing

    Science.gov (United States)

    Hein, Erik

    2006-01-01

    Forensic science lends itself to many academic areas. Aside from the science itself, writing plays a major role in the investigation process as well as in the courtroom. It is paramount that students learn how to write proficiently when recording results or writing evaluations and reports, just as forensic scientists do. This can also be done…

  20. Stability of traditionally processed vegetable oils and their blends ...

    African Journals Online (AJOL)

    The objective of the study was to investigate the stability of traditionally processed palm oil (PO), sunflower oil (SO) and sesame oil (SSO) and their blends as function of storage conditions by analysing their physicochemical properties which included acid value, saponification value, peroxide value, iodine value and ...

  1. An Investigation into the Methods of Teaching Creative English Writing

    Directory of Open Access Journals (Sweden)

    Anastasiia Riabokrys

    2016-08-01

    Full Text Available The article is devoted to the actual problem of teaching creative writing at the English lessons. The value of writing in the process of teaching English language is revealed. The principles and peculiarities of evaluation of creative writing are analyzed. The strategy of choosing methods in teaching creative writing is identified. The benefits of creative writing for learner and teachers are considered.

  2. READING-WRITING AND LITERACY IN CHILDREN WITH INTELLECTUAL DISABILITIES

    Directory of Open Access Journals (Sweden)

    Marilene Bortolotti Boraschi

    2013-07-01

    Full Text Available This article focuses on the role and learning of reading and wrinting to human development as a social practice, considering the necessary condition to reading and writing as an exercise in citizenship. Aims to reflect on the occurrence of reading-writing processes and literacy in children with intellectual disabilities. The study was conducted by means of literature, and are based on a qualitative research. The reflections made throughout the investigation brought some considerations on intellectual disability, charactering it. Allowed some notes on the processes of reading-writing and literacy. As the survey results, some thoughts were about the possible occurrence of the processes of reading-writing and literacy in intellectually disabled children, discussing how these processes can take place through pedagogical practices in classrooms common regular education, contributing to the appropriation of the world literate and active participation by such child in society.

  3. Writing-to-Learn: Attitudes of nursing students at Sultan Qaboos University.

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K

    2014-02-01

    The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process. A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students' negative attitudes towards writing into positive ones.

  4. Google Docs as a Tool for Collaborative Writing in the Middle School Classroom

    Directory of Open Access Journals (Sweden)

    Yanan Fan

    2017-10-01

    Full Text Available Aim/Purpose: In this study, the authors examine how an online word processing tool can be used to encourage participation among students of different language back-grounds, including English Language Learners. To be exact, the paper discusses whether student participation in anonymous collaborative writing via Google Docs can lead to more successful products in a linguistically diverse eighth-grade English Language Arts classroom. Background: English Language Learners (ELLs make up a considerable portion of elementary and secondary public school students, as language and ethnic diversity has become the norm in the United States. The research literature finds that ELLs are statistically behind their monolingual peers on such key language and academic development indicators as writing. Educators and researchers then turn to collaborative writing with the assistance of online technology. Although it is shown in literature to be a worthwhile endeavor for students of all ages and ability levels, no studies have investigated the differences it makes, namely, in comparison to traditional face-to-face collaboration in the classroom, and to anonymous online collaboration in the virtual space. Methodology: Through face-to-face, online, and anonymous writing activities, a rubric, and a survey, this quantitative study asks if anonymous collaborative writing, com-pared to other modalities, equalizes participation among students of varying language fluencies, and if anonymous collaborative writing, compared to other modalities, affect student comfort levels. Contribution: This builds on research of online collaborative writing tools and suggests that using such tools (Google Docs in particular is beneficial, especially for students who are building their language abilities. The study further reveals varied degree of success and student comfort level in participating writing tasks in three modalities. Findings: We ascertain that students of varying language

  5. Effects of Feedback on Collaborative Writing in an Online Learning Environment

    Science.gov (United States)

    Guasch, Teresa; Espasa, Anna; Alvarez, Ibis M.; Kirschner, Paul A.

    2013-01-01

    The need for supporting student writing has received much attention in writing research. One specific type of support is feedback--including peer feedback--on the writing process. Despite the wealth of literature on both feedback and academic writing, there is little empirical evidence on what type of feedback best promotes writing in online…

  6. Writing resistance: Dissidence and visions of healing in Nigerian ...

    African Journals Online (AJOL)

    In reappraising the growth of Nigerian poetry in the last three decades of the twentieth century, this paper argues that writing against dictatorship – the defining character of this tradition – has enriched Nigerian poetry in more ways than critics have suggested. It correlates developments within the political sphere with ...

  7. Flipped Learning for ESL Writing in a Sudanese School

    Science.gov (United States)

    Abdelrahman, Limia Ali Mohamed; DeWitt, Dorothy; Alias, Norlidah; Rahman, Mohd Nazri Abdul

    2017-01-01

    Sudanese students seem to lack proficiency in writing English. In addition, teachers continue to use traditional, teacher-centered methods in teaching English as a second language (ESL). The flipped learning (FL) approach where video lectures are assigned as online homework before class, followed by learning activities during class, might be able…

  8. Blogging as a Means of Crafting Writing

    Science.gov (United States)

    Lacina, Jan; Griffith, Robin

    2013-01-01

    The purpose of this article is to explore ways in which blogs can support literacy communities while developing a writing community. Examples of how a classroom teacher uses blogs to strengthen the writing process is described. (Contains 2 figures and 1 table.)

  9. Keys to Detecting Writing Flexibility over Time: Entropy and Natural Language Processing

    Science.gov (United States)

    Snow, Erica L.; Allen, Laura K.; Jacovina, Matthew E.; Crossley, Scott A.; Perret, Cecile A.; McNamara, Danielle S.

    2015-01-01

    Writing researchers have suggested that students who are perceived as strong writers (i.e., those who generate texts rated as high quality) demonstrate flexibility in their writing style. While anecdotally this has been a commonly held belief among researchers and educators, there is little empirical research to support this claim. This study…

  10. Predictors of expressive writing content and posttraumatic stress following a mass shooting.

    Science.gov (United States)

    Reddy, Madhavi K; Seligowski, Antonia V; Rabenhorst, Mandy M; Orcutt, Holly K

    2015-05-01

    This study examined relations among experiential avoidance, state dissociation during writing, cognitive-emotional processing, and posttraumatic stress in the context of an expressive writing task among 58 undergraduate females who were students at a large midwestern university that had recently experienced a mass shooting. Experiential avoidance significantly predicted reported suppression during the writing task. Additionally, posttraumatic stress symptoms (PTSS) at the time of the writing task were significantly associated with state dissociation, suppression, and the use of positive emotion words during the writing. Finally, at the zero-order level, prospective PTSS were associated with state dissociation and suppression during the earlier writing task. However, in a full regression model, only experiential avoidance and PTSS at the time of the writing task significantly predicted prospective PTSS. Supplemental analyses suggest processes may operate differently across levels of exposure. Findings from the present study provide further support for the role of experiential avoidance, state dissociation during writing, and cognitive-emotional processing in predicting PTSS. Additionally, experiential avoidance may play an important role in how individuals use cognitive-emotional processing to narrate a traumatic event. (c) 2015 APA, all rights reserved).

  11. Learning to improve: using writing to increase critical thinking performance in general education biology.

    Science.gov (United States)

    Quitadamo, Ian J; Kurtz, Martha J

    2007-01-01

    Increasingly, national stakeholders express concern that U.S. college graduates cannot adequately solve problems and think critically. As a set of cognitive abilities, critical thinking skills provide students with tangible academic, personal, and professional benefits that may ultimately address these concerns. As an instructional method, writing has long been perceived as a way to improve critical thinking. In the current study, the researchers compared critical thinking performance of students who experienced a laboratory writing treatment with those who experienced traditional quiz-based laboratory in a general education biology course. The effects of writing were determined within the context of multiple covariables. Results indicated that the writing group significantly improved critical thinking skills whereas the non-writing group did not. Specifically, analysis and inference skills increased significantly in the writing group but not the non-writing group. Writing students also showed greater gains in evaluation skills; however, these were not significant. In addition to writing, prior critical thinking skill and instructor significantly affected critical thinking performance, whereas other covariables such as gender, ethnicity, and age were not significant. With improved critical thinking skill, general education biology students will be better prepared to solve problems as engaged and productive citizens.

  12. Commentary: "I hope i'll continue to grow": rubrics and reflective writing in medical education.

    Science.gov (United States)

    Coulehan, Jack; Granek, Iris A

    2012-01-01

    One respected tradition in medical education holds that physicians should struggle to maintain sensibility, openness, and compassion in the face of strong contravening tendencies. However, today's medical education is structured around a more recent tradition, which maintains that physicians should struggle to develop emotional detachment as a prerequisite for objectivity. In this model, sensibility and reflective capacity are potentially subversive. Reflective writing is one component of a revisionist approach to medical education that explicitly addresses reflective "habits of the mind" as core competencies and builds on existential concerns voiced by medical students. In response to Wald and colleagues' study, the authors reflect on the role of repeated formative feedback in developing reflective capacity. Formative feedback is as critical in this process as it is in traditional clinical learning. The authors emphasize that well-designed rubrics can assist learners in delineating desired outcomes and teachers in providing appropriate guidance.

  13. Second Language Writing Anxiety, Computer Anxiety, and Performance in a Classroom versus a Web-Based Environment

    Science.gov (United States)

    Dracopoulos, Effie; Pichette, François

    2011-01-01

    This study examined the impact of writing anxiety and computer anxiety on language learning for 45 ESL adult learners enrolled in an English grammar and writing course. Two sections of the course were offered in a traditional classroom setting whereas two others were given in a hybrid form that involved distance learning. Contrary to previous…

  14. Tracking the Mind's Eye: A New Technology for Researching Twenty-First-Century Writing and Reading Processes

    Science.gov (United States)

    Anson, Chris M.; Schwegler, Robert A.

    2012-01-01

    This article describes the nature of eye-tracking technology and its use in the study of discourse processes, particularly reading. It then suggests several areas of research in composition studies, especially at the intersection of writing, reading, and digital media, that can benefit from the use of this technology. (Contains 2 figures.)

  15. Feedback Providing Improvement Strategies and Reflection on Feedback Use: Effects on Students' Writing Motivation, Process, and Performance

    Science.gov (United States)

    Duijnhouwer, Hendrien; Prins, Frans J.; Stokking, Karel M.

    2012-01-01

    This study investigated the effects of feedback providing improvement strategies and a reflection assignment on students' writing motivation, process, and performance. Students in the experimental feedback condition (n = 41) received feedback including improvement strategies, whereas students in the control feedback condition (n = 41) received…

  16. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  17. The Oshun Festival: An African Traditional Religious Healing Process.

    Science.gov (United States)

    Idowu, Adeyemi I.

    1992-01-01

    Examines the Oshun Festival, an African traditional religious festival, from a healing perspective. Highlights the value of religion in the African culture and discusses various myths. Explores the role of myths in and the place of beliefs in the healing process. Explains rituals and the healing environment, healers, and healing methods. Offers…

  18. Writing and Speech Recognition : Observing Error Correction Strategies of Professional Writers

    NARCIS (Netherlands)

    Leijten, M.A.J.C.

    2007-01-01

    In this thesis we describe the organization of speech recognition based writing processes. Writing can be seen as a visual representation of spoken language: a combination that speech recognition takes full advantage of. In the field of writing research, speech recognition is a new writing

  19. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  20. Theories, Models and Methodology in Writing Research

    NARCIS (Netherlands)

    Rijlaarsdam, Gert; Bergh, van den Huub; Couzijn, Michel

    1996-01-01

    Theories, Models and Methodology in Writing Research describes the current state of the art in research on written text production. The chapters in the first part offer contributions to the creation of new theories and models for writing processes. The second part examines specific elements of the

  1. [Development and innovation of traditional Chinese medicine processing discipline and Chinese herbal pieces industry].

    Science.gov (United States)

    Xiao, Yong-Qing; Li, Li; Liu, Ying; Ma, Yin-Lian; Yu, Ding-Rong

    2016-01-01

    To elucidate the key issues in the development and innovation of traditional Chinese medicine processing discipline and Chinese herbal pieces industry Chinese herbal pieces industry. According to the author's accumulated experience over years and demand of the development of the Chinese herbal pieces industry, the key issues in the development and innovation on the Chinese herbal pieces industry were summarized. According to the author, the traditional Chinese medicine processing discipline shall focus on a application basis research. The development of this discipline should be closely related to the development of Chinese herbal pieces. The traditional Chinese medicine processing discipline can be improved and its results can be transformed only if this discipline were correlated with the Chinese herbal pieces industry, matched with the development of the Chinese herbal pieces industry, and solved the problems in the development on the Chinese herbal pieces industry. The development of traditional Chinese medicine processing discipline and the Chinese herbal pieces industry also requires scientific researchers to make constant innovations, realize the specialty of the researches, and innovate based on inheritance. Copyright© by the Chinese Pharmaceutical Association.

  2. Maternal Scaffolding of Preschoolers' Writing Using Tablet and Paper-Pencil Tasks: Relations with Emergent Literacy Skills

    Science.gov (United States)

    Neumann, Michelle M.

    2018-01-01

    Mothers play a key role in scaffolding children's writing using traditional tools, such as paper and pencil. However, little is known about how mothers scaffold young children's writing using touch-screen tablets (e.g., iPads) and the associations between maternal scaffolding and emergent literacy. Mother-child dyads (N = 47; M child…

  3. Improving Undergraduates’ Argumentative Group Essay Writing through Self-assessment

    Directory of Open Access Journals (Sweden)

    Yong Mei Fung

    2015-10-01

    Full Text Available When writing an argumentative essay, writers develop and evaluate arguments to embody, initiate, or simulate various kinds of interpersonal and textual interaction for reader consideration (Wu & Allison, 2003. This is quite challenging for English as a second language (ESL learners. To improve the quality of their writing, students need to review their draft throughout the writing process. This study aimed to investigate the effect of self-assessment in group writing and how group work improves students’ writing ability. An intact class comprising 22 first-year undergraduates participated in the study.  Data were collected from pre- and post-treatment writing tests, semi-structured interview and reflection entries. The results revealed that self-assessment has a significant effect on students’ writing performance. Group work also enhanced social and cognitive development of the students. This study provides insights into the use of self-assessment in writing class to develop learner autonomy and improve writing ability. Keywords: Argumentative essay, Self-assessment, Learner autonomy, Group writing, ESL learners

  4. The Place of Writing in Preserving an Oral Language.

    Science.gov (United States)

    Bennett, Ruth; Mattz, Pam; Jackson, Silish; Campbell, Harold

    This paper shows how a traditional story can be used to teach an indigenous language, and how the inclusion of writing can help students learn the language effectively. Hupa people have told Coyote stories for thousands of years. Such Hupa stories are incorporated in Hupa language instruction using the Language Proficiency Method, which involves a…

  5. Learning history by composing synthesis texts: Effects of an instructional programme on learning, reading and writing processes, and text quality

    NARCIS (Netherlands)

    Martínez, I.; Mateos, M.; Martín, E.; Rijlaarsdam, G.

    2015-01-01

    The aim of the present study was to improve learning from texts via strategies that train students how to process synthesis texts. Processing such texts requires goal-oriented interaction between reading and writing activities. The participants were 62 sixth-grade students, 33 in the experimental

  6. The Living Indian Critical Tradition

    Directory of Open Access Journals (Sweden)

    Vivek Kumar Dwivedi

    2010-11-01

    Full Text Available This paper attempts to establish the identity of something that is often considered to be missing – a living Indian critical tradition. I refer to the tradition that arises out of the work of those Indians who write in English. The chief architects of this tradition are Sri Aurobindo, C.D. Narasimhaiah, Gayatri Chakravorty Spivak and Homi K. Bhabha. It is possible to believe that Indian literary theories derive almost solely from ancient Sanskrit poetics. Or, alternatively, one can be concerned about the sad state of affairs regarding Indian literary theories or criticism in English. There have been scholars who have raised the question of the pathetic state of Indian scholarship in English and have even come up with some positive suggestions. But these scholars are those who are ignorant about the living Indian critical tradition. The significance of the Indian critical tradition lies in the fact that it provides the real focus to the Indian critical scene. Without an awareness of this tradition Indian literary scholarship (which is quite a different thing from Indian literary criticism and theory as it does not have the same impact as the latter two do can easily fail to see who the real Indian literary critics and theorists are.

  7. Improving report writing by peer assessment using Coursera

    DEFF Research Database (Denmark)

    Christiansen, Henrik Lehrmann

    2015-01-01

    report writing. In the case of report writing active learning could include peer evaluation which is what is investigated in this paper. This paper presents a case study from the Technical University of Denmark. A course on mobile communication was redesigned to include peer evaluation as a tool......Report writing is a general engineering competence and it should therefore be part of any university engineering education to learn how to write a good report. Active leaning methods are well-known to be effective in supporting student learning; hence it should preferably also be used for teaching...... for improving report writing skills. The peer evaluation process was automated using the elearning tool Coursera. What was investigated was the improvement in report writing as well as the consistency and quality of the peer assessed grades....

  8. DEVELOPING WRITING ABILITIES OF EFL STUDENTS THROUGH BLOGGING

    Directory of Open Access Journals (Sweden)

    Orachorn KITCHAKARN

    2014-10-01

    Full Text Available Due to a rapid development and expansion of technology and, as a result, Web 2.0 technologies are providing both teachers and learners with new solutions to the limitations of traditional method in the field of language teaching and learning. The research compared students’ writing ability before and after they were taught through blog, a new medium or tool for written communication and interaction in many different languages around the world. The research design is a kind of one group pretest posttest. Participants were 35 first-year students. They were divided into eight groups. Four or five students in each group created a blog, www.blogger.com, and they worked together for twelve weeks to produce six pieces of writing assignments. Each member in the group worked through providing comments, editing and revising on the blog until the group got a final writing and submitted that to the teacher for grading. The instruments used in this study were: Ø two writing tests Ø a questionnaire surveying students’ attitude toward learning through blogs, and Ø postings on blogs to reflect their learning experiences. The results revealed that after the students worked together on weblogs, their English writing mean score of the posttest was higher than that of the pretest, and they had positive attitudes towards using weblogs in learning. Regarding cooperative learning experiences through using weblogs, most students thought that it was interesting, a new experience to work with their friends on the weblogs.

  9. Motivating Young Writers through Write-Talks: Real Writers, Real Audiences, Real Purposes

    Science.gov (United States)

    Wilson, Amy Alexandra

    2008-01-01

    Modeled after the popular teaching technique of book talks, write talks are brief motivational talks designed to engage students in writing. Teachers can invite adults from their communities into their classrooms to give write talks, thereby conveying to students that real people go through different writing processes to write real texts for real…

  10. Use of Overhead Transparencies in Collaborative Business Writing.

    Science.gov (United States)

    Barker, Randolph T.; And Others

    1991-01-01

    Asserts that small group collaborative writing exercises that produce overhead transparencies for large class critique can be an effective method for teaching letter and memorandum construction. Offers a five-step process for encouraging individual and collaborative writing skills. (PRA)

  11. Investigating an Intervention Program Linking Writing and Vocabulary Development for Homeless Children

    Directory of Open Access Journals (Sweden)

    Richard Sinatra

    2010-03-01

    Full Text Available The presented study investigated the effects of a four-week academic and activity – enriched summer program on vocabulary development and writing achievement of homeless children residing in traditional shelter facilities. When compared to controls the experimental students did not reveal gains in vocabulary and spelling as measured by two norm referenced tests. They did however demonstrate highly significant gains in writing ability based on the New York State standards criteria, reflecting five qualities of writing. On two project-developed instruments designed to measure improvement in book vocabulary and tennis skills, they showed significant increases based on analyses of their pre- and posttest scores. The program closed achievement gaps, fulfilled standards criteria, and may be the first of its kind in the homeless literature whereby students’ writing development was compared to matched controls as vocabulary development occurred based on literary readings.

  12. Critical Thinking through Writing: Expressing Scientific Thought and Process in a Deaf Classroom

    Science.gov (United States)

    Manjarrez, Leslie

    Within Deaf classrooms there is often a disconnect between academic areas and writing curriculums that develop in both common and academic language, where often classrooms focus solely on writing as a skill rather than as a method for producing language through an academic area. This work focuses on the development of academic language in ASL and English print of science. The curriculum is written to be implemented as a bilingual academic curriculum to support Deaf and Hard of Hearing students in various self contained classroom settings. Lessons are conducted in three Units, A B and C. Unit A focuses on research, thought and writing of preparatory materials in small groups. Unit B is comprised of procedural lessons on conducting x experiments and the evaluation of those experiments through mathematics. Unit C is a group of lessons that ties together Units A and B through writing and peer teaching as a method of concluding the work and presenting information in an effective manner. The success of the project was evaluated on the basis of student work, rubrics, and final works from the students. The results showed promise in aspects of Critical Thinking, writing development, and expression of new concepts in both ASL and English.

  13. Linguistic aspects of writing for professional purposes

    Directory of Open Access Journals (Sweden)

    Greta Përgjegji

    2016-03-01

    Full Text Available Writing for Professional Purposes is considered as a means of communication between professionals who belong to two communities that have different languages, but share the same knowledge or expertise. The article gives a hint on how writing for specific purposes evolved to give rise to the creation of Writing for Professional Purposes. The social, cultural and cognitive aspects are an essential part of Writing for Professional Purposes since the physical act of writing cannot be considered only a result or product of the knowledge the individual possesses but also a social and cultural act. Therefore, the social and cultural aspects of writing explains the specificities and the intricacies of the effects these aspects have on writing for it is considered as an inseparable part of social and cultural groups. On the other hand, the cognitive aspect of writing explains and emphasizes the mental activities of the individual during the decision-making process while he/she is writing planning and editing their material having in mind the audience. On the same line of reasoning, writing for professional purposes in a second language means that the writer has to consider the audience twice; first, there is an audience who shares the same knowledge or expertise and second, the audience does not have the same language. Consequently, writing in another language that is not the first language with a specific jargon as well as a specific grammatical structure brings about a lot of difficulties. Hence, writing in professional contexts in the mother tongue implies only writing in a specialized version of a language already known to the writer, but writing in a target language means that the writer has to learn the target language and the specialized version of that language.

  14. Taking a Closer Look at Writing Conferences.

    Science.gov (United States)

    Florio-Ruane, Susan

    Current literature on writing instruction focuses on the writing conference, which accompanies a shift in emphasis from product to process and potentially transforms the teacher's role from task master and evaluator to respondent, opening the door to greater peer interaction in literacy learning. However desirable this ideal may be, extant…

  15. Thermomagnetic writing in Tb-Fe: Modeling and comparison with experiment

    International Nuclear Information System (INIS)

    Suits, J.C.; Rugar, D.; Lin, C.J.

    1988-01-01

    Computer model calculations and experimental comparison are presented for thermomagnetic writing in TbFe media. Two models are discussed which are appropriate for two observed types of writing behavior. A ''bubble'' type writing model is found to give good experimental agreement when wall motion processes dominate and the laser-written marks exhibit single-domain behavior. This model accurately accounts for the writing behavior of Tb/sub 0.23/Fe/sub 0.77/. The gradient of the domain-wall energy is found to be an important factor in the writing process and can cause nucleation to be delayed until some cooling has occurred. This results in written domain sizes which are a strong function of the applied bias field. For compositions which exhibit multiple domain writing behavior, a ''nucleation field'' model is found to be appropriate. This model predicts the observed weak dependence of mark size on applied bias field. In addition, the nucleation field model is used to calculate the bias fields which correspond to the onset of writing (onset field) and single-domain mark formation (saturation field)

  16. Writing a journal article: guidance for novice authors.

    Science.gov (United States)

    Price, Bob

    2014-05-06

    This article focuses on writing for journal publication. The purpose of writing is explored, paying particular attention to the message to be conveyed and the readership to which that message is addressed.The process of drafting and revising an article for publication is outlined, after which attention is turned to the peer-review process, what peer reviewers are looking for in an article, and what might then be required of the author in redrafting the article to meet the expectations of the journal. Prospective authors are encouraged to research the journal to which they plan to submit their work, and to then target their writing to the readership of that publication.

  17. Employing Picture Description to Assess the Students' Descriptive Paragraph Writing

    Directory of Open Access Journals (Sweden)

    Ida Ayu Mega Cahyani

    2018-03-01

    Full Text Available Writing is considered as an important skill in learning process which is needed to be mastered by the students. However, in teaching learning process at schools or universities, the assessment of writing skill is not becoming the focus of learning process and the assessment is administered inappropriately. In this present study, the researcher undertook the study which dealt with assessing descriptive paragraph writing ability of the students through picture description by employing an ex post facto as the research design. The present study was intended to answer the research problem dealing with the extent of the students’ achievement of descriptive paragraph writing ability which is assessed through picture description. The samples under the study were 40 students determined by means of random sampling technique with lottery system. The data were collected through administering picture description as the research instrument. The obtained data were analyzed by using norm-reference measure of five standard values. The results of the data analysis showed that there were 67.50% samples of the study were successful in writing descriptive paragraph, while there were 32.50% samples were unsuccessful in writing descriptive paragraph which was assessed by administering picture description test

  18. No Effect of Writing Advice on Reading Comprehension

    DEFF Research Database (Denmark)

    Balling, Laura Winther

    2018-01-01

    This article considers text comprehension through the integrated perspectives of language processing research and practical writing advice as expressed in writing guides and language policies. Such guides for instance include advice to use active constructions instead of passives and sentences...

  19. Implementing Keyword and Question Generation Approaches in Teaching EFL Summary Writing

    Science.gov (United States)

    Chou, Mu-hsuan

    2012-01-01

    Summary writing has been considered an important aspect of academic writing. However, writing summaries can be a challenging task for the majority of English as a Foreign Language (EFL) learners. Research into teaching summary writing has focused on different processes to teach EFL learners. The present study adopted two methods--keyword and…

  20. NOTE TAKING PAIRS TO IMPROVE STUDENTS‟ SENTENCE BASED WRITING ACHIEVEMENT

    Directory of Open Access Journals (Sweden)

    Testiana Deni Wijayatiningsih

    2017-04-01

    Full Text Available Students had skill to actualize their imagination and interpret their knowledge through writing which could be combined with good writing structure. Moreover, their writing skill still had low motivation and had not reached the standard writing structure. Based on the background above, this research has purpose to know the influence Note Taking Pairs in improving students‘sentence based writing achievement. The subject of this research was the second semester of English Department in Muhammadiyah University of Semarang. It also used statistic non parametric method to analyze the students‘ writing achievement. The result of this research showed that Note Taking Pairs strategy could improve students‘sentence based writing achievement. Hopefully this research is recommended into learning process to improve students‘writing skill especially in sentence-based writing subject.

  1. Writing an academic essay: a practical guide for nurses.

    Science.gov (United States)

    Booth, Y

    Writing academic essays can be a major hurdle and source of anxiety for many students. Fears and misconceptions relating to this kind of writing can be dispelled if the task is approached in a logical and systematic manner. This article outlines the key steps involved in successfully completing an essay and provides some practical tips to facilitate critical and analytical writing. These steps are: analysing the task; exploring the subject; planning the essay; writing the account; and revising the drafts. Although this process is challenging, academic writing is a means of developing both personally and professionally.

  2. Language writing: a history of your pre-history of childhood

    Directory of Open Access Journals (Sweden)

    Ana Maria Esteves Bortolanza

    2017-02-01

    Full Text Available This paper presents part of a study conducted to explain the process of appropriation of written culture by children in early childhood education, according to the organization of writing activities that are afforded to them in the school environment. To understand this process was carried out a pedagogical experiment in a class of children under five years of age, a public school in the city of Uberaba/MG. The theoretical and methodological dimension of this study is based on the principles of human development presented by the Historic-Cultural Theory, which brings with it the Activity Theory and the importance of mediation. The proposal of an educational intervention, conducted through the pedagogical experiment, aimed to describe, understand and explain the process of cultural appropriation writing from the day of organized activities in order to create favorable conditions for the development of the process in its constituent features, so that it could provoke and observe the different stages by passing the child in the prehistory of his writing. In outlining the paths taken by it in this process, provided an opportunity the conditions for use of writing in its social functionality, taking advantage of the development activity guide, in this age group, which is the game of social roles. The analysis of the data showed evidence that a pedagogical action, properly planned and mediated, creates conditions that enable the realization of the writing appropriation process in its social functionality, providing a qualitative change in the child's relationship with that kind of language, because she has to write.

  3. Science writing heurisitc: A writing-to-learn strategy and its effect on student's science achievement, science self-efficacy, and scientific epistemological view

    Science.gov (United States)

    Caukin, Nancy S.

    The purpose of this mixed-methods study was to determine if employing the writing-to-learn strategy known as a "Science Writing Heuristic" would positively effect students' science achievement, science self-efficacy, and scientific epistemological view. The publications Science for All American, Blueprints for Reform: Project 2061 (AAAS, 1990; 1998) and National Science Education Standards (NRC 1996) strongly encourage science education that is student-centered, inquiry-based, active rather than passive, increases students' science literacy, and moves students towards a constructivist view of science. The capacity to learn, reason, problem solve, think critically and construct new knowledge can potentially be experienced through writing (Irmscher, 1979; Klein, 1999; Applebee, 1984). Science Writing Heuristic (SWH) is a tool for designing science experiences that move away from "cookbook" experiences and allows students to design experiences based on their own ideas and questions. This non-traditional classroom strategy focuses on claims that students make based on evidence, compares those claims with their peers and compares those claims with the established science community. Students engage in reflection, meaning making based on their experiences, and demonstrate those understandings in multiple ways (Hand, 2004; Keys et al, 1999, Poock, nd.). This study involved secondary honors chemistry students in a rural prek-12 school in Middle Tennessee. There were n = 23 students in the group and n = 8 in the control group. Both groups participated in a five-week study of gases. The treatment group received the instructional strategy known as Science Writing Heuristic and the control group received traditional teacher-centered science instruction. The quantitative results showed that females in the treatment group outscored their male counterparts by 11% on the science achievement portion of the study and the males in the control group had a more constructivist scientific

  4. Creative writing in recovery from severe mental illness.

    Science.gov (United States)

    King, Robert; Neilsen, Philip; White, Emma

    2013-10-01

    There is evidence that creative writing forms an important part of the recovery experience of people affected by severe mental illness. In this paper, we consider theoretical models that explain how creative writing might contribute to recovery, and we discuss the potential for creative writing in psychosocial rehabilitation. We argue that the rehabilitation benefits of creative writing might be optimized through focus on process and technique in writing, rather than content, and that consequently, the involvement of professional writers might be important. We describe a pilot workshop that deployed these principles and was well-received by participants. Finally, we make recommendations regarding the role of creative writing in psychosocial rehabilitation for people recovering from severe mental illness and suggest that the development of an evidence base regarding the effectiveness of creative writing is a priority. © 2012 The Authors; International Journal of Mental Health Nursing © 2012 Australian College of Mental Health Nurses Inc.

  5. The Role of Translation in EFL Writing

    OpenAIRE

    congmin zhao

    2017-01-01

    This paper explores the problem “thinking in the first language then translating into the target language” by examining the process of writing. It is suggested that it is natural to think in the first language and/or to translate and the first language to foreign language translation indirectly enhances the writing ability.

  6. Enhancing the digestibility of cowpea (Vigna unguiculata) by traditional processing and fermentation

    NARCIS (Netherlands)

    Madode, Y.E.; Nout, M.J.R.; Bakker, E.J.; Linnemann, A.R.; Hounhouigan, D.J.; Boekel, van M.A.J.S.

    2013-01-01

    Flatulence is an important drawback for the consumption of legumes. Therefore, the ability of traditional processing (dehulling, boiling, soaking) and fermentation (bacterial, fungal or yeast) of cowpeas to reduce flatulence was investigated. Raw and processed cowpeas were assessed for their

  7. The art of scientific writing

    NARCIS (Netherlands)

    Wopereis, Iwan

    2018-01-01

    This three-part workshop introduces strategies, tools, and techniques for sound scientific output. It discusses success and failure factors relevant to the publication process (writing included). The first part aims to understand the entire publication process. It presents an overview of standard

  8. Digitizing Craft: Creative Writing Studies and New Media--A Proposal

    Science.gov (United States)

    Koehler, Adam

    2013-01-01

    This article identifies and examines a digital arm of creative writing studies and organizes that proposal into four categories through which to theorize the "craft" of creative production, each borrowed from Tim Mayers's "(Re)Writing Craft: Composition, Creative Writing, and the Future of English Studies": process, genre, author, and…

  9. Learning psychology as a challenging process towards development as well as "studies as usual": a thematic analysis of medical students' reflective writing.

    Science.gov (United States)

    Semb, Olof; Kaiser, Niclas; Andersson, Sven-Olof; Sundbom, Elisabet

    2014-01-01

    Reflective writing in medical training has been shown to be most effective when combined with some form of personal meeting or dialog. During a course in medical psychology for medical students, reflective texts were followed up by an individual personal talk with a teacher from the course. Thematic analysis of the texts revealed four separate sub-themes: 1) the course has enabled me and the class to develop, which is good albeit arduous; 2) understanding myself is a resource in understanding people as well as knowing psychology; 3) the course provided me with new, purely intellectual skills as well as eye-openers; and 4) the receiving teacher is an integral part of my reflective writing. The main theme, capturing the students' writing process, concluded that students perceive the course as "Learning psychology as a challenging process towards development" as well as "studies as usual". Ethical, psychological, and pedagogical aspects are discussed in the paper.

  10. Modeling traditional literacy, internet skills and internet usage: an empirical study

    NARCIS (Netherlands)

    van Deursen, Alexander Johannes Aloysius Maria; van Dijk, Johannes A.G.M.

    2016-01-01

    This paper focuses on the relationships among traditional literacy (reading, writing and understanding text), medium-related Internet skills (consisting of operational and formal skills), content-related Internet skills (consisting of information and strategic skills) and Internet usage types

  11. Comparing the Effects of Four Instructional Treatments on EFL Students' Achievement in Writing Classified Ads

    Science.gov (United States)

    Khodabandeh, Farzaneh

    2016-01-01

    The current study set out to compare the effect of traditional and non-traditional instructional treatments; i.e. explicit, implicit, task-based and no-instruction approaches on students' abilities to learn how to write classified ads. 72 junior students who have all taken a course in Reading Journalistic Texts at the Payame-Noor University…

  12. Young Children Write: The Beginnings. Program in Language and Literacy Occasional Paper No. 5.

    Science.gov (United States)

    Milz, Vera E.

    Focusing on writing as a language process, this booklet describes a framework for writing instruction that has as a major consideration the totality of language. The first section discusses writing as a language process, emphasizing that as children learn to write, they can also learn to listen, speak, and read. The second section focuses on the…

  13. The clinical case: validity, values and strategies to approach its writing.

    Science.gov (United States)

    Mellado, J M; Packer, C D

    The case report is used to communicate the experience acquired by its authors with a patient. Although its relevance has been doubted, the case report deserves to be vindicated and contextualized. We review the case report's historical tradition, recent evolution and current formats. We describe its utility as a scientific tool, a continuing education resource and an aid to diagnosis. We reflect on the teaching potential its writing entails. Finally, we provide strategies to address the writing of a radiological case report. Copyright © 2017 SERAM. Publicado por Elsevier España, S.L.U. All rights reserved.

  14. Healing Classrooms: Therapeutic Possibilities in Academic Writing

    Science.gov (United States)

    Batzer, Benjamin

    2016-01-01

    This article asks us to consider what the process of healing and composition pedagogy have to learn from each other. More specifically, it identifies how the therapeutic potential of writing, which has been largely neglected in the academy in recent years, can influence the ways we teach transferable writing skills. The article considers how…

  15. Classroom EFL Writing: The Alignment-Oriented Approach

    Science.gov (United States)

    Haiyan, Miao; Rilong, Liu

    2016-01-01

    This paper outlines the alignment-oriented approach in classroom EFL writing. Based on a review of the characteristics of the written language and comparison between the product-focused approach and the process-focused approach, the paper proposes a practical classroom procedure as to how to teach EFL writing. A follow-up empirical study is…

  16. Benchmarketing - Fashionable Term Concerning Traditional Processes

    Directory of Open Access Journals (Sweden)

    Mircea Udrescu

    2016-06-01

    Full Text Available Marketing is a major factor to boost the competitiveness of the firm in an environment which is more and more turbulent. It centers the company’s management as being the ideal satisfaction of the current and potential consumer. This is why, in our conception, the marketing’s management represents a subsystem of the company’s’ general management by which shall be administered to specific activities, and also designed to contour the company’s’ activity object. In this article, the intent is to highlight the fact that the benchmarketing has come loose from cultivating the traditional process to the innovative organizational culture product. In this paper, the authors consider benchmarketing as a process of qualitative organizational culture adaptation to market requirements, depending on the context, so as to ensure the improvement of the economic criteria of appreciation of the business lucrative activities. Based on direct observations concerning small firms, followed by analysis, comparison and synthesis, the authors suggest taking into consideration the theoretical and practical benchmarketings’ approach, as being the current strategy of acquiring and cultivating excellence, as initiation philosophy and business development.

  17. Preparing Educators for Online Writing Instruction: Principles and Processes

    Science.gov (United States)

    Hewett, Beth L.; Ehmann, Christa

    2004-01-01

    This book offers a theoretical justification for online writing instruction (OWI) and a fully developed approach to training educators for such instruction--whether in networked classrooms, distance learning, e-mail- or Internet-based conferences, or online tutoring. The book includes concrete examples of asynchronous (non-real-time) and…

  18. The Process of Writing a Text by Using Cooperative Learning El proceso de escribir un texto por medio del uso del aprendizaje cooperativo

    Directory of Open Access Journals (Sweden)

    Alexandra Aldana

    2005-10-01

    Full Text Available This research project was carried out in order to get ninth graders of the departamental school “El Tequendama” involved in their writing tasks and to improve their writing skills, following the process that a professional writer enables students to write cooperatively and reduces their writing anxiety. Cooperative writing enables the participation of students with a mixture of proficiency level, thus providing greater opportunities to make achievements and therefore greater opportunities to be more deeply involved in their writing tasks.Este proyecto de investigación se llevó a cabo con el propósito de lograr que los estudiantes de noveno grado del colegio departamental “El Tequendama” se comprometieran con sus actividades de escritura y mejoraran sus habilidades escriturales. El reproducir el proceso que un escritor realiza permite a los estudiantes escribir cooperativamente y reduce la ansiedad provocada por el acto de escribir. La escritura cooperativa potencia la participación de estudiantes con niveles bajos de dominio, brindándoles mayores oportunidades de lograr mejores resultados y por tanto mayores oportunidades de comprometerse con sus actividades de escritura.

  19. Humor in the teaching of writing: A microethnographic approach

    Directory of Open Access Journals (Sweden)

    Christian F. Hempelmann

    2016-12-01

    Full Text Available This paper presents the content of a critical thinking and writing course, along with similar courses derived from it, designed around the topic of humor and culminating in a microethnographic investigation of humor in students’ lives. The aims of the paper are threefold: to offer a general rationale for using humor in the writing classroom; to illustrate different types of potentials and dangers of such an approach; and to suggest extensions of the findings to the second-language writing classroom. The paper offers texts, writing prompts, and activities for instructors teaching classes that focus on the writing process in a first or second language.

  20. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  1. Generation X, intergenerational justice and the renewal of the traditioning process

    Directory of Open Access Journals (Sweden)

    Cory L. Seibel

    2010-10-01

    Full Text Available The church has the task of transmitting its faith tradition from one generation to the next. In the transition to postmodernity, many established congregations have proven to be ineffective at this traditioning process in relation to Generation X (Gen X, the first postmodern generation. The reasons for the ineffectiveness are complex. This article focuses on two key factors that contribute to the problem: the reduction of the church’s tradition to its particular expression within the culture of modernity and the marginalisation experienced by Gen Xers within many established churches. The latter has prevented them from becoming effective bearers of the church’s tradition. If this trend is to be reversed, churches should succeed in renewing their traditions in a way that is meaningful in a postmodern context. The challenge will be to overcome the dynamics of reductionism and marginalisation. In developing the argument, the jubilee themes of ‘return’ and ‘release’ are applied to the intergenerational dynamics of established congregations. The article concludes that local congregations should embrace a renewed commitment to intergenerational justice, which will encourage equity between the generations.

  2. Facilitating Improvements in Laboratory Report Writing Skills with Less Grading: A Laboratory Report Peer-Review Process

    Directory of Open Access Journals (Sweden)

    Jennifer R. Brigati

    2015-02-01

    Full Text Available Incorporating peer-review steps in the laboratory report writing process provides benefits to students, but it also can create additional work for laboratory instructors. The laboratory report writing process described here allows the instructor to grade only one lab report for every two to four students, while giving the students the benefits of peer review and prompt feedback on their laboratory reports. Here we present the application of this process to a sophomore level genetics course and a freshman level cellular biology course, including information regarding class time spent on student preparation activities, instructor preparation, prerequisite student knowledge, suggested learning outcomes, procedure, materials, student instructions, faculty instructions, assessment tools, and sample data. T-tests comparing individual and group grading of the introductory cell biology lab reports yielded average scores that were not significantly different from each other (p = 0.13, n = 23 for individual grading, n = 6 for group grading. T-tests also demonstrated that average laboratory report grades of students using the peer-review process were not significantly different from those of students working alone (p = 0.98, n = 9 for individual grading, n = 6 for pair grading. While the grading process described here does not lead to statistically significant gains (or reductions in student learning, it allows student learning to be maintained while decreasing instructor workload. This reduction in workload could allow the instructor time to pursue other high-impact practices that have been shown to increase student learning. Finally, we suggest possible modifications to the procedure for application in a variety of settings.

  3. Facilitating improvements in laboratory report writing skills with less grading: a laboratory report peer-review process.

    Science.gov (United States)

    Brigati, Jennifer R; Swann, Jerilyn M

    2015-05-01

    Incorporating peer-review steps in the laboratory report writing process provides benefits to students, but it also can create additional work for laboratory instructors. The laboratory report writing process described here allows the instructor to grade only one lab report for every two to four students, while giving the students the benefits of peer review and prompt feedback on their laboratory reports. Here we present the application of this process to a sophomore level genetics course and a freshman level cellular biology course, including information regarding class time spent on student preparation activities, instructor preparation, prerequisite student knowledge, suggested learning outcomes, procedure, materials, student instructions, faculty instructions, assessment tools, and sample data. T-tests comparing individual and group grading of the introductory cell biology lab reports yielded average scores that were not significantly different from each other (p = 0.13, n = 23 for individual grading, n = 6 for group grading). T-tests also demonstrated that average laboratory report grades of students using the peer-review process were not significantly different from those of students working alone (p = 0.98, n = 9 for individual grading, n = 6 for pair grading). While the grading process described here does not lead to statistically significant gains (or reductions) in student learning, it allows student learning to be maintained while decreasing instructor workload. This reduction in workload could allow the instructor time to pursue other high-impact practices that have been shown to increase student learning. Finally, we suggest possible modifications to the procedure for application in a variety of settings.

  4. Journal Writing as a Teaching Technique to Promote Reflection

    Science.gov (United States)

    Walker, Stacy E

    2006-01-01

    Objective: To introduce the process of journal writing to promote reflection and discuss the techniques and strategies to implement journal writing in an athletic training education curriculum. Background: Journal writing can facilitate reflection and allow students to express feelings regarding their educational experiences. The format of this writing can vary depending on the students' needs and the instructor's goals. Description: Aspects of journal writing assignments are discussed, including different points to take into account before assigning the journals. Lastly, various factors to contemplate are presented when providing feedback to the students regarding their written entries. Clinical Advantages: Journal writing assignments can benefit students by enhancing reflection, facilitating critical thought, expressing feelings, and writing focused arguments. Journal writing can be adapted into a student's clinical course to assist with bridging the gap between classroom and clinical knowledge. In addition, journals can assist athletic training students with exploring different options for handling daily experiences. PMID:16791310

  5. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  6. The Role of Translation in EFL Writing

    Directory of Open Access Journals (Sweden)

    congmin zhao

    2017-12-01

    Full Text Available This paper explores the problem “thinking in the first language then translating into the target language” by examining the process of writing. It is suggested that it is natural to think in the first language and/or to translate and the first language to foreign language translation indirectly enhances the writing ability.

  7. How to write and publish a scientific paper

    CERN Document Server

    Day, Robert A

    2011-01-01

    Writing and publishing journal articles are crucial to scientific careers. Unfortunately, many young scientists find the process of communicating scientific information effectively a complete mystery. By providing practical, readable, and sometimes humorous guidance, this book helps researchers gain the knowledge, skills, and confidence to succeed in communicating about their work. This seventh edition of "How to Write and Publish a Scientific Paper" contains 41 chapters focused upon two separate tasks: how to write the respective sections of a scientific paper and how to publish the paper. Other related topics include approaching a writing project, following ethical principles in scientific publishing, preparing oral presentations and poster presentations, writing grant proposals, and working with the popular media. The authors provide considerable guidance on appropriate scientific writing style as well as an extensive list of words and expressions to avoid - and supply the language to substitute for them.

  8. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  9. Working by projects: A way to enrich critical thinking and the writing process in a third grade eflclassroom

    Directory of Open Access Journals (Sweden)

    Sandra Dolores Ruiz

    2014-01-01

    Full Text Available This document presents the result of a qualitative action research developed with thirty-three third grade students at a public school in Bogota. This action research aimed at describing and analyzing the role of project work in the development of critical thinking in third grade efl students and to describe and document the way in which those students developed their writing skills through this methodology. The participants developed different inquiries related to topics they were interested in exploring and related to the recycling project of the school. The data were collected during eleven sessions carried out along eight months through field notes, artifacts, and audio recordings. The results show that through project work the students enhanced their emerging critical thinking skills and their writing process; improved their interactions with their classmates, discovered that learning English was something useful for their lives, and therefore they acquired more confidence in writing and speaking in English. Additionally, they became more reflective, organized and critical about what they think, what they say, and about what happens around them.

  10. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  11. DIRECT CORRECTIVE FEEDBACK ON STUDENTS‟WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Testiana Deni Wijayatiningsih

    2017-04-01

    Full Text Available Teaching writing genre especially recount, narrative, and descriptive need brief and detail correction. Moreover, students aware about their mistakes in writing genre clearly. So, they can revise themselves. Based on the background above, this research aimed to know students‘achievement differences between using direct corrective feedback and indirect corrective feedback. The subject of this research was the fourth semester of English Department of Universitas Muhammadiyah Semarang. It employed quasi experiment and one group pre test post test design to analyze the students‘ writing achievement. The result showed that there is a significant differences between direct corrective feedback and indirect corrective feedback. Hopefully this research gives benefit for lecturers to use direct corrective feedback in their teaching writing process .

  12. Undergraduate ESL Students’ Difficulties in Writing the Introduction for Research Reports

    Directory of Open Access Journals (Sweden)

    Mirrah Diyana Binti Maznun

    2017-02-01

    Full Text Available This study was conducted to investigate the difficulties encountered by undergraduate ESL students in writing the introduction section of their project reports. Five introduction sections of bachelor of arts students, majoring in English language, were analyzed and a lecturer was interviewed regarding the areas of the students’ weaknesses. Swales’ create-a-research-space (cars model was used as the analytical framework of the study. The results revealed that students confronted problems in writing their introduction for each move especially for move 2, which consists of counter claiming, indicating research gap, raising questions from previous research and continuing tradition. It was also found that the students had difficulty in writing the background of the study, theoretical framework, and statement of the problem which indicated their unawareness of the appropriate rhetorical structure of the introduction section.

  13. Cryogenic process simulation

    International Nuclear Information System (INIS)

    Panek, J.; Johnson, S.

    1994-01-01

    Combining accurate fluid property databases with a commercial equation-solving software package running on a desktop computer allows simulation of cryogenic processes without extensive computer programming. Computer simulation can be a powerful tool for process development or optimization. Most engineering simulations to date have required extensive programming skills in languages such as Fortran, Pascal, etc. Authors of simulation code have also usually been responsible for choosing and writing the particular solution algorithm. This paper describes a method of simulating cryogenic processes with a commercial software package on a desktop personal computer that does not require these traditional programming tasks. Applications include modeling of cryogenic refrigerators, heat exchangers, vapor-cooled power leads, vapor pressure thermometers, and various other engineering problems

  14. No Effect of Writing Advice on Reading Comprehension

    Science.gov (United States)

    Balling, Laura Winther

    2018-01-01

    This article considers text comprehension through the integrated perspectives of language processing research and practical writing advice as expressed in writing guides and language policies. Such guides for instance include advice to use active constructions instead of passives and sentences instead of nominalizations. These recommended and…

  15. How to write your first research paper.

    Science.gov (United States)

    Kallestinova, Elena D

    2011-09-01

    Writing a research manuscript is an intimidating process for many novice writers in the sciences. One of the stumbling blocks is the beginning of the process and creating the first draft. This paper presents guidelines on how to initiate the writing process and draft each section of a research manuscript. The paper discusses seven rules that allow the writer to prepare a well-structured and comprehensive manuscript for a publication submission. In addition, the author lists different strategies for successful revision. Each of those strategies represents a step in the revision process and should help the writer improve the quality of the manuscript. The paper could be considered a brief manual for publication. Copyright © 2011.

  16. Collaborative writing: Tools and tips.

    Science.gov (United States)

    Eapen, Bell Raj

    2007-01-01

    Majority of technical writing is done by groups of experts and various web based applications have made this collaboration easy. Email exchange of word processor documents with tracked changes used to be the standard technique for collaborative writing. However web based tools like Google docs and Spreadsheets have made the process fast and efficient. Various versioning tools and synchronous editors are available for those who need additional functionality. Having a group leader who decides the scheduling, communication and conflict resolving protocols is important for successful collaboration.

  17. The extensive writing. Teaching writing in high school

    Directory of Open Access Journals (Sweden)

    Daniel Cassany Comas

    2016-08-01

    Full Text Available Following the distinction between intensive and extensive reading, we introduce the extensive written tasks to promote the following learning objectives: 1 bringing writing closer to the learner’s personal life; 2 practicing the epistemic and communicative language functions; 3 giving the learner full responsibility for the creative act; 4 facilitating the development of cognitive processes, and 5 developing habits of written production in a variety of situations. As a consequence, extensive writing assignments produce longer texts, last longer, are self-directed by the learner-author, treat interdisciplinary topics and are not in the textbook. These tasks markedly differ from the more frequent written exercises in the classroom, which are teacher-led, contain shorter texts, work on executive or instrumental functions, and their correction is focused on spelling and grammar. We propose several educational tools in order to develop this type of tasks: portfolios (to save drafts, corrections and final versions of each text, formats (such as reading logs, lecture notes and laboratory protocols and contexts (common communicative tasks. We also discuss some basic parameters of extensive tasks, such as the length of the text, the use of several working sessions for text production, the practice of composition processes and the use of peer review, in pairs or teams.

  18. A guide for writing in the scientific forum.

    Science.gov (United States)

    Kotsis, Sandra V; Chung, Kevin C

    2010-11-01

    When considering the importance of scientific writing in disseminating new discoveries and ideas, it is quite remarkable that few physicians have received any formal instruction in this essential process. This article focuses on the fundamental principles of scientific writing that also include a "style and grace" component. The art of good scientific writing is to convey scientific materials in a clear and interesting way, while avoiding incomprehensible sentences that only serve to disguise marginal contents within the article. The goal of this article is to encourage authors and readers to critically examine the art of scientific writing to overcome the barrier to effective communication.

  19. Psychiatric/ psychological forensic report writing.

    Science.gov (United States)

    Young, Gerald

    Approaches to forensic report writing in psychiatry, psychology, and related mental health disciplines have moved from an organization, content, and stylistic framework to considering ethical and other codes, evidentiary standards, and practice considerations. The first part of the article surveys different approaches to forensic report writing, including that of forensic mental health assessment and psychiatric ethics. The second part deals especially with psychological ethical approaches. The American Psychological Association's Ethical Principles and Code of Conduct (2002) provide one set of principles on which to base forensic report writing. The U.S. Federal Rules of Evidence (2014) and related state rules provide another basis. The American Psychological Association's Specialty Guidelines for Forensic Psychology (2013) provide a third source. Some work has expanded the principles in ethics codes; and, in the third part of this article, these additions are applied to forensic report writing. Other work that could help with the question of forensic report writing concerns the 4 Ds in psychological injury assessments (e.g., conduct oneself with Dignity, avoid the adversary Divide, get the needed reliable Data, Determine interpretations and conclusions judiciously). One overarching ethical principle that is especially applicable in forensic report writing is to be comprehensive, scientific, and impartial. As applied to forensic report writing, the overall principle that applies is that the work process and product should reflect integrity in its ethics, law, and science. Four principles that derive from this meta-principle concern: Competency and Communication; Procedure and Protection; Dignity and Distance; and Data Collection and Determination. The standards or rules associated with each of these principles are reviewed. Crown Copyright © 2016. Published by Elsevier Ltd. All rights reserved.

  20. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  1. Editorial: Forms of Collaboration in Writing

    Directory of Open Access Journals (Sweden)

    Elke Van Steendam

    2016-10-01

    Full Text Available This paper introduces a special issue on forms of collaboration in writing. The four contributions in the issue present a range of perspectives on collaborating to produce and construct text. The studies are outcome-driven and/or process-oriented and use a range of research methodologies. Taken together, the papers in the issue confirm the complexity of collaboration in writing and show that many questions remain and much more research is needed. However, the papers also illustrate that the future research focus in collaborative writing might focus on the interactions of variables on the individual, collaborative and contextual level that count rather than the variables separately. Only an all-encompassing picture of the complex interplay between the different variables may allow us to grasp and exploit the full potential of collaborative writing both as an instructional or working method and as a research methodology.

  2. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  3. ADHD and Writing Learning Disabilities: Overlapping Disorders and Educational Implications

    Science.gov (United States)

    Rodríguez, Celestino; Areces, Débora; García, Trinidad; Cueli, Marisol; Loew, Stephen J.; González-Castro, Paloma

    2015-01-01

    In this review, we discuss the historic evolution of ADHD research up until the present, and explain the actual theoretical models of writing in relation to ADHD and attention. Given the characterization of writing as a recursive process, and in order to show its relationship with attention disorders, examples of applicable writing models are also…

  4. VIDEO TO AMPLIFY BANKING STUDENT’S WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Fenny Thresia -

    2017-02-01

    Full Text Available Abstract: Writing is activity to mix between the idea, vocabulary and also grammar. By looking at the problems, the teacher should make the proper method in teaching writing in order to increase the students writing skill and also make the writing be an interesting activity to them. One of the good methods is using video as a media of learning. Video can stimulates the student’s to makes them easier to find the ideas in writing process, because video included 3D and also the complex media. This research was aimed at detecting the influence of using video as a media toward student's writing performance.This research was quantitative research form and the sampling technique was random sampling. The data collection method in this research used the documentation and test that consist of pre-test and pos-test. The data analysis technique of this research used T-test as the hypothetical statistic calculation. Based on the research analysis, there is any positive and significant influence of using video as a media toward students’ writing performance of banking students.

  5. The Power of Photography as a Catalyst for Teaching Informational Writing

    Science.gov (United States)

    Lilly, Elizabeth; Fields, Charla

    2014-01-01

    Writing and photography are composition processes that help develop children's linguistic and visual competencies, respectively. Using photography in teaching writing has been found to enhance students' literacy skills by naturally invoking their interest and motivation, and eventually strengthening the reading-writing relationship. Children can…

  6. Improving the smoking process of kitoza, a traditional Malagasy meat product

    Directory of Open Access Journals (Sweden)

    A. Ratsimba

    2015-06-01

    Kinetics of total phenol accumulation on meat strips (expressed on a dry basis are shown in Figure 4. The traditional process reached about 5 mg/100 g total phenols at end point. The charcoal-saw­dust treatment induced a slight and linear increase in phenols as soon as sawdust was added (i.e. after 4 hours of charcoal cooking/ drying. Phenol content reached about 4 mg/100 g after 2 hours of smoking, a value close to that of the traditionally-processed prod­uct. Phenol contents obtained with sawdust-charcoal and wood treatments reached higher levels (about 10 mg/100 g than that of the traditional one (5 mg/100 g. The sawdust-charcoal treatment provoked very fast and efficient phenol deposit in the beginning, comparable to that of wood alone. It could be explained by the high moisture content of the surface layers of the meat strips in the first period of the process. The phenol content peaked after about one hour of exposure to sawdust smoke. The phenol con­tent remained then unchanged until the end of the process. Since this parameter was expressed on a dry basis, it would mean that the deposited phenols remained in the meat strips and were not destroyed neither did they evaporate. Figure 5 shows the kinet­ics of BaP accumulation on strips on a wet basis. Regardless of the duration of charcoal-sawdust or sawdust-charcoal treatments, BaP contents were lower than 2 μg/kg. For these two treatments, a slight increase was recorded after 3 hours in relation with the concentration effect due to water evaporation. In the wood treat­ment, accumulation was linear and BaP reached very high levels: 20 ppb after 2.5 hours and 40 ppb after 6 hours. In the traditional process, 8 ppb were reached after 2.5 hours. In the latter two cases, this could be related to wood pyrolysis at high temperature in a system allowing direct exposure to fire. Processes without wood are very promising to reduce PAH accu­mulation during drying and smoking. Compared with the tradi

  7. Bodies in Composition: Teaching Writing through Kinesthetic Performance

    Science.gov (United States)

    Butler, Janine

    2017-01-01

    This article calls on composition instructors to reflect consciously on how we can use our bodies kinesthetically to perform multimodal writing processes through gestural, visual, and spatial modes. Teaching writing through kinesthetic performance can show students that our bodies are being constructed via interaction with audiences, akin to the…

  8. Going to the Source: Research Paper Writing Experience.

    Science.gov (United States)

    Rooney, Theresa

    2000-01-01

    First years students' experiences and knowledge about research paper writing were studied to investigate how strongly the process writing movement has influenced instructional practice, and how appropriately students have been prepared for their college experience. Finds that many of the students arrived at college without the experience of…

  9. Developing and assessing EFL students’ writing skills via a class-blog

    Directory of Open Access Journals (Sweden)

    Eleni Daskalogiannaki

    2012-02-01

    Full Text Available This paper presents the implementation and the positive findings of a study that merges blog use and portfolio development for teaching and assessing writing. More specifically, it investigates whether a class blog can be integrated into the Greek EFL teaching context as an effective means to engage learners in process writing and as a form of e-portfolio, where they can keep track of their writing development. It also examines blog use for enhancing students’ motivation, interaction, participation and learning. The study followed a project-based approach and was conducted in a state Junior High School in Greece. Data was collected over a 4-month period via a questionnaire as well as from analyzing students’ writing samples and teacher’s observations of whole-class behavior during blogging. The findings reveal that the blog encouraged students to approach writing as a cognitive process of constant modification, motivated them to write more and better in various writing genres, and helped them become competent, autonomous and critical writers.

  10. How specialized are writing-specific brain regions? An fMRI study of writing, drawing and oral spelling.

    Science.gov (United States)

    Planton, Samuel; Longcamp, Marieke; Péran, Patrice; Démonet, Jean-François; Jucla, Mélanie

    2017-03-01

    Several brain imaging studies identified brain regions that are consistently involved in writing tasks; the left premotor and superior parietal cortices have been associated with the peripheral components of writing performance as opposed to other regions that support the central, orthographic components. Based on a meta-analysis by Planton, Jucla, Roux, and Demonet (2013), we focused on five such writing areas and questioned the task-specificity and hemispheric lateralization profile of the brain response in an functional magnetic resonance imaging (fMRI) experiment where 16 right-handed participants wrote down, spelled out orally object names, and drew shapes from object pictures. All writing-related areas were activated by drawing, and some of them by oral spelling, thus questioning their specialization for written production. The graphemic/motor frontal area (GMFA), a subpart of the superior premotor cortex close to Exner's area (Roux et al., 2009), was the only area with a writing-specific lateralization profile, that is, clear left lateralization during handwriting, and bilateral activity during drawing. Furthermore, the relative lateralization and levels of activation in the superior parietal cortex, ventral premotor cortex, ventral occipitotemporal cortex and right cerebellum across the three tasks brought out new evidence regarding their respective contributions to the writing processes. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. "To My Relations": Writing and Refusal toward an Indigenous Epistolary Methodology

    Science.gov (United States)

    Cisneros, Nora Alba

    2018-01-01

    In this article, the author presents an Indigenous Epistolary Methodology (IEM) to reflect on what it means for Indigenous women to engage the notion of refusal in traditional writing methods and qualitative research. The author proposes that an IEM, nestled within her familial genealogies, Indigenous Knowledges and Chicana Feminist Epistemology…

  12. Ventriloquising the Voice: Writing in the University

    Science.gov (United States)

    Fulford, Amanda

    2009-01-01

    In this paper I consider one aspect of how student writing is supported in the university. I focus on the use of the "writing frame", questioning its status as a vehicle for facilitating student voice, and in the process questioning how that notion is itself understood. I illustrate this by using examples from the story of the 1944 Hollywood film…

  13. Designing Task-Based Syllabus For Writing Class

    Directory of Open Access Journals (Sweden)

    Sundari Hanna

    2018-01-01

    Full Text Available Writing is viewed as the most complex skill to learn and to teach. Beside learner factors, teacher, materials and syllabus may also affect the process of learning language as foreign language. Syllabus, in general, can be defined as a set of what is taught (content and the way it is taught (procedure. This current research aims to design a task-based syllabus for writing class at university level. This study was conducted by qualitative descriptive design with 92 students and 4 lecturers as respondents. As part of research and development project in one private university in Jakarta, a developed task-based syllabus was based on need analysis and the principles of task-based language teaching. Students’ proficiency levels are fair with sentence patterns and grammar as the most difficult aspects. Academic writing is more preferable orientation with the small portions of creative writing. Then, the developed task-based syllabus has been proposed for writing class which covers the components of goal (learning outcome, course description and objectives, a set of writing tasks, features of content focus and language focus and course evaluation. The developed syllabus, then, can guide the lecturers in designing lesson plan and selecting materials for writing class.

  14. TRIVIAL OR COMMENDABLE?: WOMEN'S WRITING, POPULAR CULTURE, AND CHICK LIT

    Directory of Open Access Journals (Sweden)

    Mary Ryan

    2014-10-01

    Full Text Available There are a number of similarities between popular culture and women's writing: both have been dismissed as trivial and worthless, have traditionally received little respect from critics, and have been scorned because of theis apparently "low-brow" appeal. Additionally, both were long excluded from the literary Canon. In contemporary culture, the intersection of popular culture and women's writing takes the form of chick lit, the contemporary genre of fiction starring female characters in their 20s and 30s as they make their way through their lives and tackle all the obstacles in their way. As well as outlining the characteristics and history of chick lit, this paper will discuss the negative reception that popular culture, women's writing, and chick lit has often been subjected to, and will show how studies are now emerging with the aim of demonstrating how such genres may have more worth and potential than is typically suggested.

  15. The Modern Value of Early Writings in Medicine and Dentistry.

    Science.gov (United States)

    Peck, Sheldon

    2016-01-01

    This article illustrates three examples supporting the modern value of early writings in dentistry and medicine. First, by studying cases described in works published long before the era of genetic science, we are able to develop new hypotheses about familial conditions and their genetic roots. Tooth transposition is presented as an example. Second, old writings may lead us to valuable historical insights and perspectives in medicine that can be revealed only in retrospective analysis. An example of this kind of historical analysis uncovers why dentistry became unnaturally separated from mainstream medicine in the 19th century. Third, early writings become keys to unlocking forgotten knowledge that enriches our understanding of historically significant people and events. The discovery of Norman Kingsley's long forgotten pyrographic paintings after Rembrandt portraits is used as an example. Libraries, the traditional custodians of these valued old texts, must continue to be supported, and not undermined by the paperless digital revolution. Copyright American Academy of the History of Dentistry.

  16. Why Are Some Texts Good and Others Not? Relationship between Text Quality and Management of the Writing Processes

    Science.gov (United States)

    Beauvais, Caroline; Olive, Thierry; Passerault, Jean-Michel

    2011-01-01

    Two experiments examined whether text quality is related to online management of the writing processes. Experiment 1 focused on the relationship between online management and text quality in narrative and argumentative texts. Experiment 2 investigated how this relationship might be affected by a goal emphasizing text quality. In both experiments,…

  17. Senior Citizens and Junior Writers--A Center for Exchange: Retired Professionals as Writing Laboratory Tutors for Students Enrolled in Upper-Level Pre-Professional University Writing Courses.

    Science.gov (United States)

    Kleimann, Susan; Meyers, G. Douglas

    The writing center at a Maryland university prepares third-year students for nonacademic, preprofessional writing by using retired professionals as tutors. These tutors are trained by discussing readings centered around the Aristotelean schema of ethos, logos, and pathos and the more recent conception of writing as a problem-solving process. The…

  18. I Have a Voice and Can Speak up for Myself through Writing!

    Science.gov (United States)

    Cuenca-Carlino, Yojanna; Mustian, April L.; Allen, Ruth D.; Gilbert, Jamillah

    2016-01-01

    Writing can be a method of expression for those who cannot or do not feel comfortable expressing themselves verbally. For students with disabilities, however, writing can be a challenging task because they often fail to see writing as a process. This article examines how to use the self-regulated strategy development model of writing, an…

  19. Robotic extrusion processes for direct ink writing of 3D conductive polyaniline structures

    Science.gov (United States)

    Holness, F. Benjamin; Price, Aaron D.

    2016-04-01

    The intractable nature of intrinsically conductive polymers (ICP) leads to practical limitations in the fabrication of ICP-based transducers having complex three-dimensional geometries. Conventional ICP device fabrication processes have focused primarily on thin-film deposition techniques; therefore this study explores novel additive manufacturing processes specifically developed for ICP with the ultimate goal of increasing the functionality of ICP sensors and actuators. Herein we employ automated polymer paste extrusion processes for the direct ink writing of 3D conductive polyaniline (PANI) structures. Realization of these structures is enabled through a modified fused filament fabrication delta robot equipped with an integrated polymer paste extruder. This unique robot-controlled additive manufacturing platform is capable of fabricating high-resolution 3D conductive PANI and has been utilized to produce structures with a minimum feature size of 1.5 mm. The required processability of PANI is achieved by means of a counter-ion induced thermal doping method. Using this method, a viscous paste is formulated as the extrudate and a thermo-chemical treatment is applied post extrusion to finalize the complexation.

  20. Comparison of the neural basis for imagined writing and drawing.

    Science.gov (United States)

    Harrington, Greg S; Farias, Dana; Davis, Christine H; Buonocore, Michael H

    2007-05-01

    Drawing and writing are complex processes that require the synchronization of cognition, language, and perceptual-motor skills. Drawing and writing have both been utilized in the treatment of aphasia to improve communication. Recent research suggests that the act of drawing an object facilitated naming, whereas writing the word diminished accurate naming in individuals with aphasia. However, the relationship between object drawing and subsequent phonological output is unclear. Although the right hemisphere is characteristically mute, there is evidence from split-brain research that the right hemisphere can integrate pictures and words, likely via a semantic network. We hypothesized that drawing activates right hemispheric and left perilesional regions that are spared in aphasic individuals and may contribute to semantic activation that supports naming. Eleven right-handed subjects participated in a functional MRI (fMRI) experiment involving imagined drawing and writing and 6 of the 11 subjects participated in a second fMRI experiment involving actual writing and drawing. Drawing and writing produced very similar group activation maps including activation bilaterally in the premotor, inferior frontal, posterior inferior temporal, and parietal areas. The comparison of drawing vs. writing revealed significant differences between the conditions in areas of the brain known for language processing. The direct comparison between drawing and writing revealed greater right hemisphere activation for drawing in language areas such as Brodmann area (BA) 46 and BA 37.

  1. Collaborative writing: Tools and tips

    Directory of Open Access Journals (Sweden)

    Eapen Bell

    2007-01-01

    Full Text Available Majority of technical writing is done by groups of experts and various web based applications have made this collaboration easy. Email exchange of word processor documents with tracked changes used to be the standard technique for collaborative writing. However web based tools like Google docs and Spreadsheets have made the process fast and efficient. Various versioning tools and synchronous editors are available for those who need additional functionality. Having a group leader who decides the scheduling, communication and conflict resolving protocols is important for successful collaboration.

  2. Learning psychology as a challenging process towards development as well as “studies as usual”: a thematic analysis of medical students’ reflective writing

    Science.gov (United States)

    Semb, Olof; Kaiser, Niclas; Andersson, Sven-Olof; Sundbom, Elisabet

    2014-01-01

    Reflective writing in medical training has been shown to be most effective when combined with some form of personal meeting or dialog. During a course in medical psychology for medical students, reflective texts were followed up by an individual personal talk with a teacher from the course. Thematic analysis of the texts revealed four separate sub-themes: 1) the course has enabled me and the class to develop, which is good albeit arduous; 2) understanding myself is a resource in understanding people as well as knowing psychology; 3) the course provided me with new, purely intellectual skills as well as eye-openers; and 4) the receiving teacher is an integral part of my reflective writing. The main theme, capturing the students’ writing process, concluded that students perceive the course as “Learning psychology as a challenging process towards development” as well as “studies as usual”. Ethical, psychological, and pedagogical aspects are discussed in the paper. PMID:25540601

  3. SCORE A: A Student Research Paper Writing Strategy.

    Science.gov (United States)

    Korinek, Lori; Bulls, Jill A.

    1996-01-01

    A mnemonic strategy for writing a research paper is explained. "SCORE A" reminds the student to select a subject, create categories, obtain sources, read and take notes, evenly organize the information, and apply process writing steps. Implementation of the strategy with five eighth graders with learning disabilities is reported. (DB)

  4. Self-Regulation and Approaches to Learning in English Composition Writing

    Science.gov (United States)

    Magno, Carlo

    2009-01-01

    It is hypothesized in the present study that when learners are tasked to write a composition in a second language (such as English language for Filipinos), they use specific approaches to learning and eventually undergo self-regulatory processes. The present study tested a model showing the shift from process to outcome in writing (Zimmerman &…

  5. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  6. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  7. Using Simulation to Teach Project Management in the Professional Writing Classroom

    Science.gov (United States)

    Krause, Tim

    2010-01-01

    It hardly bears noting that when writing instructors teach professional writing they focus on helping students learn to analyze complex communication scenarios, conduct careful research to support their position, and to responsibly and succinctly apply the process of writing any number of supporting documents. Developing these skills are essential…

  8. The Use of Computers to Aid the Teaching of Creative Writing.

    Science.gov (United States)

    Sharples, Mike

    1983-01-01

    An analysis of the writing process is followed by a description of programs used in a computer-based creative writing scheme developed at Edinburgh University. An account of a project to study the program's effect on the creative writings of 11 year old pupils concludes the article. (EAO)

  9. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  10. Critical Issues in the Teaching of Responsible Writing

    Directory of Open Access Journals (Sweden)

    Miguel Roig

    2014-10-01

    Full Text Available In this paper I identify some of the more common, problematic writing practices (e.g., plagiarism, selective reporting of literature, and/or results, ‘spin’ found in traditional journal articles, along with associated variables, and suggest ways to correct them. The primary aim of the discussion is to emphasize the cultivation of transparency, excellence in scholarship, and a ‘best practices’ approach to disseminating the results of our research.

  11. Using an e-Portfolio System to Improve the Academic Writing Performance of ESL Students

    Science.gov (United States)

    Alshahrani, Ali; Windeatt, Scott

    2012-01-01

    Many intensive English language programmes that English second language (ESL) students enrol in adopt a process approach to writing, interpreting writing as a cognitive process that is highly private or individualistic (Atkinson, 2003), where writers use specific cognitive phases, such as pre-writing, drafting, and revising, to generate their…

  12. Effects of creative writing on adolescent students’ literary response

    NARCIS (Netherlands)

    Janssen, T.; Braaksma, M.; Burke, M.; Fialho, O.; Zyngier, S.

    2016-01-01

    This chapter examines whether creative writing prior to reading influences students’ reading process and appreciation of short stories. Participants were 53 fifteen year old students, assigned to two conditions. In the writing condition students composed their own stories, and then read the authors᾽

  13. An Exploratory Study of Pauses in Computer-Assisted EFL Writing

    Science.gov (United States)

    Xu, Cuiqin; Ding, Yanren

    2014-01-01

    The advance of computer input log and screen-recording programs over the last two decades has greatly facilitated research into the writing process in real time. Using Inputlog 4.0 and Camtasia 6.0 to record the writing process of 24 Chinese EFL writers in an argumentative task, this study explored L2 writers' pausing patterns in computer-assisted…

  14. High-throughput fabrication of micrometer-sized compound parabolic mirror arrays by using parallel laser direct-write processing

    International Nuclear Information System (INIS)

    Yan, Wensheng; Gu, Min; Cumming, Benjamin P

    2015-01-01

    Micrometer-sized parabolic mirror arrays have significant applications in both light emitting diodes and solar cells. However, low fabrication throughput has been identified as major obstacle for the mirror arrays towards large-scale applications due to the serial nature of the conventional method. Here, the mirror arrays are fabricated by using a parallel laser direct-write processing, which addresses this barrier. In addition, it is demonstrated that the parallel writing is able to fabricate complex arrays besides simple arrays and thus offers wider applications. Optical measurements show that each single mirror confines the full-width at half-maximum value to as small as 17.8 μm at the height of 150 μm whilst providing a transmittance of up to 68.3% at a wavelength of 633 nm in good agreement with the calculation values. (paper)

  15. Writing Across the Curriculum -- An Online Course in Computer Security

    Directory of Open Access Journals (Sweden)

    Neelu Sinha, Ph.D.

    2006-07-01

    Full Text Available Writing fosters both critical thinking and student learning, serving as one of the most effective ways to understand a topic. Writing across the Curriculum (WAC began in the late 1970’s, as a pedagogical reform movement in response to a perceived deficiency in literacy among college students. Over the past two decades universities have worked to broaden the scope of student writing from composition classes to classes in the students’ major. This paper chronicles the application of WAC into the discipline of Computer Science. The purpose of this study is to develop an online Computer Security course (for sophomores and juniors in Computer Science, under the umbrella of WAC, to help improve the students’ writing overall and focus on skills students require in upper level courses in the major. Developing this course as an online course (rather than a traditional face-to-face course offers flexible configurability and scalability, features that are useful to prepare students for constantly changing real world security challenges. This paper includes all aspects of course design and insight into lessons learned. Results indicate that both the faculty and students benefit from such a writing intensive course. Reading and responding to the students’ writing enables faculty to gain valuable insights into the students’ thoughts, ideas, problems, and other issues. Students reported increased knowledge and comprehension of the subject material, deeper understanding of the conventions within Computer Science, improved analysis and reporting skills, ability to understand and present abstract concepts effectively, and skill in producing professional documents.

  16. Comparative Study of Powdered Ginger Drink Processed by Different Method:Traditional and using Evaporation Machine

    Science.gov (United States)

    Apriyana, Wuri; Taufika Rosyida, Vita; Nur Hayati, Septi; Darsih, Cici; Dewi Poeloengasih, Crescentiana

    2017-12-01

    Ginger drink is one of the traditional beverage that became one of the products of interest by consumers in Indonesia. This drink is believed to have excellent properties for the health of the body. In this study, we have compared the moisture content, ash content, metal content and the identified compound of product which processed with traditional technique and using an evaporator machine. The results show that both of products fulfilled some parameters of the Indonesian National Standard for the traditional powdered drink. GC-MS analysis data showed the identified compound of both product. The major of hydrocarbon groups that influenced the flavor such as zingiberene, camphene, beta-phelladrine, beta-sesquepelladrine, curcumene, and beta-bisabolene were found higher in ginger drink powder treated with a machine than those processed traditionally.

  17. Laser-based direct-write techniques for cell printing

    Energy Technology Data Exchange (ETDEWEB)

    Schiele, Nathan R; Corr, David T [Biomedical Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States); Huang Yong [Department of Mechanical Engineering, Clemson University, Clemson, SC (United States); Raof, Nurazhani Abdul; Xie Yubing [College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, NY (United States); Chrisey, Douglas B, E-mail: schien@rpi.ed, E-mail: chrisd@rpi.ed [Material Science and Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States)

    2010-09-15

    Fabrication of cellular constructs with spatial control of cell location ({+-}5 {mu}m) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  18. Reticles, write time, and the need for speed

    Science.gov (United States)

    Ackmann, Paul W.; Litt, Lloyd C.; Ning, Guo Xiang

    2014-10-01

    Historical data indicates reticle write times are increasing node-to-node. The cost of mask sets is increasing driven by the tighter requirements and more levels. The regular introduction of new generations of mask patterning tools with improved performance is unable to fully compensate for the increased data and complexity required. Write time is a primary metric that drives mask fabrication speed. Design (Raw data) is only the first step in the process and many interactions between mask and wafer technology such as OPC used, OPC efficiency for writers, fracture engines, and actual field size used drive total write time. Yield, technology, and inspection rules drive the remaining raw cycle time. Yield can be even more critical for speed of delivery as it drives re-writes and wasted time. While intrinsic process yield is important, repair capability is the reason mask delivery is still able to deliver 100% good reticles to the fab. Advanced nodes utilizing several layers of multiple patterning may require mask writer tool dedication to meet image placement specifications. This will increase the effective mask cycle time for a layer mask set and drive the need for additional mask write capability in order to deliver masks at the rate required by the wafer fab production schedules.

  19. Laser-based direct-write techniques for cell printing

    International Nuclear Information System (INIS)

    Schiele, Nathan R; Corr, David T; Huang Yong; Raof, Nurazhani Abdul; Xie Yubing; Chrisey, Douglas B

    2010-01-01

    Fabrication of cellular constructs with spatial control of cell location (±5 μm) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  20. Writing Disabilities in Spanish-Speaking Children: Introduction to the Special Series.

    Science.gov (United States)

    Jiménez, Juan E

    This special issue of the Journal of Learning Disabilities focuses on studies of writing disabilities in Spanish-speaking children. The World Health Organization (2001) included writing difficulties as one of the problems considered to constitute an impediment to school participation, a significant element in the normal developmental process of the child. In this introduction, I describe the background of a larger project promoted by the United Nations Educational, Scientific and Cultural Organization (UNESCO). This special series offers recent findings on writing disabilities in Spanish-Speaking children within the UNESCO project. The pilot study was carried out in the Canary Islands, an autonomous Spanish region located between three continents and composed of seven islands in the Atlantic Ocean. Most of the current empirical evidence on writing disabilities comes from English, a language with deep orthography; therefore, it is very relevant to investigate the writing process in Spanish, a language with shallow, fine-grained orthography. Included are a number of articles that form a conspectus on writing disabilities in the Spanish language. Topics center on early grade writing assessment, prevalence of writing disabilities, handwriting and keyboarding, transcription and text generation, graphonomic and handwriting analysis, and instructional practices with an learning disabled population.

  1. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  2. Obolo (Andoni) Women in Overseas Trade and Traditional Politics ...

    African Journals Online (AJOL)

    This write-up focuses on the activities of Obolo (Andoni) women that contributed to the political and economic growth of Nigeria in the precolonial times. They were found in the traditional politics and external economy of slave and palm oil trades with the Portuguese, the Dutch and the. English. They were also the bulwark of ...

  3. A student guide to proofreading and writing in science.

    Science.gov (United States)

    Hyatt, Jon-Philippe K; Bienenstock, Elisa Jayne; Tilan, Jason U

    2017-09-01

    Scientific writing requires a distinct style and tone, whether the writing is intended for an undergraduate assignment or publication in a peer-reviewed journal. From the first to the final draft, scientific writing is an iterative process requiring practice, substantial feedback from peers and instructors, and comprehensive proofreading on the part of the writer. Teaching writing or proofreading is not common in university settings. Here, we present a collection of common undergraduate student writing mistakes and put forth suggestions for corrections as a first step toward proofreading and enhancing readability in subsequent draft versions. Additionally, we propose specific strategies pertaining to word choice, structure, and approach to make products more fluid and focused for an appropriate target audience. Copyright © 2017 the American Physiological Society.

  4. An integrated approach to enhancing prospective English language teachers' writing skills

    Directory of Open Access Journals (Sweden)

    Recep Sahin Arslan

    2013-10-01

    Full Text Available This study reports on the experience of a group of pre-service teachers of English in a compulsory writing coursein the preparatory program of an English language teaching department in the Turkish context. This studyspecifically attempts to investigate to what extent the writing course contributes to the acquisition of basicconventions of written discourse in English when prospective teachers of English are involved in an extensivewriting practice which is based upon integration of product, process and genre based approaches to writing. Thestudy lasted for a period of 28 weeks with fifty-nine pre-service teachers of English who participated in thestudy. The participants studied the basic genre types which included expository writing such as classification,process, argumentation, opinion, cause and effect, compare and contrast, and narrative paragraphs and essays.The participants specifically received instruction as to the basic constituents of paragraph and essays writing;namely, organization, process, unity, coherence, word choice, language use, grammar, and mechanics whichwere further put into 49 observable competencies. Data were collected through an analytic assessment rubricapplied to participants’ pre-study and post-study essays. In addition, participants were distributed a pre-study anda post-study self-perception questionnaire in order to evaluate any possible improvements in their writingcompetence. The results of the study suggest that exposing pre-service teachers of English to various genres byinvolving them in an extensive writing practice adds to their writing competency positively in learning theprocess of writing practice, organizing the text, including relevant content in the text, using languageappropriately, producing correct grammar, coming up with relevant vocabulary, and following correctmechanical conventions.

  5. Rhetorical, Metacognitive, and Cognitive Strategies in Teacher Candidates’ Essay Writing

    Directory of Open Access Journals (Sweden)

    Claudio Díaz Larenas

    2017-07-01

    Full Text Available This paper reports on a study about the rhetoric, metacognitive, and cognitive strategies pre-service teachers use before and after a process-based writing intervention when completing an argumentative essay. The data were collected through two think-aloud protocols while 21 Chilean English as a foreign language pre-service teachers completed an essay task. The findings show that strategies such as summarizing, reaffirming, and selecting ideas were only evidenced during the post intervention essay, without the use of communication and socio-affective strategies in either of the two essays. All in all, a process-based writing intervention does not only influence the number of times a strategy is used, but also the number of students who employs strategies when writing an essay—two key considerations for the devising of any writing program.

  6. My Teaching Experience With Navajo College Students, Writing Anxiety, Contrastive Rhetoric, and Some Suggestions

    Directory of Open Access Journals (Sweden)

    Yi-Wen Huang

    2015-08-01

    Full Text Available This article analyzes factors that might be associated with Navajo college students’ writing anxiety. Oral tradition, reading, syntax, and past experience are the factors discussed. Suggestions based on previous literature and personal experience are provided.

  7. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  8. Toward a New Process-Based Indicator for Measuring Writing Fluency: Evidence from L2 Writers' Think-Aloud Protocols

    Science.gov (United States)

    Abdel Latif, Muhammad M.

    2009-01-01

    This article reports on a study aimed at testing the hypothesis that, because of strategic and temporal variables, composing rate and text quantity may not be valid measures of writing fluency. A second objective was to validate the mean length of writers' translating episodes as a process-based indicator that mirrors their fluent written…

  9. Process Features in Writing: Internal Structure and Incremental Value over Product Features. Research Report. ETS RR-15-27

    Science.gov (United States)

    Zhang, Mo; Deane, Paul

    2015-01-01

    In educational measurement contexts, essays have been evaluated and formative feedback has been given based on the end product. In this study, we used a large sample collected from middle school students in the United States to investigate the factor structure of the writing process features gathered from keystroke logs and the association of that…

  10. A Study to Determine the Efficacy of an Individualized-Modularized Writing Course.

    Science.gov (United States)

    Calderonello, Alice Heim; And Others

    A study investigated to what extent, if any, the modularization and individualization of composition instruction benefited students with severe skill deficiencies. Gain in writing skills--as measured by pretest/posttest differences--was compared with regard to two groups of students: those taught by a traditional lecture-discussion method of…

  11. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  12. Science Writing and Rhetorical Training: A New Model for Developing Graduate Science Writers

    Science.gov (United States)

    Karraker, N. E.; Lofgren, I.; Druschke, C. G.; McWilliams, S. R.; Morton-Aiken, J.; Reynolds, N.

    2016-12-01

    Graduate programs in the sciences generally offer minimal support for writing and communication, yet there is an increasing need for scientists to engage with the public and policymakers on technological, environmental, and health issues. The traditional focus on gaining particular discipline-related technical skills, coupled with the relegation of writing largely to the end of a student's academic tenure, falls short in equipping them to tackle these challenges. To address this problem, we launched a cross-disciplinary, National Science Foundation-funded training program in rhetoric and writing for science graduate students and faculty at the University of Rhode Island. This innovative program bases curricular and pedagogical support on three central practices, habitual writing, multiple genres, and frequent review, to offer a flexible model of writing training for science graduate students and pedagogical training for faculty that could be adopted in other institutional contexts. Key to the program, called SciWrite@URI, is a unique emphasis on rhetoric, which, we argue, is an essential—but currently lacking—component of science communication education. This new model has the potential to transform graduate education in the sciences by producing graduates who are as adept at the fundamentals of their science as they are at communicating that science to diverse audiences.

  13. The Effect of Multi-step Oral-revision Processes on Iranian EFL Learners’ Argumentative Writing Achievement

    Directory of Open Access Journals (Sweden)

    Farrokhlagha Heidari

    2010-05-01

    Full Text Available The purpose of this study was to explore the role of two multi-step oral-revision processes as feedback providing tools on Iranian EFL learners’ argumentative writing achievement. The participants taking part in this study were 45 Iranian EFL students who were randomly assigned into three groups. The participants of the groups were given three argumentative writing assignments, each assignment demanding three separate drafts. In the control group, the participants revised their essays in response to teacher's written feedback, while the participants of the two experimental groups experienced oral-revision talks with their teacher or a peer. Two sets of quantitative and qualitative data were collected: Argumentative essays written at the beginning and the end of the semester and interviews. The results of the quantitative aspect of the study revealed the significant outperformance of the two experimental groups. Moreover, the data provided through interviews revealed some differences in terms of the effectiveness of feedback between the two experimental groups. The participants of the peer-led group reported more awareness of the rhetorical structures and an ability to revise surface errors. While, the teacher-led group reported more global writing concerns like content, organization of ideas,   and discourse. The obtained results point out that the mutual co-construction of participation roles and certain combinations of negotiation and scaffolding let the teacher provide a supportive conversational environment and assistance in accordance with the proficiency of learners of the teacher-led group to promote greater learner participation.

  14. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  15. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  16. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  17. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  18. Practices of reading and writing in five diferent programs of the Sergio Arboleda university

    Directory of Open Access Journals (Sweden)

    Blanca González

    2010-06-01

    Full Text Available This paper presents the results of an investigation into the practices of reading and writing present in five courses of different programs assigned at the Sergio Arboleda University (Bogotá. The research derives from the following questions: What is the role of reading and writing process in the course of some programs at the University? How is assign, directed and accompanied the task of reading and writing? and how are assessed the progress and results in the process of reading and writing? The information was obtained from written tests, surveys, classroom observations and interviews with teachers of these programs. After the analysis process, were set up five units of information, which in the case of reading were reading assignment, intervention guidance, intervention to clarify, evaluation and assessments of teachers, and for the case of writing: defining text types, intervention process, intervention in the correction process, evaluation and assessments of teachers.

  19. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  20. Rethinking the Writing Process: What Best-Selling and Award-Winning Authors Have to Say

    Science.gov (United States)

    Sampson, Michael R.; Ortlieb, Evan; Leung, Cynthia B.

    2016-01-01

    Increasing attention has been directed recently to literacy education as a means for disciplinary learning and career readiness. All the while, concepts of print have dramatically changed because the majority of reading and writing now occurs in digital formats. Therefore, it is an ideal time to investigate the complexities of the writing process…

  1. Improving Writing Quality: Evaluation Report and Executive Summary

    Science.gov (United States)

    Torgerson, David; Torgerson, Carole; Ainsworth, Hannah; Buckley, Hannah; Heaps, Clare; Hewitt, Catherine; Mitchell, Natasha

    2014-01-01

    Self-Regulated Strategy Development (SRSD) is a writing process model in which students are encouraged to plan, draft, edit, and revise their writing. In this evaluation 23 primary schools and their Year 6 teachers in the Calderdale area of West Yorkshire were randomly allocated to receive training in the SRSD approach from an external consultant.…

  2. The neural basis for writing from dictation in the temporoparietal cortex.

    Science.gov (United States)

    Roux, Franck-Emmanuel; Durand, Jean-Baptiste; Réhault, Emilie; Planton, Samuel; Draper, Louisa; Démonet, Jean-François

    2014-01-01

    Cortical electrical stimulation mapping was used to study neural substrates of the function of writing in the temporoparietal cortex. We identified the sites involved in oral language (sentence reading and naming) and writing from dictation, in order to spare these areas during removal of brain tumours in 30 patients (23 in the left, and 7 in the right hemisphere). Electrostimulation of the cortex impaired writing ability in 62 restricted cortical areas (.25 cm2). These were found in left temporoparietal lobes and were mostly located along the superior temporal gyrus (Brodmann's areas 22 and 42). Stimulation of right temporoparietal lobes in right-handed patients produced no writing impairments. However there was a high variability of location between individuals. Stimulation resulted in combined symptoms (affecting oral language and writing) in fourteen patients, whereas in eight other patients, stimulation-induced pure agraphia symptoms with no oral language disturbance in twelve of the identified areas. Each detected area affected writing in a different way. We detected the various different stages of the auditory-to-motor pathway of writing from dictation: either through comprehension of the dictated sentences (word deafness areas), lexico-semantic retrieval, or phonologic processing. In group analysis, barycentres of all different types of writing interferences reveal a hierarchical functional organization along the superior temporal gyrus from initial word recognition to lexico-semantic and phonologic processes along the ventral and the dorsal comprehension pathways, supporting the previously described auditory-to-motor process. The left posterior Sylvian region supports different aspects of writing function that are extremely specialized and localized, sometimes being segregated in a way that could account for the occurrence of pure agraphia that has long-been described in cases of damage to this region. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  4. Words and wards: a model of reflective writing and its uses in medical education.

    Science.gov (United States)

    Shapiro, Johanna; Kasman, Deborah; Shafer, Audrey

    2006-01-01

    Personal, creative writing as a process for reflection on patient care and socialization into medicine ("reflective writing") has important potential uses in educating medical students and residents. Based on the authors' experiences with a range of writing activities in academic medical settings, this article sets forth a conceptual model for considering the processes and effects of such writing. The first phase (writing) is individual and solitary, consisting of personal reflection and creation. Here, introspection and imagination guide learners from loss of certainty to reclaiming a personal voice; identifying the patient's voice; acknowledging simultaneously valid yet often conflicting perspectives; and recognizing and responding to the range of emotions triggered in patient care. The next phase (small-group reading and discussion) is public and communal, where sharing one's writing results in acknowledging vulnerability, risk-taking, and self-disclosure. Listening to others' writing becomes an exercise in mindfulness and presence, including witnessing suffering and confusion experienced by others. Specific pedagogical goals in three arenas-professional development, patient care and practitioner well-being - are linked to the writing/reading/listening process. The intent of presenting this model is to help frame future intellectual inquiry and investigation into this innovative pedagogical modality.

  5. Writing learning cases for an information literacy tutorial

    OpenAIRE

    Gunhild Austrheim

    2010-01-01

    The research and writing processes are often hidden mysteries to our students. A key point in the online tutorial Search and Write (Søk and Skriv) has been to supply our students with tools to handle these processes. Learning cases embedded in the tutorial allow us to demonstrate a variety of working techniques and to better cater for a diverse student population. The tutorial can be used as an independent resource for students and as a teaching aid for both library sessions on inform...

  6. Writing in turbulent air.

    Science.gov (United States)

    Bominaar, Jeroen; Pashtrapanska, Mira; Elenbaas, Thijs; Dam, Nico; ter Meulen, Hans; van de Water, Willem

    2008-04-01

    We describe a scheme of molecular tagging velocimetry in air in which nitric oxide (NO) molecules are created out of O2 and N2 molecules in the focus of a strong laser beam. The NO molecules are visualized a while later by laser-induced fluorescence. The precision of the molecular tagging velocimetry of gas flows is affected by the gradual blurring of the written patterns through molecular diffusion. In the case of turbulent flows, molecular diffusion poses a fundamental limit on the resolution of the smallest scales in the flow. We study the diffusion of written patterns in detail for our tagging scheme which, at short (micros) delay times is slightly anomalous due to local heating by absorption of laser radiation. We show that our experiments agree with a simple convection-diffusion model that allows us to estimate the temperature rise upon writing. Molecular tagging can be a highly nonlinear process, which affects the art of writing. We find that our tagging scheme is (only) quadratic in the intensity of the writing laser.

  7. Clinical writing and the documentary construction of schizophrenia.

    Science.gov (United States)

    Barrett, R J

    1988-09-01

    Psychiatric practice involves writing as much as it involves talking. This study examines the interpretive processes of reading, writing and interviewing which are central to the clinical interaction. It is part of a broader ethnographic study of an Australian psychiatric hospital (which specializes in the treatment of patients with a diagnosis of schizophrenia). The paper examines two major types of written assessment of patients--the admission assessment and the 'complete work-up.' Writing is analyzed as performance, thereby focusing on the transformations that are effected in patients, their perceptions of their schizophrenia, and their total identity. One crucial transformation is from 'person suffering from schizophrenia' to 'schizophrenic.' The paper aims to show that as much as psychiatry is a 'talking cure' it is also a 'writing cure.'

  8. Scientific and technical reports how to write and illustrate

    CERN Document Server

    Sharma, B C

    2014-01-01

    Scientific and technical reports: How to Write and Illustrate provides step-by-step advice on tackling various tasks associated with report writing like gathering information, analyzing information, preparing an outline, writing a rough draft and revising. Many examples illustrate the processes involved at various steps. A stepwise approach to computer-assisted preparation of tables and various types of figures like line drawings, bar charts, histograms, flowcharts, etc., is provided. Also presented are suggestions about how to use commonly available computer programs to give visual shape to ideas, concepts, processes and cause and effect relations described in the text. Use of readability tests is explained as a screening system for checking comprehensibility of language used. Readers are alerted to some of the common pitfalls in science writing like redundancy, overuse of nouns, noun chains, excessive use of passive voice, use of overlong sentences and ambiguity. Checklist at the end of each chapter sums up...

  9. Current State of the Teaching of Process Writing in EFL Classes: An Observational Study in the Last Two Years of Secondary School

    Directory of Open Access Journals (Sweden)

    Edgar Mendoza López

    2005-10-01

    Full Text Available This study reports on the process writing activities carried out in EFL classes in the last two years of secondary school. Grades 10 and 11 in six Colombian high schools - three public and three private - were observed in order to determine the way process writing is taught, focusing especially on the planning, composing, and revising activities, and based on the understanding of writing as an activity with a process-oriented approach. The findings indicate that writing and also reading are product-oriented, and that class activities tend to place or emphasize listening and speaking over writing and reading. Most of the time was spent on oral exercises, drills, role-plays and pronunciation, being group work and role-play activities what students enjoyed most.Este estudio presenta las actividades de escritura realizadas en las clases de inglés como lengua extranjera en los dos últimos grados de secundaria (10 y 11 de 6 colegios - tres públicos y tres privados. La observación se enfocó en la forma en que se enseña la escritura, especialmente en lo relacionado con las actividades de planeación, formulación y revisión con base en la concepción de la escritura como un proceso. Los resultados indican que la lectura y la escritura están orientadas hacia el producto, y que las clases privilegian la escucha y el habla sobre la lectura y la escritura. La mayor parte del tiempo se dedicó a ejercicios orales y escritos, juegos de roles y pronunciación, y los estudiantes disfrutaron más los trabajos en grupo y los juegos de roles.

  10. The effect of digital storytelling in improving the third graders' writing skills

    Directory of Open Access Journals (Sweden)

    Ahmet Yamaç

    2016-09-01

    Full Text Available The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with digital storytelling was profoundly and carefully explored through observation and field notes, interviews, audio and video records, student diaries and documents, and student products. The results indicated that digital storytelling enhanced students’ ideas, organization, word choice, sentence fluency, and conventions in terms of writing quality. Similarly, the digital storytelling improved story elements and word counts in stories. In terms of the quality of students’ digital stories, the results demonstrated a steady progress in the elements of digital stories, and the technology literacy and competency of students throughout the process. Besides, the digital storytelling modified the process of narrative writing, and emerged as a beneficial tool to overcome the digital divide by developing students’ new literacy perception, competency, and skills. The digital storytelling also created learning community by improving interactions among students in the classroom, and increased their motivation to write.

  11. The Effect of Digital Storytelling in Improving the Third Graders' Writing Skills

    Directory of Open Access Journals (Sweden)

    Ahmet YAMAÇ

    2016-09-01

    Full Text Available The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with digital storytelling was profoundly and carefully explored through observation and field notes, interviews, audio and video records, student diaries and documents, and student products. The results indicated that digital storytelling enhanced students’ ideas, organization, word choice, sentence fluency, and conventions in terms of writing quality. Similarly, the digital storytelling improved story elements and word counts in stories. In terms of the quality of students’ digital stories, the results demonstrated a steady progress in the elements of digital stories, and the technology literacy and competency of students throughout the process. Besides, the digital storytelling modified the process of narrative writing, and emerged as a beneficial tool to overcome the digital divide by developing students’ new literacy perception, competency, and skills. The digital storytelling also created learning community by improving interactions among students in the classroom, and increased their motivation to write.

  12. Modern Writing: The Effect of Process on Product and Perception

    Science.gov (United States)

    2009-04-01

    storytellers returning from war. With a vacuum in memoirs on the market, it has opened the door to joumalists who have publishers and advertisers behind them to...their accomplishments back home. The process of storytelling has evolved greatly from the days of oral tradition and the first "Romances" during the...Desert Storm. 17 MODERN STORyTELLING 20 CONCLUSION 25 ENDNOTES 27 BIBLIOGRAPHy 30 111 J Since WorId Wirr I, servicemembers have used the memoir to describe

  13. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  14. Profiles and Pauses: Two Practical Activities for the Writing Class

    Directory of Open Access Journals (Sweden)

    Ernest Hall

    1998-01-01

    Full Text Available Abstract : This article describes two classroom activities, "Profiling" and "Pause Analysis", that can be successfully used in ESL writing classes. "Profiling" addresses such problems as poor development of ideas, simplistic ideas, and lack of coherence in written texts. "Pause Analysis" focusses on the thinking processes that students engage in while drafting text, processes such as searching for ideas, evaluat­ing ideas, and postponing ideas. Both activities enable the instructor to assume the role of intervener in the students' writing processes, rather than evaluator of the text produced. In drawing The attention of the student write to both product and process, "Profiling" and "Pause Analysis" help them develop an awareness of the relation-ship between ideas in English expository text and the thinking pro­cesses that writers engage in while drafting such text.

  15. Developing Research Paper Writing Programs for EFL/ESL Undergraduate Students Using Process Genre Approach

    Science.gov (United States)

    Tuyen, Kim Thanh; Bin Osman, Shuki; Dan, Thai Cong; Ahmad, Nor Shafrin Binti

    2016-01-01

    Research Paper Writing (RPW) plays a key role in completing all research work. Poor writing could lead to the postponement of publications. Therefore, it is necessary to develop a program of (RPW) to improve RPW ability for EFL/ESL writers, especially for undergraduate students in Higher Education (HE) institutions, which has caught less attention…

  16. Language Learners' Writing Task Representation and Its Effect on Written Performance in an EFL Context.

    Science.gov (United States)

    Zarei, Gholam Reza; Pourghasemian, Hossein; Jalali, Hassan

    2017-06-01

    The present study attempts to give an account of how students represent writing task in an EAP course. Further, the study is intended to discover if learners' mental representation of writing would contribute to their written performance. During a 16-week term, students were instructed to practice writing as a problem solving activity. At almost the end of the term, they were prompted to write on what they thought writing task was like and also an essay on an argumentative topic. The results revealed that students could conceptualize the instructed recursive model of writing as a process-based, multi-dimensional and integrated activity inducing self-direction and organization while holding in low regard the product view of writing. The findings also demonstrated that task representation was related to the students' writing performance, with process oriented students significantly outperforming the product-oriented ones. Also, it was found that task representation components (ideational, linguistic, textual, interpersonal) had a significant relationship with the written performance ([Formula: see text]; Sig.: 0.006). The study can have both theoretical and practical implications with regard to the factors involving the students' writing internal processes and their effects on written performance.

  17. STUDENT TEAMS-ACHIEVEMENT DIVISION TO IMPROVE STUDENTS’ WRITING SKILL

    Directory of Open Access Journals (Sweden)

    Sri Wahyuni

    2015-09-01

    Full Text Available Acquiring writing skill needs a lot of practices, and to produce a piece of writing needs a long process; hence, the appropriate method of the teaching and learning is very important to help students master writing skill. This article aims at reporting a research on the implementation of Student Teams-Achievement Division (STAD as an alternative teaching method to improve students’ writing skill. Through Classroom Action Research design, the researcher did the research at fourth semester students of English Education study program of STAIN Kediri in academic year 2012-1013. The research procedures are planning, implementing, observing, and reflecting. The findings show that the implementation of STAD can improve the students’ writing skill which were indicated by the high percentage of the students’ active involvement and positive response on the implementation, and the students’ product of writing in which all of writing components can achieve good level in marking scheme as the minimum level.

  18. Revision Strategies for Adolescent Writers: Moving Students in the Write Direction

    Science.gov (United States)

    Borgese, Jolene; Heyler, Dick; Romano, Stephanie

    2011-01-01

    For many secondary students, writing effectively is the most elusive of the critical literacy skills needed for college and career readiness. And for many teachers, revision is the most difficult part of the writing process to tackle. How can adolescent writers be guided to revisit their work, to identify the weaknesses in their writing drafts,…

  19. Trivial or Commendable? : Women’s Writing, Popular Culture, and Chick Lit

    Directory of Open Access Journals (Sweden)

    Ryan, Mary

    2010-07-01

    Full Text Available There are a number of similarities between popular culture and women's writing: both have been dismissed as trivial and worthless, have traditionally received little respect from critics, and have been scorned because of theis apparently "low-brow" appeal. Additionally, both were long excluded from the literary Canon. In contemporary culture, the intersection of popular culture and women's writing takes the form of chick lit, the contemporary genre of fiction starring female characters in their 20s and 30s as they make their way through their lives and tackle all the obstacles in their way. As well as outlining the characteristics and history of chick lit, this paper will discuss the negative reception that popular culture, women's writing, and chick lit has often been subjected to, and will show how studies are now emerging with the aim of demonstrating how such genres may have more worth and potential than is typically suggested.

  20. Learning psychology as a challenging process towards development as well as “studies as usual”: a thematic analysis of medical students’ reflective writing

    Directory of Open Access Journals (Sweden)

    Semb O

    2014-12-01

    Full Text Available Olof Semb,1 Niclas Kaiser,2 Sven-Olof Andersson,1 Elisabet Sundbom3 1Department of Clinical Sciences, Division for Professional Development, Umeå University, Umeå, Sweden; 2Department of Psychology, Umeå University, Umeå, Sweden; 3Department of Clinical Sciences, Division for Psychiatry and Medical Psychology, Umeå University, Umeå, Sweden Abstract: Reflective writing in medical training has been shown to be most effective when combined with some form of personal meeting or dialog. During a course in medical psychology for medical students, reflective texts were followed up by an individual personal talk with a teacher from the course. Thematic analysis of the texts revealed four separate sub-themes: 1 the course has enabled me and the class to develop, which is good albeit arduous; 2 understanding myself is a resource in understanding people as well as knowing psychology; 3 the course provided me with new, purely intellectual skills as well as eye-openers; and 4 the receiving teacher is an integral part of my reflective writing. The main theme, capturing the students’ writing process, concluded that students perceive the course as “Learning psychology as a challenging process towards development” as well as “studies as usual”. Ethical, psychological, and pedagogical aspects are discussed in the paper. Keywords: reflective writing, self-knowledge, individual personal talks, thematic analysis, medical education

  1. The Effect of the Peer-Review Technique on Students’ Writing Ability

    Directory of Open Access Journals (Sweden)

    Martin Kustati

    2014-10-01

    Full Text Available The  aim of this  study  was  to investigate  if  there could be a  significant positive effect by using Peer-Review Technique (PRT on students‟ ability in writing  English. An experimental research  method  was used in this study. A writing test based on the indicators of Jacobs et al. (1981 was completed by 65  undergraduate  students  in  English  from  the  English Department  at  the Faculty  of Education and Teacher Training in the  State Institute for Islamic Studies “Imam Bonjol‟  at  Padang.  The students  were divided into two groups:  an  experimental group (n=33 and  a  control group (n=32. Both groups  were similar in terms of academic level,  the  given writing task and their  target language  [English]   proficiency. The experimental participants were introduced to  Peer-Review  Technique in  essay writing sessions whilst the control group participants were taught through the teacher’s traditional feedback (TTF whereby  students worked individually to produce their texts. The findings  showed that PRT gave  a  significant  improvement  effect on  the students‟ writing abilities. This study is expected to serve as (1  data  for further  developing PRT; (2 input for  lecturers  in  writing  to  develop more effective and innovative learning; and (3  additional  material  for  the development of critical and cooperative learning theories in teaching writing.

  2. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  3. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  4. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  5. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  6. Reading/Writing Women in Myriam Warner-Vieyra's Juletane

    Directory of Open Access Journals (Sweden)

    Bella Brodzki

    1993-01-01

    Full Text Available Voicelessness, alienation, confinement, deracination, rupture, exclusion, madness and exile: the thematic preoccupations of Myriam Warner-Vieyra's Juletane are familiar to readers of francophone Caribbean women's writing. The legacy of slavery and 20th century departmentalization have produced a complex politics of identity, whose points of reference and sites of longing—though privileged in a variety of ways in the psyches of Caribbean subjects—are Africa and France. The orphaned protagonist Juletane seeks love in Africa in the heady days before Independence. Warner-Vieyra uses the device of the fictional first-person journal mode to examine Juletane's disillusionment as well as the interplay of colonially-produced cultural differences among Caribbean and West African women in a traditional West African community. One of the effects of this devastating narrative is that Western feminist criticism's universalizing theories about reading and writing appear hopelessly reductive from a contemporary francophone African perspective.

  7. Bilingual practices in the process of initiating and resolving lexical problems in students' collaborative writing sessions

    OpenAIRE

    Jansson, Gunilla

    2007-01-01

    International audience; This study deals with the sequential organization of language choice and code-switching between Persian as a first language and Swedish as a second language in the process of initiating and resolving a problem of understanding and producing the correct version of a lexical item. The data consist of detailed transcripts of audio tapings of two bilingual students' collaborative writing sessions within the frame of a one-year master's program in computer science in a mult...

  8. Mind-to-paper is an effective method for scientific writing

    DEFF Research Database (Denmark)

    Rosenberg, Jacob; Burcharth, Jakob; Pommergaard, Hans Christian

    2013-01-01

    The problem of initiating the writing process is a well-known phenomenon, especially for young and inexperienced scientists. The purpose of this paper is to present an effective method to overcome this problem and increase writing efficiency among inexperienced scientists....

  9. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  10. Preparing a Health Care White Paper: Providing Structure to the Writing Process.

    Science.gov (United States)

    Rotarius, Timothy; Rotarius, Velmarie

    2016-01-01

    Health care leaders operate in a very complex and turbulent business environment. Both government regulations and market forces are very active in the industry. Thus, health care managers have many multifaceted and, sometimes, contradictory expectations placed upon them and their organizations. To ensure professional accountability, health care executives often join professional associations and strive for licenses and certifications that are intended to place the professional above the rest. One important avenue to achieve various licensing and certification accomplishments involves writing a white paper about a specific topic of interest to the industry and organization. Presented herein are structural processes that facilitate the creation and preparation of a health care white paper. Both conceptual and empirical structures of white papers are presented, with the similarities and the differences between conceptual and empirical papers highlighted.

  11. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  12. On using verbs appropriately in academic English writing

    Directory of Open Access Journals (Sweden)

    Khrabrova Valentina Evgenievna

    2016-12-01

    Full Text Available The article is concerned with English action verbs as key elements of academic English writing. Due to cognitive and semantic characteristics, verbs in the predicate function, by contrast with deverbative suffixal nouns and adjectives as parts of nominal predicates, convey the meaning of written message more concisely. The article is provided with verb classifications aimed at systematizing the information about verbs and developing a conscious approach to choosing verbs in the writing process. Syntactic transformation, limitation of passive voice forms, substitution of action verbs for stative verbs, adjectives and nouns entail perfecting the second language student writing skills.

  13. Writing Together to Get AHEAD: an interprofessional boot camp to support scholarly writing in the health professions.

    Science.gov (United States)

    von Isenburg, Megan; Lee, Linda S; Oermann, Marilyn H

    2017-04-01

    Writing for publication is an integral skill for both sharing research findings and career advancement, yet many faculty lack expertise, support, and time to author scholarly publications. Health professions educators identified writing as an area in which a new educators' academy could offer support. To address this need, a writing task force was formed consisting of a librarian, a School of Medicine faculty member, and a School of Nursing faculty member. The task force launched two initiatives to motivate and support faculty writing and publication over two academic years. In the first year, a structured interprofessional "boot camp" consisting of a sequenced, modularized approach to manuscript completion was offered. In the second year, community building, in-person writing sessions, and incentives were added to the structured tasks. In year one, twenty participants enlisted in the boot camp, nine of whom completed a manuscript for submission by the end of the program. Qualitative feedback indicated potential improvements, which were put in place in the second program. In year two, twenty-eight participants enrolled, and eleven submitted thirteen manuscripts for publication by the end of the program. Structured tasks, frequent deadlines, and professional editorial assistance were highly valued by participants. Time remains a barrier for faculty seeking to complete manuscripts. As experts in many facets of the publication process, librarians are well positioned to partner with others to facilitate faculty and staff development in writing.

  14. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  15. THE USE OF PAIR COMPOSITION METHOD ON STUDENTS’ DESCRIPTIVE WRITING SKILL

    Directory of Open Access Journals (Sweden)

    Maya Nurmayanti

    2014-06-01

    Full Text Available This research was aimed to find out roles of pair composition method on students’ behaviour in learning processes and their writing assessment, and also to find out students’ attitude toward learning process of pair composition method in writing descriptive text in the classroom. Descriptive qualitative method was used in this research. The participants were 33 students of X grade of Senior High School. The data were collected from observation, the result of analysis showed that 66% of the seriousness of students during learning process was high, 78% of enthusiasm of students in doing task was high, and 58% of students’ participation was high. The increasing of students’ assessment was 18,7 from the average score before. The data collected from interview and questionnaire indicated that pair composition method provided the opportunities for sharing ideas, developing text, corresting writing errors, motivating students being active, and 50% students strongly agreed that pair composition method on writing descriptive text was lively and enjoyable.

  16. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  17. Investigating the Effect of Using Self-Assessment on Iranian EFL Learners' Writing

    Science.gov (United States)

    Heidarian, Nakisa

    2016-01-01

    This study investigated the effect of using self-assessment on Iranian EFL learners' writing. The purpose of this study was to demonstrate whether using of self-assessment as an assessment method was influential in developing learners' English writing performance generally writing processes specifically. The participants of this study consisted of…

  18. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  19. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  20. Autopsy: Traditional Jewish laws and customs "Halacha".

    Science.gov (United States)

    Goodman, Norman R; Goodman, Jeffrey L; Hofman, Walter I

    2011-09-01

    Judaism has many traditions, customs, rules, and laws, which relate to the proper and ethical disposition of a decedent when a Medical Examiner/ Coroner is involved. In almost all United States jurisdictions, statutes mandate the need to determine the cause and manner of death (Coroners' Act PA Pl. 323, num. 130, section 1237). This article is a review of some religious writings, legal precedents, and forensic authorities, which may help to assist the Medical Examiner/Coroner when confronted with a Jewish decedent. There can be flexibility as to the extent that such forensic studies can and should be performed. The final consent and interpretation of the rules, laws, traditions, and customs will rest with the courts and local rabbinic authority.

  1. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  2. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  3. Exploring Construction of College English Writing Course from the Perspective of Output-Driven Hypothesis

    Science.gov (United States)

    Ying, Zhang

    2018-01-01

    English writing is regarded as the most difficult task by Chinese EFL learners. Due to the existing problems in present college English writing instruction, teachers fail to provide effective guidance in students' writing process and students report a low level of motivation and confidence in writing tasks. Through purposeful reading discussions…

  4. Brain Activation Associated with Practiced Left Hand Mirror Writing

    Science.gov (United States)

    Kushnir, T.; Arzouan, Y.; Karni, A.; Manor, D.

    2013-01-01

    Mirror writing occurs in healthy children, in various pathologies and occasionally in healthy adults. There are only scant experimental data on the underlying brain processes. Eight, right-handed, healthy young adults were scanned (BOLD-fMRI) before and after practicing left-hand mirror-writing (lh-MW) over seven sessions. They wrote dictated…

  5. The Impact of Electronic Communication on Writing. ERIC Digest.

    Science.gov (United States)

    Abdullah, Mardziah Hayati

    Noting that electronic communication places new demands on language that leads to interesting variations in written language use, this Digest summarizes insights gained from research on writing behavior and performance in the electronic age. It concludes that both the process and the content of writing are evolving in response to the increased use…

  6. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  7. The art of scientific writing

    Science.gov (United States)

    Gad-El-Hak, Mohamed

    2015-11-01

    The humanities teach students how to learn and communicate. Science teaches why everything works. Engineering teaches how to make things work. But scientists and engineers need to communicate their ideas amongst themselves as well as to everyone else. A newly developed technical writing course is outlined. In the class, offered to senior undergraduate and beginning graduate students, we read numerous short novels, essays, and op-eds. Some of the reading materials are technical but many are not. The students also have weekly writing assignments. When the first assignment is returned to the students with a grade of 20-30%, their first reaction is, ``how come I did not receive my usual 80-90%?'' I retort, ``you reach that level only when your essay is ready to be published in The New York Times.'' What is emphasized in the class is the process of creating something to write about, researching that something, expressing ideas coherently and comprehensibly, then endlessly editing the essay. The elective class has been offered three times thus far, all of its available seats are always filled, the students' evaluations have been outstanding, and the improvements in the students' ability to write by the end of the semester is quite impressive.

  8. The Effect of Digital Storytelling in Improving the Third Graders' Writing Skills

    Science.gov (United States)

    Yamac, Ahmet; Ulusoy, Mustafa

    2016-01-01

    The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with…

  9. Bullshit in Academic Writing: A Protocol Analysis of a High School Senior's Process of Interpreting "Much Ado about Nothing"

    Science.gov (United States)

    Smagorinsky, Peter; Daigle, Elizabeth Anne; O'Donnell-Allen, Cindy; Bynum, Susan

    2010-01-01

    This article reports a study of one high school senior's process of academic bullshitting as she wrote an analytic essay interpreting Shakespeare's "Much Ado about Nothing." The construct of bullshit has received little scholarly attention; although it is known as a common phenomenon in academic speech and writing, it has rarely been the subject…

  10. The Gospel of Matthew, John the elder and the Papias tradition: A ...

    African Journals Online (AJOL)

    p1243322

    this effect was made by Irenaeus around the year 180, who said that Matthew ... 2005:50). The first of these is to establish the early date of Papias' writings, ..... was passing on a tradition that Matthew wrote his Gospel in a Hebrew style; in short ...

  11. Barriers, Challenges, and Decision-Making in the Letter Writing Process for Gender Transition.

    Science.gov (United States)

    Budge, Stephanie L; Dickey, Lore M

    2017-03-01

    This article addresses the challenges that clinicians face in writing letters of support for transgender and gender-diverse clients. It addresses common but challenging clinical representations to help the reader understand the nuances associated with writing letters. Three cases are presented. The first addresses systemic challenges, the second addresses management of care, and the third addresses co-occurring mental health concerns. Recommendations for practice are provided based on the experiences included within the 3 case examples. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. Impact of Narrative Expressive Writing on Heart Rate, Heart Rate Variability, and Blood Pressure After Marital Separation.

    Science.gov (United States)

    Bourassa, Kyle J; Allen, John J B; Mehl, Matthias R; Sbarra, David A

    Divorce is a common stressor that is associated with increased risk for poor long-term physical and mental health. Using an experimental design, the current study examined the impact of expressive writing (EW) on average heart rate (HR), HR variability (HRV), and blood pressure (BP) 7.5 months later. Participants from a community sample of recently separated adults (N = 109) were assigned to one of three conditions: traditional EW, narrative EW, or a control writing condition, and were assessed three times for an average of 7.5 months. Each study visit included 27 minutes of physiological assessment; the primary outcomes at each assessment were mean-level HR, HRV, BP scores averaged across six different tasks. Participants in the traditional EW condition did not significantly differ from control participants in their later HR, HRV, or BP. However, relative to control participants, those in the narrative EW condition had significantly lower HR (B = -3.41, 95% confidence interval = -5.76 to -1.06, p = .004) and higher HRV 7.5 months later (B = 0.41, 95% confidence interval = 0.16 to 0.74, p = .001). When comparing narrative EW participants to those in the traditional EW and control writing as a single group, these effects remained and were moderately sized, Cohen d values of -0.61 and 0.60, respectively, and durable across all task conditions when analyzed in independent models. The writing condition groups did not differ in their later BP. Narrative EW decreased HR and increased HRV after marital separation but did not affect BP. We discuss the possible disconnect between psychology and physiology in response to EW, as well as possible future clinical applications after marital separation.

  13. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  14. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  15. Avant-Garde Ultrafast Laser Writing

    Directory of Open Access Journals (Sweden)

    Kazansky P. G.

    2013-11-01

    Full Text Available Ultrafast laser processing of transparent materials reveals new phenomena. Reviewed, are recent demonstrations of 5D optical memory, vortex polarization and Airy beam converters employing self-assembled nanostructuring, ultrafast laser calligraphy and polarization writing control using pulses with tilted front.

  16. Nanoscale Engineering in VO2 Nanowires via Direct Electron Writing Process.

    Science.gov (United States)

    Zhang, Zhenhua; Guo, Hua; Ding, Wenqiang; Zhang, Bin; Lu, Yue; Ke, Xiaoxing; Liu, Weiwei; Chen, Furong; Sui, Manling

    2017-02-08

    Controlling phase transition in functional materials at nanoscale is not only of broad scientific interest but also important for practical applications in the fields of renewable energy, information storage, transducer, sensor, and so forth. As a model functional material, vanadium dioxide (VO 2 ) has its metal-insulator transition (MIT) usually at a sharp temperature around 68 °C. Here, we report a focused electron beam can directly lower down the transition temperature of a nanoarea to room temperature without prepatterning the VO 2 . This novel process is called radiolysis-assisted MIT (R-MIT). The electron beam irradiation fabricates a unique gradual MIT zone to several times of the beam size in which the temperature-dependent phase transition is achieved in an extended temperature range. The gradual transformation zone offers to precisely control the ratio of metal/insulator phases. This direct electron writing technique can open up an opportunity to precisely engineer nanodomains of diversified electronic properties in functional material-based devices.

  17. Dismantling Romantic Utopias: María Beneyto's Poetry Between Tradition and Protest

    Directory of Open Access Journals (Sweden)

    Candelas S. Gala

    1999-06-01

    Full Text Available Despite the fact that Vicente Aleixandre considered her one of the best young authors of the generation of social poets of the 1950s, María Beneyto's writings have been disregarded by critics. While sharing the social concerns of the other poets of her generation, Beneyto's poetry also reveals the dilemma of the woman author facing a cultural tradition that espouses pre-established models for her conduct and identity patterned mostly in accordance with tenets of Romanticism. Beneyto resorts to those models as projections of herself as she seeks to articulate her own identity as woman and author. The objective of this essay is to explore Beneyto's reflection on her own identity as woman and poet and, through that process, on the nature of poetic language. By adopting the identity of Eve as the embodiment of instinctual and primitive life that culture has suppressed, or by addressing the role of the mother or angel of the hearth, or the identity of Ophelia, George Sand, or Madame Bovary, Beneyto's poetic speaker hopes to make audible their silenced voices and to contest the rigidity that cultural convention imposes on those roles. Beneyto's poetry destabilizes the essentialism of those models and comes to an understanding of female identity and writing as a constant process of redefinition based on the individual woman's exchanges with the surrounding context.

  18. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  19. Assisted Writing in Spin Transfer Torque Magnetic Tunnel Junctions

    Science.gov (United States)

    Ganguly, Samiran; Ahmed, Zeeshan; Datta, Supriyo; Marinero, Ernesto E.

    2015-03-01

    Spin transfer torque driven MRAM devices are now in an advanced state of development, and the importance of reducing the current requirement for writing information is well recognized. Different approaches to assist the writing process have been proposed such as spin orbit torque, spin Hall effect, voltage controlled magnetic anisotropy and thermal excitation. In this work,we report on our comparative study using the Spin-Circuit Approach regarding the total energy, the switching speed and energy-delay products for different assisted writing approaches in STT-MTJ devices using PMA magnets.

  20. What is a writing group? Dilemmas of the leader.

    Science.gov (United States)

    Grundy, Dominick

    2007-04-01

    A writing group can serve to reinforce literary and therapeutic goals. The model outlined here assumes a leader with literary and clinical training, including expertise in group dynamics. The group format is adapted to support exploration of the writer's main problem, the absence of the reader at the moment of writing. The group modifies the writer's "mythical" reader through member interactions with writer and writing. Giving and receiving feedback are central to the group process. The leader's dilemma in a bifocal form like this is to know when and how far to interpret group members' psychological issues. The best rule is to interpret "toward" the group (i.e., to bring up material that can be safely and readily processed there), but to be cautious about interpretation of patterns of early character formation.

  1. Assessment and Intervention in Overcoming Writing Difficulties: An Illustration From the Self-Regulated Strategy Development Model.

    Science.gov (United States)

    Graham, Steve; Harris, Karen R

    1999-07-01

    The progress of a 12-year-old boy with learning disabilities and severe writing difficulties is followed from initial assessment through instruction in strategies for planning, revising, and managing the composing process. A validated instructional model, Self-Regulated Strategy Development (SRSD), was used to teach these processes. With SRSD, writing strategies are explicitly taught in combination with procedures for regulating the use of these strategies, the writing process, and any undesirable behaviors that may impede performance. Recommendations are offered to speech-language pathologists for applying the SRSD model to children experiencing writing difficulties.

  2. The Effect of Teaching Critical Thinking on Al-Buraimi University College students’ Writing Skills: A Case Study

    Directory of Open Access Journals (Sweden)

    Yahia Ashour Mohammed AlKhoudary

    2015-11-01

    Full Text Available This study explores the role of writing in developing students’ critical thinking. It also sheds light on traditional writing assignments which fail to help students develop their comprehension of course content and evaluate their writing products critically. Moreover, this probe is to discover learners and teachers’ attitude towards the role of critical thinking in promoting the writing skills at AlBuraimi University College (BUC. The result of this study focuses on the effect of integrating critical thinking on learners’ performance. The procedure of this investigation is based on a combination of qualitative, quantitative (1 one hundred students who are taking writing course are selected randomly and divided into two groups; (2 pre- and posttests conducted to both groups; (3 twenty teachers were selected randomly (10 males and 10 females; questionnaires are administered to EFL teachers at BUC. The findings of this study illustrate that students who write critically are mostly motivated and their performance is affected positively. It also reveals that there are significant differences in posttest scores between treatment and controlled group. Moreover, teachers’ response to questionnaire supports the idea of integrating critical thinking in teaching the writing skills at BUC. Thus, is recommended that teachers should use thinking skills to enhance students’ writing performance and creativity.

  3. Drawing and writing: An ALE meta-analysis of sensorimotor activations.

    Science.gov (United States)

    Yuan, Ye; Brown, Steven

    2015-08-01

    Drawing and writing are the two major means of creating what are referred to as "images", namely visual patterns on flat surfaces. They share many sensorimotor processes related to visual guidance of hand movement, resulting in the formation of visual shapes associated with pictures and words. However, while the human capacity to draw is tens of thousands of years old, the capacity for writing is only a few thousand years old, and widespread literacy is quite recent. In order to compare the neural activations for drawing and writing, we conducted two activation likelihood estimation (ALE) meta-analyses for these two bodies of neuroimaging literature. The results showed strong overlap in the activation profiles, especially in motor areas (motor cortex, frontal eye fields, supplementary motor area, cerebellum, putamen) and several parts of the posterior parietal cortex. A distinction was found in the left posterior parietal cortex, with drawing showing a preference for a ventral region and writing a dorsal region. These results demonstrate that drawing and writing employ the same basic sensorimotor networks but that some differences exist in parietal areas involved in spatial processing. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  5. The Adventures of Brown Sugar; Adventures in Creative Writing.

    Science.gov (United States)

    Stegall, Carrie

    A teacher's experience in guiding a group of 40 fourth-graders in writing a book is reported, and the book is included. Provided are descriptions of--(1) the step-by-step process of writing each chapter of the book, (2) the development of the students'"own English book"--rules for usage, spelling, punctuation, and capitalization, discovered by the…

  6. THE ADVENTURES OF BROWN SUGAR, ADVENTURES IN CREATIVE WRITING.

    Science.gov (United States)

    STEGALL, CARRIE

    A TEACHER'S EXPERIENCE IN GUIDING A GROUP OF 40 FOURTH-GRADERS IN WRITING A BOOK IS REPORTED, AND THE BOOK IS INCLUDED. PROVIDED ARE DESCRIPTIONS OF--(1) THE STEP-BY-STEP PROCESS OF WRITING EACH CHAPTER OF THE BOOK, (2) THE DEVELOPMENT OF THE STUDENTS'"OWN ENGLISH BOOK"--RULES FOR USAGE, SPELLING, PUNCTUATION, AND CAPITALIZATION,…

  7. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  8. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  9. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  10. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  11. Peer-editing Practice in the Writing Classroom: Benefits and Drawbacks

    Directory of Open Access Journals (Sweden)

    Ann Rosnida Md. Deni

    2011-01-01

    Full Text Available Small scale studies have shown that peer-editing is beneficial to students as it increases their awareness of the complex process of writing, it improves their knowledge of and skills in writing and helps them become more autonomous in learning. Teachers too may benefit from peer-editing as this practice discloses invaluable information on students’ writing weaknesses and strengths: and teachers’ teaching effectiveness. This is a small scale study conducted on fifteen first-year degree students majoring in Tourism to view the usefulness of peer-editing practice in enhancing their writing skills. Retrospective notes were taken to record students’ receptiveness and reaction towards peer editing practice: students writing samples and peer- editing questionnaires were analyzed to view students’ revisions and comments; and an open— ended questionnaire was distributed to identify students perceptions of peer—editing practice in the writing classroom. Analysis of data gathered revealed that peer-editing practice benefitted both the teacher and most of her students as it exposed important information that could improve her teaching of writing and her students’ writing practices. Data analysis also. however, discloses that peer-editing practice may have adverse effects on students’ motivation and improvement in writing if they are not deployed properly.

  12. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  13. Laser direct writing of micro- and nano-scale medical devices

    Science.gov (United States)

    Gittard, Shaun D; Narayan, Roger J

    2010-01-01

    Laser-based direct writing of materials has undergone significant development in recent years. The ability to modify a variety of materials at small length scales and using short production times provides laser direct writing with unique capabilities for fabrication of medical devices. In many laser-based rapid prototyping methods, microscale and submicroscale structuring of materials is controlled by computer-generated models. Various laser-based direct write methods, including selective laser sintering/melting, laser machining, matrix-assisted pulsed-laser evaporation direct write, stereolithography and two-photon polymerization, are described. Their use in fabrication of microstructured and nanostructured medical devices is discussed. Laser direct writing may be used for processing a wide variety of advanced medical devices, including patient-specific prostheses, drug delivery devices, biosensors, stents and tissue-engineering scaffolds. PMID:20420557

  14. COMIC STRIPS:A STUDY ON THE TEACHING OF WRITING NARRATIVE TEXTS TO INDONESIAN EFL STUDENTS

    Directory of Open Access Journals (Sweden)

    Fika Megawati

    2012-07-01

    Full Text Available Comic strips are proposed in the teaching of writing not only because of their appealing forms, but also due to their salient features as media to present content, organization and grammatical aspects of narrative texts. This study investigates the implementation of comic strips in teaching writing through a collaborative classroom action research at MAN Bangil. The procedures included planning, implementing, observing, and reflecting. The results show that teaching writing using comic strips through Process-Genre Based Approach (PGBA could successfully improve students’ ability in writing. The findings also reveal that comic strips’ effective implementation requires proper stories as well as sufficient teacher’s guidance during the writing process.

  15. LA ESCRITURA EN LOS PROCESOS DE SISTEMATIZACIÓN DE EXPERIENCIAS EDUCATIVAS WRITING IN THE PROCESSES OF SYSTEMATIZATION OF EDUCATIONAL EXPERIENCES

    Directory of Open Access Journals (Sweden)

    Ligia Ochoa Sierra

    2011-12-01

    Full Text Available Este artículo presenta un análisis del proceso de sistematización y escritura de experiencias significativas en el aula, llevado a cabo por docentes de educación básica y media en el marco de la convocatoria del Ministerio de Educación Nacional en el año 2009, sobre el tema de la pertinencia educativa. Los resultados muestran que solo los profesores que han sido protagonistas principales de las experiencias pueden cifrarlas y socializarlas, y que un buen acompañamiento supone, así mismo, adentrarse en las experiencias de los profesores para poder orientarlos adecuadamente en su proceso de escritura.The article analyzes the process of systematization and writing of significant classroom experiences, carried out by elementary and high school teachers in the context of the National Ministry of Education's forum on educational pertinence in 2009. Results show that only the teachers who have been the protagonists of the experiences are able to assess and socialize them, and that a good advisory process implies delving into the teachers' experiences into order to be able to guide them adequately in their writing process.

  16. How effective are expressive writing interventions for adolescents? A meta-analytic review.

    Science.gov (United States)

    Travagin, Gabriele; Margola, Davide; Revenson, Tracey A

    2015-03-01

    This meta-analysis evaluated the effects of the expressive writing intervention (EW; Pennebaker & Beall, 1986) among adolescents. Twenty-one independent studies that assessed the efficacy of expressive writing on youth samples aged 10-18 ears were collected and analyzed. Results indicated an overall mean g-effect size that was positive in direction but relatively small (0.127), as well as significant g-effect sizes ranging from 0.107 to 0.246 for the outcome domains of Emotional Distress, Problem Behavior, Social Adjustment, and School Participation. Few significant effects were found within specific outcome domains for putative moderator variables that included characteristics of the participants, intervention instructions, or research design. Studies involving adolescents with high levels of emotional problems at baseline reported larger effects on school performance. Studies that implemented a higher dosage intervention (i.e., greater number and, to some extent, greater spacing of sessions) reported larger effects on somatic complaints. Overall, the findings suggest that expressive writing tends to produce small yet significant improvements on adolescents' well-being. The findings highlight the importance of modifying the traditional expressive writing protocol to enhance its efficacy and reduce potential detrimental effects. At this stage of research the evidence on expressive writing as a viable intervention for adolescents is promising but not decisive. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Writing as collaborative inquiry

    DEFF Research Database (Denmark)

    Frølunde, Lisbeth; Pedersen, Christina Hee; Novak, Martin

    2015-01-01

    involved in collaborative knowledge production across difference (including age, professional position, life situation, nation). We tell about our experiences with how collaboration can lead toward re-invention of our research practices and methods, as well as our own subjectivities, through involvement......In our presentation we strive to disturb and unravel the romantic discourses of collaboration, dialogue and empowerment in relation to qualitative inquiry. For more than two years we (five Danish and Czech researchers) have been exploring the complex obstructions, difficulties and potentials...... in the not-yet-known. Over the years, we have shared and analyzed personal stories about our collaborative experiences in an on-going reflective learning process. We draw on writing methodologies, including memory-work (Haug, Davies) and collaborative writing such as by Wyatt, Gale, Gannon & Davies. Our...

  18. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  19. Writing for the IELTS

    CERN Document Server

    Lougheed, Dr Lin

    2016-01-01

    This book guides test takers step-by-step through the process of writing an essay in response to a task. Learn how to apply what you’ve learned, familiarize yourself with the types of questions you’ll have to respond to on the test, complete your responses within the time limits, and more.

  20. Spiritualist Writing Machines: Telegraphy, Typtology, Typewriting

    Directory of Open Access Journals (Sweden)

    Anthony Enns

    2015-09-01

    Full Text Available This paper examines how religious concepts both reflected and informed the development of new technologies for encoding, transmitting, and printing written information. While many spiritualist writing machines were based on existing technologies that were repurposed for spirit communication, others prefigured or even inspired more advanced technological innovations. The history of spiritualist writing machines thus not only represents a response to the rise of new media technologies in the nineteenth century, but it also reflects a set of cultural demands that helped to shape the development of new technologies, such as the need to replace handwriting with discrete, uniform lettering, which accelerated the speed of composition; the need to translate written information into codes, which could be transmitted across vast distances; and the need to automate the process of transmitting, translating, and transcribing written information, which seemed to endow the machines themselves with a certain degree of autonomy or even intelligence. While spiritualists and inventors were often (but not always motivated by different goals, the development of spiritualist writing machines and the development of technological writing machines were nevertheless deeply interrelated and interdependent.