WorldWideScience

Sample records for tio2-sio2 thin film

  1. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  2. Manufacturing and investigation of surface morphology and optical properties of composite thin films reinforced by TiO2, Bi2O3 and SiO2 nanoparticles

    Science.gov (United States)

    Jarka, Paweł; Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Hajduk, Barbara; Bilewicz, Marcin

    2017-12-01

    The aim of submitted paper is to present influence of manufacturing parameters on optical properties and surface morphology of composite materials with a polymer matrix reinforced by TiO2 and SiO2 and Bi2O3 nanoparticles. The novelty proposed by the authors is the use of TiO2 and SiO2 and Bi2O3 nanoparticles simultaneously in polymeric matrix. This allows using the combined effect of nanoparticles to a result composite material. The thin films of composite material were prepared by using spin-coating method with various spinning rates from solutions of different concentration of nanoparticles. In order to prepare the spinning solution polymer, Poly(methyl methacrylate) (PMMA) was used as a matrix. The reinforcing phase was the mixture of the nanoparticles of SiO2, TiO2 and B2O3. In order to identify the surface morphology of using thin films and arrangement of the reinforcing phase Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) were used. In order to study the optical properties of the obtained thin films, the thin films of composites was subjected to an ellipsometry analysis. The measurements of absorbance of the obtained materials, from which the value of the band gap width was specified, were carried out using the UV/VIS spectroscopy. The optical properties of obtain composite thin films depend not only on the individual components used, but also on the morphology and the interfacial characteristics. Controlling the participation of three kinds of nanoparticles of different sizes and optical parameters allows to obtaining the most optimal optical properties of nanocomposites and also controlling the deposition parameters allows to obtaining the most optimal surface morphology of nanocomposites.

  3. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  4. Damage performance of TiO2/SiO2 thin film components induced by a long-pulsed laser

    International Nuclear Information System (INIS)

    Wang Bin; Dai Gang; Zhang Hongchao; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    In order to study the long-pulsed laser induced damage performance of optical thin films, damage experiments of TiO 2 /SiO 2 films irradiated by a laser with 1 ms pulse duration and 1064 nm wavelength are performed. In the experiments, the damage threshold of the thin films is measured. The damages are observed to occur in isolated spots, which enlighten the inducement of the defects and impurities originated in the films. The threshold goes down when the laser spot size decreases. But there exists a minimum threshold, which cannot be further reduced by decreasing the laser spot size. Optical microscopy reveals a cone-shaped cavity in the film substrate. Changes of the damaged sizes in film components with laser fluence are also investigated. The results show that the damage efficiency increases with the laser fluence before the shielding effects start to act.

  5. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  6. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  7. Microwave-assisted synthesis and characterization of poly(acrylic)/SiO2-TiO2 core-shell nanoparticle hybrid thin films

    International Nuclear Information System (INIS)

    Chien, Wen-Chen; Yu, Yang-Yen; Chen, Po-Kan; Yu, Hui-Huan

    2011-01-01

    In this study, poly(acrylic)/SiO 2 -TiO 2 core-shell nanoparticle hybrid thin films were successfully synthesized by microwave-assisted polymerization. The coupling agent 3-(trimethoxysilyl) propyl methacrylate (MSMA) was hydrolyzed with colloidal SiO 2 -TiO 2 core-shell nanoparticles, and then polymerized with two acrylic monomers and initiator to form a precursor solution. The results of this study showed that the spin-coated hybrid films had relatively good surface planarity, high thermal stability, a tunable refractive index (1.525 2 -TiO 2 core-shell nanoparticle hybrid thin films, for potential use in optical applications.

  8. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  9. Photocatalytic properties of porous TiO2/Ag thin films

    International Nuclear Information System (INIS)

    Chang, C.-C.; Chen, J.-Y.; Hsu, T.-L.; Lin, C.-K.; Chan, C.-C.

    2008-01-01

    In this study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin-coating technique. By introducing polystyrene (PS) spheres into the precursor solution, porous TiO 2 /Ag thin films were prepared after calcination at a temperature of 500 deg. C for 4 h. Three different sizes (50, 200, and 400 nm) of PS spheres were used to prepare porous TiO 2 films. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry (XRD) and by scanning electron microscopy to reveal structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. When PS spheres of different sizes were introduced after calcination, the as-prepared TiO 2 films exhibited different porous structures. XRD results showed that all TiO 2 /Ag films exhibited a major anatase phase. The photodegradation of porous TiO 2 thin films prepared with 200 nm PS spheres and doped with 1 mol% Ag exhibited the best photocatalytic efficiency where ∼ 100% methylene blue was decomposed within 8 h under UV exposure

  10. TiO2 and Cu/TiO2 Thin Films Prepared by SPT

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2015-12-01

    Full Text Available Titanium oxide (TiO2 and copper (Cu doped titanium oxide (Cu/TiO2 thin films have been prepared by spray pyrolysis technique. Titanium chloride (TiCl4 and copper acetate (Cu(CH3COO2.H2O were used as source of Ti and Cu. The doping concentration of Cu was varied from 1-10 wt. %. The X-ray diffraction studies show that TiO2 thin films are tetragonal structure and Cu/TiO2 thin films implies CuO has present with monoclinic structure. The optical properties of the TiO2 thin films have been investigated as a function of Cu-doping level. The optical transmission of the thin films was found to increase from 88 % to 94 % with the addition of Cu up to 8 % and then decreases for higher percentage of Cu doping. The optical band gap (Eg for pure TiO2 thin film is found to be 3.40 eV. Due to Cu doping, the band gap is shifted to lower energies and then increases further with increasing the concentration of Cu. The refractive index of the TiO2 thin films is found to be 2.58 and the variation of refractive index is observed due to Cu doped. The room temperature resistivity of the films decreases with increasing Cu doping and is found to be 27.50 - 23.76 W·cm. It is evident from the present study that the Cu doping promoted the thin film morphology and thereby it is aspect for various applications.

  11. Density, thickness and composition measurements of TiO2 -SiO2 thin films by coupling X-ray reflectometry, ellipsometry and electron probe microanalysis-X

    International Nuclear Information System (INIS)

    Hodroj, A.; Roussel, H.; Crisci, A.; Robaut, F.; Gottlieb, U.; Deschanvres, J.L.

    2006-01-01

    Mixed TiO 2 -SiO 2 thin films were deposited by aerosol atmospheric CVD method by using di-acetoxi di-butoxi silane (DADBS) and Ti tetra-butoxide as precursors. By varying the deposition temperatures between 470 and 600 deg. C and the ratios between the Si and Ti precursors (Si/Ti) from 2 up to 16, films with different compositions and thicknesses were deposited. The coupled analysis of the results of different characterisation methods was used in order to determine the variation of the composition, the thickness and the density of the films. First EPMA measurements were performed at different acceleration voltages with a Cameca SX50 system. By analysing, with specific software, the evolution of the intensity ratio I x /I std versus the voltage, the composition and the mass thickness (product of density by the thickness) were determined. In order to measure independently the density, X-ray reflectometry experiments were performed. By analysing the value of the critical angle and the Kiessig fringes, the density and the thickness of the layers were determined. The refractive index and the thickness of the films were also measured by ellipsometry. By assuming a linear interpolation between the index value of the pure SiO 2 and TiO 2 films, the film composition was deduced from the refractive index value. XPS measurements were also performed in order to obtain an independent value of the composition. A good agreement between the ways to measure the density is obtained

  12. Electrochemical Behavior of TiO2 Nanoparticle Doped WO3 Thin Films

    Directory of Open Access Journals (Sweden)

    Suvarna R. Bathe

    2014-01-01

    Full Text Available Nanoparticle TiO2 doped WO3 thin films by pulsed spray pyrolysis technique have been studied on fluorine tin doped (FTO and glass substrate. XRD shows amorphous nature for undoped and anatase phase of TiO2 having (101 plane for nanoparticle TiO2 doped WO3 thin film. SEM shows microfibrous reticulated porous network for WO3 with 600 nm fiber diameter and nanocrystalline having size 40 nm for TiO2 nanoparticle doped WO3 thin film. TiO2 nanoparticle doped WO3 thin film shows ~95% reversibility due to may be attributed to nanocrystalline nature of the film, which helpful for charge insertion and deinsertion process. The diffusion coefficient for TiO2 nanoparticle doped WO3 film is less than undoped WO3.

  13. Synthesis of nanocrystalline TiO2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    WINTEC

    goes degradation efficiently in presence of TiO2 thin films by exposing its aqueous solution to .... Figure 6. Photodegradation of IGOR organic dye by a. bare TiO2 thin film and b. ... Meng L-J and Dos Santos M P 1993 Thin Solid Films 226 22.

  14. Superhydrophilicity of TiO2 nano thin films

    International Nuclear Information System (INIS)

    Mohammadizadeh, M.R.; Ashkarran, A.A.

    2007-01-01

    Full text: Among the several oxide semiconductors, titanium dioxide has a more helpful role in our environmental purification due to its photocatalytic activity, photo-induced superhydrophilicity, and as a result of them non-toxicity, self cleaning, and antifogging effects. After the discovery of superhydrophilicity of titanium dioxide in 1997, several researches have been performed due to its nature and useful applications. The superhydrophilicity property of the surface allows water to spread completely across the surface rather than remains as droplets, thus making the surface antifog and easy-to-clean. The distinction of photo-induced catalytic and hydrophilicity properties of TiO 2 thin films has been accepted although, the origin of hydrophilicity property has not been recognized completely yet. TiO 2 thin films on soda lime glass were prepared by the sol-gel method and spin coating process. The calcination temperature was changed from 100 to 550 C. XRD patterns show increasing the content of polycrystalline anatase phase with increasing the calcination temperature. The AFM results indicate granular morphology of the films, which particle size changes from 22 to 166 nm by increasing the calcination temperature. The RBS, EDX and Raman spectroscopy of the films show the ratio of Ti:O∼0.5, and diffusion of sodium ions from substrate into the layer, by increasing the calcination temperature. The UV/Vis. spectroscopy of the films indicates a red shift by increasing the calcination temperature. The contact angle meter experiment shows that superhydrophilicity of the films depends on the formation of anatase crystal structure and diffused sodium content from substrate to the layer. The best hydrophilicity property was observed at 450 C calcination temperature, where the film is converted to a superhydrophilic surface after 10 minutes under 2mW/cm 2 UV irradiation. TiO 2 thin film on Si(111), Si(100), and quartz substrates needs less time to be converted to

  15. Preparation and characterization of nanocrystalline porous TiO2/WO3 composite thin films

    International Nuclear Information System (INIS)

    Hsu, C.-S.; Lin, C.-K.; Chan, C.-C.; Chang, C.-C.; Tsay, C.-Y.

    2006-01-01

    TiO 2 materials possessing not only photocatalytic but also electrochromic properties have attracted many research and development interests. Though WO 3 exhibits excellent electrochromic properties, the much higher cost and water-sensitivity of WO 3 as compared with the TiO 2 may restrict the practical application of WO 3 materials. In the present study, the feasibility of preparing nanocrystalline porous TiO 2 /WO 3 composite thin films was investigated. Precursors of sols TiO 2 and/or WO 3 and polystyrene microspheres were used to prepare nanocrystalline pure TiO 2 , WO 3 , and composite TiO 2 /WO 3 thin films by spin coating. The spin-coated thin films were amorphous and, after heat treating at a temperature of 500 o C, nanocrystalline TiO 2 , TiO 2 /WO 3 , and WO 3 thin films with or without pores were prepared successfully. The heat-treated thin films were colorless and coloration-bleaching phenomena can be observed during cyclic voltammetry tests. The heat-treated thin films exhibited good reversible electrochromic behavior while the porous TiO 2 /WO 3 composite film exhibited improved electrochromic properties

  16. Visible-light photocatalytic activity of nitrided TiO2 thin films

    International Nuclear Information System (INIS)

    Camps, Enrique; Escobar-Alarcon, L.; Camacho-Lopez, Marco Antonio; Casados, Dora A. Solis

    2010-01-01

    TiO 2 thin films have been applied in UV-light photocatalysis. Nevertheless visible-light photocatalytic activity would make this material more attractive for applications. In this work we present results on the modification of titanium oxide (anatase) sol-gel thin films, via a nitriding process using a microwave plasma source. After the treatment in the nitrogen plasma, the nitrogen content in the TiO 2 films varied in the range from 14 up to 28 at%. The titanium oxide films and the nitrided ones were characterized by XPS, micro-Raman spectroscopy and UV-vis spectroscopy. Photocatalytic activity tests were done using a Methylene Blue dye solution, and as catalyst TiO 2 and nitrided TiO 2 films. The irradiation of films was carried out with a lamp with emission in the visible (without UV). The results showed that the nitrided TiO 2 films had photocatalytic activity, while the unnitrided films did not.

  17. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  18. TiO2 thin-films on polymer substrates and their photocatalytic activity

    International Nuclear Information System (INIS)

    Yang, Jae-Hun; Han, Yang-Su; Choy, Jin-Ho

    2006-01-01

    We have developed dip-coating process for TiO 2 -thin film on polymer substrates (acrylonitrile-butadiene-styrene polymer: ABS, polystyrene: PS). At first, a monodispersed and transparent TiO 2 nano-sol solution was prepared by the controlled hydrolysis of titanium iso-propoxide in the presence of acetylacetone and nitric acid catalyst at 80 deg. C. Powder X-ray diffraction patterns of the dried particles are indicative of crystalline TiO 2 with anatase-type structure. According to the XRD and transmission electron microscopy (TEM) studies, the mean particle size was estimated to be ca. 5 nm. The transparent thin films on ABS and PS substrates were fabricated by dip-coating process by changing the processing variables, such as the number of dip-coating and TiO 2 concentration in nano-sol solution. Scanning electron microscopic (SEM) analysis for the thin film samples reveals that the acetylacetone-modified TiO 2 nano-sol particles are effective for enhancing the interfacial adherence between films and polymeric substrates compared to the unmodified one. Photocatalytic degradation of methylene blue (MB) on the TiO 2 thin-films has also been systematically investigated

  19. Preparation of anatase TiO2 thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Miyata, Toshihiro; Tsukada, Satoshi; Minami, Tadatsugu

    2006-01-01

    Anatase titanium dioxide (TiO 2 ) thin films with high photocatalytic activity have been prepared with deposition rates as high as 16 nm/min by a newly developed vacuum arc plasma evaporation (VAPE) method using sintered TiO 2 pellets as the source material. Highly transparent TiO 2 thin films prepared at substrate temperatures from room temperature to 400 deg. C exhibited photocatalytic activity, regardless whether oxygen (O 2 ) gas was introduced during the VAPE deposition. The highest photocatalytic activity and photo-induced hydrophilicity were obtained in anatase TiO 2 thin films prepared at 300 deg. C, which correlated to the best crystallinity of the films, as evidenced from X-ray diffraction. In addition, a transparent and conductive anatase TiO 2 thin film with a resistivity of 2.6 x 10 -1 Ω cm was prepared at a substrate temperature of 400 deg. C without the introduction of O 2 gas

  20. Nano structured TiO2 thin films by polymeric precursor method

    International Nuclear Information System (INIS)

    Stroppa, Daniel Grando; Giraldi, Tania Regina; Leite, Edson Roberto; Varela, Jose Arana; Longo, Elson

    2008-01-01

    This work focuses in optimizing setup for obtaining TiO 2 thin films by polymeric precursor route due to its advantages on stoichiometric and morphological control. Precursor stoichiometry, synthesis pH, solids concentration and rotation speed at deposition were optimized evaluating thin films morphology and thickness. Thermogravimetry and NMR were applied for precursor's characterization and AFM, XRD and ellipsometry for thin films evaluation. Results showed successful attainment of homogeneous nanocrystalline anatase TiO 2 thin films with outstanding control over morphological characteristics, mean grain size of 17 nm, packing densities between 57 and 75%, estimated surface areas of 90 m 2 /g and monolayers thickness within 20 and 128 nm. (author)

  1. TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline

    Science.gov (United States)

    Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming

    2015-02-01

    The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.

  2. Photocatalytic properties of nanocrystalline TiO2 thin film with Ag additions

    International Nuclear Information System (INIS)

    Chang, C.-C.; Lin, C.-K.; Chan, C.-C.; Hsu, C.-S.; Chen, C.-Y.

    2006-01-01

    In the present study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin coating technique. While, by introducing polystyrene (PS) microspheres, porous TiO 2 /Ag films were obtained after calcining at a temperature of 500 o C. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry, and scanning electron microscopy to reveal the structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. After 500 o C calcination, the microstructure of PS-TiO 2 film without Ag addition exhibited a sponge-like microstructure while significant sintering effect was noticed with Ag additions and the films exhibited a porous microstructure. Meanwhile, coalescence of nanocrystalline anatase-phase TiO 2 can be observed with respect to the sharpening of XRD diffraction peaks. The photodegradation of porous TiO 2 doped with 1 mol% Ag exhibited the best photocatalytic efficiency where 72% methylene blue can be decomposed after UV exposure for 12 h

  3. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  4. A chemical route to room-temperature synthesis of nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Pathan, Habib M.; Kim, Woo Young; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    A lot of methods are developed for the deposition of TiO 2 thin films; however, in each of these methods as-deposited films are amorphous and need further heat treatment at high temperature. In the present article, a chemical bath deposition (CBD) method was used for the preparation of TiO 2 thin films. We investigated nanocrystalline TiO 2 thin films using CBD at room temperature onto glass and ITO coated glass substrate. The films were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), and high-resolution transmission electron microscopy (HRTEM) techniques. The chemically synthesized films were nanocrystalline and composed of crystal grains of 2-3 nm

  5. TiO 2 Thin Films Prepared via Adsorptive Self-Assembly for Self-Cleaning Applications

    KAUST Repository

    Xi, Baojuan

    2012-02-22

    Low-cost controllable solution-based processes for preparation of titanium oxide (TiO 2) thin films are highly desirable, because of many important applications of this oxide in catalytic decomposition of volatile organic compounds, advanced oxidation processes for wastewater and bactericidal treatments, self-cleaning window glass for green intelligent buildings, dye-sensitized solar cells, solid-state semiconductor metal-oxide solar cells, self-cleaning glass for photovoltaic devices, and general heterogeneous photocatalysis for fine chemicals etc. In this work, we develop a solution-based adsorptive self-assembly approach to fabricate anatase TiO 2 thin films on different glass substrates such as simple plane glass and patterned glass at variable compositions (normal soda lime glass or solar-grade borofloat glass). By tuning the number of process cycles (i.e., adsorption-then-heating) of TiO 2 colloidal suspension, we could facilely prepare large-area TiO 2 films at a desired thickness and with uniform crystallite morphology. Moreover, our as-prepared nanostructured TiO 2 thin films on glass substrates do not cause deterioration in optical transmission of glass; instead, they improve optical performance of commercial solar cells over a wide range of incident angles of light. Our as-prepared anatase TiO 2 thin films also display superhydrophilicity and excellent photocatalytic activity for self-cleaning application. For example, our investigation of photocatalytic degradation of methyl orange indicates that these thin films are indeed highly effective, in comparison to other commercial TiO 2 thin films under identical testing conditions. © 2012 American Chemical Society.

  6. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    Science.gov (United States)

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  7. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  8. Growth and characterization of nitrogen-doped TiO2 thin films prepared by reactive pulsed laser deposition

    International Nuclear Information System (INIS)

    Sauthier, G.; Ferrer, F.J.; Figueras, A.; Gyoergy, E.

    2010-01-01

    Nitrogen-doped titanium dioxide (TiO 2 ) thin films were grown on (001) SiO 2 substrates by reactive pulsed laser deposition. A KrF* excimer laser source (λ = 248 nm, τ FWHM ≅ 10 ns, ν = 10 Hz) was used for the irradiations of pressed powder targets composed by both anatase and rutile phase TiO 2 . The experiments were performed in a controlled reactive atmosphere consisting of oxygen or mixtures of oxygen and nitrogen gases. The obtained thin film crystal structure was investigated by X-ray diffraction, while their chemical composition as well as chemical bonding states between the elements were studied by X-ray photoelectron spectroscopy. An interrelation was found between nitrogen concentration, crystalline structure, bonding states between the elements, and the formation of titanium oxinitride compounds. Moreover, as a result of the nitrogen incorporation in the films a continuous red-shift of the optical absorption edge accompanied by absorption in the visible spectral range between 400 and 500 nm wavelength was observed.

  9. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  10. Transparent nanostructured Fe-doped TiO2 thin films prepared by ultrasonic assisted spray pyrolysis technique

    Science.gov (United States)

    Rasoulnezhad, Hossein; Hosseinzadeh, Ghader; Ghasemian, Naser; Hosseinzadeh, Reza; Homayoun Keihan, Amir

    2018-05-01

    Nanostructured TiO2 and Fe-doped TiO2 thin films with high transparency were deposited on glass substrate through ultrasonic-assisted spray pyrolysis technique and were used in the visible light photocatalytic degradation of MB dye. The resulting thin films were characterized by scanning electron microscopy (SEM), Raman spectroscopy, photoluminescence spectroscopy, x-ray diffraction (XRD), and UV-visible absorption spectroscopy techniques. Based on Raman spectroscopy results, both of the TiO2 and Fe-doped TiO2 films have anatase crystal structure, however, because of the insertion of Fe in the structure of TiO2 some point defects and oxygen vacancies are formed in the Fe-doped TiO2 thin film. Presence of Fe in the structure of TiO2 decreases the band gap energy of TiO2 and also reduces the electron–hole recombination rate. Decreasing of the electron–hole recombination rate and band gap energy result in the enhancement of the visible light photocatalytic activity of the Fe-doped TiO2 thin film.

  11. Optical Properties and Surface Morphology of Nano-composite PMMA: TiO2 Thin Films

    International Nuclear Information System (INIS)

    Lyly Nyl Ismail; Ahmad Fairoz Aziz; Habibah Zulkefle

    2011-01-01

    There are two nano-composite PMMA: TiO 2 solutions were prepared in this research. First solution is nano-composite PMMA commercially available TiO 2 nanopowder and the second solution is nano-composite PMMA with self-prepared TiO 2 powder. The self-prepared TiO 2 powder is obtained by preparing the TiO 2 sol-gel. Solvo thermal method were used to dry the TiO 2 sol-gel and obtained TiO 2 crystal. Ball millers were used to grind the TiO 2 crystal in order to obtained nano sized powder. Triton-X was used as surfactant to stabilizer the composite between PMMA: TiO 2 . Besides comparing the nano-composite solution, we also studied the effect of the thin films thickness on the optical properties and surface morphology of the thin films. The thin films were deposited by sol-gel spin coating method on glass substrates. The optical properties and surface characterization were measured with UV-VIS spectrometer equipment and atomic force microscopy (AFM). The result showed that nano-composite PMMA with self prepared TiO 2 give high optical transparency than nano-composite PMMA with commercially available TiO 2 nano powder. The results also indicate as the thickness is increased the optical transparency are decreased. Both AFM images showed that the agglomerations of TiO 2 particles are occurred on the thin films and the surface roughness is increased when the thickness is increased. High agglomeration particles exist in the AFM images for nano-composite PMMA: TiO 2 with TiO 2 nano powder compare to the other nano-composite solution. (author)

  12. Structural and vibrational investigations of Nb-doped TiO2 thin films

    International Nuclear Information System (INIS)

    Uyanga, E.; Gibaud, A.; Daniel, P.; Sangaa, D.; Sevjidsuren, G.; Altantsog, P.; Beuvier, T.; Lee, Chih Hao; Balagurov, A.M.

    2014-01-01

    Highlights: • We studied the evolutions of structure for TiO 2 thin film as changes with Nb doping and temperatures. • Up to 800 °C, the grain size of Nb 0.1 Ti 0.9 O 2 is smaller than for pure TiO 2 because doped Nb hinders the growth of the TiO 2 grains. • There was no formation of the rutile phase at high temperature. • Nb doped TiO 2 films have high electron densities at 400–700 °C. • Nb dope extends the absorbance spectra of TiO 2 which leads to the band gap reduce. - Abstract: Acid-catalyzed sol–gel and spin-coating methods were used to prepare Nb-doped TiO 2 thin film. In this work, we studied the effect of niobium doping on the structure, surface, and absorption properties of TiO 2 by energy-dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), X-ray reflectometry (XRR), X-ray photoelectron spectroscopy (XPS), Raman, and UV–vis absorption spectroscopy at various annealing temperatures. EDX spectra show that the Nb:Ti atomic ratios of the niobium-doped titania films are in good agreement with the nominal values (5 and 10%). XPS results suggest that charge compensation is achieved by the formation of Ti vacancies. Specific niobium phases are not observed, thus confirming that niobium is well incorporated into the titania crystal lattice. Thin films are amorphous at room temperature and the formation of anatase phase appeared at an annealing temperature close to 400 °C. The rutile phase was not observed even at 900 °C (XRD and Raman spectroscopy). Grain sizes and electron densities increased when the temperature was raised. Nb-doped films have higher electron densities and lower grain sizes due to niobium doping. Grain size inhibition can be explained by lattice stress induced by the incorporation of larger Nb 5+ ions into the lattice. The band gap energy of indirect transition of the TiO 2 thin films was calculated to be about 3.03 eV. After niobium doping, it decreased to 2.40 eV

  13. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  14. Cytotoxicity Evaluation of Anatase and Rutile TiO2 Thin Films on CHO-K1 Cells in Vitro

    Directory of Open Access Journals (Sweden)

    Blanca Cervantes

    2016-07-01

    Full Text Available Cytotoxicity of titanium dioxide (TiO2 thin films on Chinese hamster ovary (CHO-K1 cells was evaluated after 24, 48 and 72 h of culture. The TiO2 thin films were deposited using direct current magnetron sputtering. These films were post-deposition annealed at different temperatures (300, 500 and 800 °C toward the anatase to rutile phase transformation. The root-mean-square (RMS surface roughness of TiO2 films went from 2.8 to 8.08 nm when the annealing temperature was increased from 300 to 800 °C. Field emission scanning electron microscopy (FESEM results showed that the TiO2 films’ thickness values fell within the nanometer range (290–310 nm. Based on the results of the tetrazolium dye and trypan blue assays, we found that TiO2 thin films showed no cytotoxicity after the aforementioned culture times at which cell viability was greater than 98%. Independently of the annealing temperature of the TiO2 thin films, the number of CHO-K1 cells on the control substrate and on all TiO2 thin films was greater after 48 or 72 h than it was after 24 h; the highest cell survival rate was observed in TiO2 films annealed at 800 °C. These results indicate that TiO2 thin films do not affect mitochondrial function and proliferation of CHO-K1 cells, and back up the use of TiO2 thin films in biomedical science.

  15. Cytotoxicity Evaluation of Anatase and Rutile TiO2 Thin Films on CHO-K1 Cells in Vitro

    Science.gov (United States)

    Cervantes, Blanca; López-Huerta, Francisco; Vega, Rosario; Hernández-Torres, Julián; García-González, Leandro; Salceda, Emilio; Herrera-May, Agustín L.; Soto, Enrique

    2016-01-01

    Cytotoxicity of titanium dioxide (TiO2) thin films on Chinese hamster ovary (CHO-K1) cells was evaluated after 24, 48 and 72 h of culture. The TiO2 thin films were deposited using direct current magnetron sputtering. These films were post-deposition annealed at different temperatures (300, 500 and 800 °C) toward the anatase to rutile phase transformation. The root-mean-square (RMS) surface roughness of TiO2 films went from 2.8 to 8.08 nm when the annealing temperature was increased from 300 to 800 °C. Field emission scanning electron microscopy (FESEM) results showed that the TiO2 films’ thickness values fell within the nanometer range (290–310 nm). Based on the results of the tetrazolium dye and trypan blue assays, we found that TiO2 thin films showed no cytotoxicity after the aforementioned culture times at which cell viability was greater than 98%. Independently of the annealing temperature of the TiO2 thin films, the number of CHO-K1 cells on the control substrate and on all TiO2 thin films was greater after 48 or 72 h than it was after 24 h; the highest cell survival rate was observed in TiO2 films annealed at 800 °C. These results indicate that TiO2 thin films do not affect mitochondrial function and proliferation of CHO-K1 cells, and back up the use of TiO2 thin films in biomedical science. PMID:28773740

  16. Raman spectra of TiO2 thin films deposited electrochemically and by spray pyrolysis

    International Nuclear Information System (INIS)

    Shishiyanu, S.; Vartic, V.; Shishiyanu, T.; Stratan, Gh.; Rusu, E.; Zarrelli, M.; Giordano, M.

    2013-01-01

    In this paper we present our experimental results concerning the fabrication of TiO 2 thin films by spray pyrolysis and electrochemical deposition method onto different substrates - Corning glass, Si and optical fibers. The surface morphology of the TiO 2 thin films have been investigated by Atomic Force Microscopy. Raman shift spectra measurements have been done for the optical characterization of the fabricated titania thin films. The post-growth rapid photothermal processing (RPP) at temperatures of 100-800 degrees Celsius for 1-3 min have been applied. Our experimental results prove that by the application of post-growth RPP is possible to essentially improve the crystallinity of the deposited TiO 2 films. (authors)

  17. A short literature survey on iron and cobalt ion doped TiO2 thin films and photocatalytic activity of these films against fungi

    International Nuclear Information System (INIS)

    Tatlıdil, İlknur; Bacaksız, Emin; Buruk, Celal Kurtuluş; Breen, Chris; Sökmen, Münevver

    2012-01-01

    Highlights: ► Co or Fe doped TiO 2 thin films were prepared by sol–gel method. ► We obtained lower E g values for Fe-doped and Co-TiO 2 thin films. ► Doping greatly affected the size and shape of the TiO 2 nanoparticles. ► Photocatalytic killing effect of the doped TiO 2 thin films on C. albicans and A. niger was significantly higher than undoped TiO 2 thin film for short exposure periods. - Abstract: In this study, a short recent literature survey which concentrated on the usage of Fe 3+ or Co 2+ ion doped TiO 2 thin films and suspensions were summarized. Additionally, a sol–gel method was used for preparation of the 2% Co or Fe doped TiO 2 thin films. The surface of the prepared materials was characterised using scanning-electron microscopy (SEM) combined with energy dispersive X-ray (EDX) analysis and band gap of the films were calculated from the transmission measurements that were taken over the range of 190 and 1100 nm. The E g value was 3.40 eV for the pure TiO 2 , 3.00 eV for the Fe-doped TiO 2 film and 3.25 eV for Co-TiO 2 thin film. Iron or cobalt doping at lower concentration produce more uniformed particles and doping greatly affected the size and shape of the TiO 2 nanoparticles. Photocatalytic killing effect of the 2% Co doped TiO 2 thin film on Candida albicans was significantly higher than Fe doped TiO 2 thin film for short and long exposure periods. Doped thin films were more effective on Aspergillus niger for short exposure periods.

  18. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  19. Visible photoenhanced current-voltage characteristics of Au : TiO2 nanocomposite thin films as photoanodes

    International Nuclear Information System (INIS)

    Naseri, N; Amiri, M; Moshfegh, A Z

    2010-01-01

    In this investigation, the effect of annealing temperature and concentration of gold nanoparticles on the photoelectrochemical properties of sol-gel deposited Au : TiO 2 nanocomposite thin films is studied. Various gold concentrations have been added to the TiO 2 thin films and their properties are compared. All the deposited samples are annealed at different temperatures. The optical density spectra of the films show the formation of gold nanoparticles in the films. The optical bandgap energy of the Au : TiO 2 films decreases with increasing Au concentration. The crystalline structure of the nanocomposite films is studied by x-ray diffractometry indicating the formation of gold nanocrystals in the anatase TiO 2 nanocrystalline thin films. X-ray photoelectron spectroscopy reveals that the presence of gold in the metallic state and the formation of TiO 2 are stoichiometric. The photoelectrochemical properties of the Au : TiO 2 samples are characterized using a compartment cell containing H 2 SO 4 and KOH as cathodic and anodic electrolytes, respectively. It is found that the addition of Au nanoparticles in TiO 2 films enhances the photoresponse of the layer and the addition of gold nanocrystals with an optimum value of 5 mol% resulted in the highest photoelectrochemical activity. Moreover, the photoresponse of the samples is also enhanced with an increase in the annealing temperature.

  20. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  1. Preparation of TiO2 thin films from autoclaved sol containing needle-like anatase crystals

    International Nuclear Information System (INIS)

    Ge Lei; Xu Mingxia; Fang Haibo; Sun Ming

    2006-01-01

    A new inorganic sol-gel method was introduced in this paper to prepare TiO 2 thin films. The autoclaved sol with needle-like anatase crystals was synthesized using titanyl sulfate (TiOSO 4 ) and peroxide (H 2 O 2 ) as starting materials. The transparent anatase TiO 2 thin films were prepared on glass slides from the autoclaved sol by sol-gel dip-coating method. A wide range of techniques such as Fourier transform infrared transmission spectra (FT-IR), X-ray diffraction (XRD), thermogravimetry-differential thermal analysis (TG-DTA), scanning electron microscopes, X-ray photoelectron spectroscopy (XPS) and ultraviolet-visible spectrum were applied to characterize the autoclaved sol and TiO 2 thin films. The results indicate that the autoclaved sol is flavescent, semitransparent and stable at room temperature. The anatase crystals of TiO 2 films connect together to form net-like structure after calcined and the films become uniform with increasing heating temperature. The surface of the TiO 2 films contain not only Ti and O elements, but also a small amount of N and Na elements diffused from substrates during heat treatment. The TiO 2 films are transparent and their maximal light transmittances exceed 80% under visible light region

  2. Photo-induced hydrophilicity of TiO2-xNx thin films on PET plates

    International Nuclear Information System (INIS)

    Chou, H.-Y.; Lee, E.-K.; You, J.-W.; Yu, S.-S.

    2007-01-01

    TiO 2-x N x thin films were deposited on PET (polyethylene terephthalate) plates by sputtering a TiN target in a N 2 /O 2 plasma and without heating. X-ray photoemission spectroscopy (XPS) was used to investigate the N 1s, Ti 2p core levels and the nitrogen composition in the TiO 2-x N x films. The results indicate that Ti-O-N bonds are formed in the thin films. Two nitrogen states, substitution and interstitial nitrogen atoms, were attributed to peaks at 396 and 399 eV, respectively. It was observed that the nitrogen atoms occupy both the substitutive and interstitial sites in respective of the nitrogen content in the thin films. UV-VIS absorption spectroscopy of PET coated thin films shows a significant shift of the absorption edge to lower energy in the visible-light region. UV and visible-light irradiation are used to activate PET coated thin films for the development of hydrophilicity. The photo-induced surface wettability conversion reaction of the thin films has been investigated by means of water contact angle measurement. PET plates coated with TiO 2-x N x thin films are found to exhibit lower water contact angle than non-coated plates when the surface is illuminated with UV and visible light. The effects of nitrogen doping on photo-generated hydrophilicity of the thin films are investigated in this work

  3. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  4. Preparation of TiO2-based nanotubes/nanoparticles composite thin film electrodes for their electron transport properties

    International Nuclear Information System (INIS)

    Zhao, Wanyu; Fu, Wuyou; Chen, Jingkuo; Li, Huayang; Bala, Hari; Wang, Xiaodong; Sun, Guang; Cao, Jianliang; Zhang, Zhanying

    2015-01-01

    The composite thin film electrodes were prepared with one-dimensional (1D) TiO 2 -B nanotubes (NTs) and zero-dimensional TiO 2 nanoparticles (NPs) based on different weight ratios. The electron transport properties of the NTs/NPs composite thin film electrodes applied for dye-sensitized solar cells had been investigated systematically. The results indicated that although the amount of dye adsorption decreased slightly, the devices with the NTs/NPs composite thin film electrodes could obtain higher open-circuit voltage and overall conversion efficiency compared to devices with pure TiO 2 NPs electrodes by rational tuning the weight ratio of TiO 2 -B NTs and TiO 2 NPs. When the weight ratio of TiO 2 -B NTs in the NTs/NPs composite thin film electrodes increased, the density of states and recombination rate decreased. The 1D structure of TiO 2 -B NTs can provide direct paths for electron transport, resulting in higher electron lifetime, electron diffusion coefficient and electron diffusion length. The composite thin film electrodes possess the merits of the rapid electron transport of TiO 2 -B NTs and the high surface area of TiO 2 NPs, which has great applied potential in the field of photovoltaic devices. - Highlights: • The composite thin film electrodes (CTFEs) were prepared with nanotubes and nanoparticles. • The CTFEs possess the rapid electron transport and high surface area. • The CTFEs exhibit lower recombination rate and longer electron life time. • The CTFEs have great applied potential in the field of photovoltaic devices

  5. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  6. Synthesis of photosensitive nanograined TiO2 thin films by SILAR method

    International Nuclear Information System (INIS)

    Patil, U.M.; Gurav, K.V.; Joo, Oh-Shim; Lokhande, C.D.

    2009-01-01

    Nanocrystalline TiO 2 thin films are deposited by simple successive ionic layer adsorption and reaction (SILAR) method on glass and fluorine-doped tin oxide (FTO)-coated glass substrate from aqueous solution. The as-deposited films are heat treated at 673 K for 2 h in air. The change in structural, morphological and optical properties are studied by means of X-ray diffraction (XRD), selected area electron diffraction (SAED), scanning electron microscopy (SEM), Fourier transform infrared (FTIR), transmission electron microscopy (TEM) and UV-vis-NIR spectrophotometer. The results show that the SILAR method allows the formation of anatase, nanocrystalline, and porous TiO 2 thin films. The heat-treated film showed conversion efficiency of 0.047% in photoelectrochemical cell with 1 M NaOH electrolyte.

  7. Temperature dependence of gas sensing behaviour of TiO2 doped PANI composite thin films

    Science.gov (United States)

    Srivastava, Subodh; Sharma, S. S.; Sharma, Preetam; Sharma, Vinay; Rajura, Rajveer Singh; Singh, M.; Vijay, Y. K.

    2014-04-01

    In the present work we have reported the effect of temperature on the gas sensing properties of TiO2 doped PANI composite thin film based chemiresistor type gas sensors for hydrogen gas sensing application. PANI and TiO2 doped PANI composite were synthesized by in situ chemical oxidative polymerization of aniline at low temperature. The electrical properties of these composite thin films were characterized by I-V measurements as function of temperature. The I-V measurement revealed that conductivity of composite thin films increased as the temperature increased. The changes in resistance of the composite thin film sensor were utilized for detection of hydrogen gas. It was observed that at room temperature TiO2 doped PANI composite sensor shows higher response value and showed unstable behavior as the temperature increased. The surface morphology of these composite thin films has also been characterized by scanning electron microscopy (SEM) measurement.

  8. Correlation of Photocatalysis and Photoluminescence Effect in Relation to the Surface Properties of TiO2:Tb Thin Films

    Directory of Open Access Journals (Sweden)

    Damian Wojcieszak

    2013-01-01

    Full Text Available In this paper structural, optical, photoluminescence, and photocatalytic properties of TiO2 and TiO2:(2.6 at. % Tb thin films have been compared. Thin films were prepared by high-energy reactive magnetron sputtering process, which enables obtaining highly nanocrystalline rutile structure of deposited films. Crystallites sizes were 8.7 nm and 6.6 nm for TiO2 and TiO2:Tb, respectively. Surface of prepared thin films was homogenous with small roughness of ca. 7.2 and 2.1 nm in case of TiO2 and TiO2:Tb samples, respectively. Optical properties measurements have shown that the incorporation of Tb into TiO2 matrix has not changed significantly the thin films transparency. It also enables obtaining photoluminescence effect in wide range from 350 to 800 nm, what is unique phenomenon in case of TiO2 with rutile structure. Moreover, it has been found that the incorporation of 2.6 at. % of Tb has increased the photocatalytic activity more than two times as compared to undoped TiO2. Additionally, for the first time in the current state of the art, the relationship between photoluminescence effect, photocatalytic activity, and surface properties of TiO2:Tb thin films has been theoretically explained.

  9. Spin Speed and Duration Dependence of TiO2 Thin Films pH Sensing Behavior

    Directory of Open Access Journals (Sweden)

    Muhammad AlHadi Zulkefle

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were applied as the sensing membrane of an extended-gate field-effect transistor (EGFET pH sensor. TiO2 thin films were deposited by spin coating method and the influences of the spin speed and spin duration on the pH sensing behavior of TiO2 thin films were investigated. The spin coated TiO2 thin films were connected to commercial metal-oxide-semiconductor field-effect transistor (MOSFET to form the extended gates and the MOSFET was integrated in a readout interfacing circuit to complete the EGFET pH sensor system. For the spin speed parameter investigation, the highest sensitivity was obtained for the sample spun at 3000 rpm at a fixed spinning time of 60 s, which was 60.3 mV/pH. The sensitivity was further improved to achieve 68 mV/pH with good linearity of 0.9943 when the spin time was 75 s at the speed of 3000 rpm.

  10. Low-temperature preparation of rutile-type TiO2 thin films for optical coatings by aluminum doping

    Science.gov (United States)

    Ishii, Akihiro; Kobayashi, Kosei; Oikawa, Itaru; Kamegawa, Atsunori; Imura, Masaaki; Kanai, Toshimasa; Takamura, Hitoshi

    2017-08-01

    A rutile-type TiO2 thin film with a high refractive index (n), a low extinction coefficient (k) and small surface roughness (Ra) is required for use in a variety of optical coatings to improve the controllability of the reflection spectrum. In this study, Al-doped TiO2 thin films were prepared by pulsed laser deposition, and the effects of Al doping on their phases, optical properties, surface roughness and nanoscale microstructure, including Al distribution, were investigated. By doping 5 and 10 mol%Al, rutile-type TiO2 was successfully prepared under a PO2 of 0.5 Pa at 350-600 °C. The nanoscale phase separation in the Al-doped TiO2 thin films plays an important role in the formation of the rutile phase. The 10 mol%Al-doped rutile-type TiO2 thin film deposited at 350 °C showed excellent optical properties of n ≈ 3.05, k ≈ 0.01 (at λ = 400 nm) and negligible surface roughness, at Ra ≈ 0.8 nm. The advantages of the superior optical properties and small surface roughness of the 10 mol%Al-doped TiO2 thin film were confirmed by fabricating a ten-layered dielectric mirror.

  11. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  12. Inverted organic solar cells with solvothermal synthesized vanadium-doped TiO2 thin films as efficient electron transport layer

    Institute of Scientific and Technical Information of China (English)

    Mehdi Ahmadi; Sajjad Rashidi Dafeh; Samaneh Ghazanfarpour; Mohammad Khanzadeh

    2017-01-01

    We investigated the effects of using different thicknesses of pure and vanadium-doped thin films of TiO2 as the electron transport layer in the inverted configuration of organic photovoltaic cells based on poly (3-hexylthiophene) P3HT:[6-6] phenyl-(6) butyric acid methyl ester (PCBM).1% vanadium-doped TiO2 nanoparticles were synthesized via the solvothermal method.Crystalline structure,morphology,and optical properties of pure and vanadium-doped TiO2 thin films were studied by different techniques such as x-ray diffraction,scanning electron microscopy,transmittance electron microscopy,and UV-visible transmission spectrum.The doctor blade method which is compatible with roll-2-roll printing was used for deposition of pure and vanadium-doped TiO2 thin films with thicknesses of 30 nm and 60 nm.The final results revealed that the best thickness of TiO2 thin films for our fabricated cells was 30 nm.The cell with vanadium-doped TiO2 thin film showed slightly higher power conversion efficiency and great Jsc of 10.7 mA/cm2 compared with its pure counterpart.In the cells using 60 nm pure and vanadium-doped TiO2 layers,the cell using the doped layer showed much higher efficiency.It is remarkable that the extemal quantum efficiency of vanadium-doped TiO2 thin film was better in all wavelengths.

  13. Magnetic and structural study of Cu-doped TiO2 thin films

    International Nuclear Information System (INIS)

    Torres, C.E. Rodriguez; Golmar, F.; Cabrera, A.F.; Errico, L.; Navarro, A.M. Mudarra; Renteria, M.; Sanchez, F.H.; Duhalde, S.

    2007-01-01

    Transparent pure and Cu-doped (2.5, 5 and 10 at.%) anatase TiO 2 thin films were grown by pulsed laser deposition technique on LaAlO 3 substrates. The samples were structurally characterized by X-ray absorption spectroscopy and X-ray diffraction. The magnetic properties were measured using a SQUID. All films have a FM-like behaviour. In the case of the Cu-doped samples, the magnetic cycles are almost independent of the Cu concentration. Cu atoms are forming CuO and/or substituting Ti in TiO 2 . The thermal treatment in air promotes the CuO segregation. Since CuO is antiferromagnetic, the magnetic signals present in the films could be assigned to Cu substitutionally replacing cations in TiO 2

  14. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  15. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered filmsTiO 2 films with high refractive index are obtained without post-growth annealing

  16. Formation of TiO2 domains in Poly (9-vinylcarbazole) thin film by hydrolysis-condensation of a metal alkoxide

    International Nuclear Information System (INIS)

    Barlier, V.; Bounor-Legare, V.; Alcouffe, P.; Boiteux, G.; Davenas, J.

    2007-01-01

    New organic-inorganic hybrid thin films based on Poly (9-vinylcarbazole) (P9VK) and Dioxide titanium (TiO 2 ) bulk-heterojunction were obtained by a hydrolysis-condensation (H-C) process of titanium (IV) isopropoxide in thin film. The TiO 2 distribution in the film was investigated by scanning electron microscopy. The results indicated that homogeneous TiO 2 particles around 100 nm were formed on the surface of the polymer thin film. Photoluminescence spectroscopy has been used to study the charge transfer efficiency in the photoactive layer and results were compared with a simplest elaboration route, the dispersion of TiO 2 anatase in a P9VK solution before spin coating. Results showed that TiO 2 elaborated by H-C exhibits a competitive quenching effect with TiO 2 anatase

  17. Annealing effect on the structural, morphological and electrical properties of TiO2/ZnO bilayer thin films

    Science.gov (United States)

    Khan, M. I.; Imran, S.; Shahnawaz; Saleem, Muhammad; Ur Rehman, Saif

    2018-03-01

    The effect of annealing temperature on the structural, morphological and electrical properties of TiO2/ZnO (TZ) thin films has been observed. Bilayer thin films of TiO2/ZnO are deposited on FTO glass substrate by spray pyrolysis method. After deposition, these films are annealed at 573 K, 723 K and 873 K. XRD shows that TiO2 is present in anatase phase only and ZnO is present in hexagonal phase. No other phases of TiO2 and ZnO are present. Also, there is no evidence of other compounds like Zn-Ti etc. It also shows that the average grain size of TiO2/ZnO films is increased by increasing annealing temperature. AFM (Atomic force microscope) showed that the average roughness of TiO2/ZnO films is decreased at temperature 573-723 K and then increased at 873 K. The calculated average sheet resistivity of thin films annealed at 573 K, 723 K and 873 K is 152.28 × 102, 75.29 × 102 and 63.34 × 102 ohm-m respectively. This decrease in sheet resistivity might be due to the increment of electron concentration with increasing thickness and the temperature of thin films.

  18. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  19. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  20. Visible Light Excited Catalysis and Reusability Performances of TiO2@Pr:Y2SiO5 Upconversion Materials

    Directory of Open Access Journals (Sweden)

    Yan Jiao

    2017-01-01

    Full Text Available To get high efficiency photodegradation on pollutants under visible light, Pr(III doped Y2SiO5 upconversion materials and anatase TiO2 nanofilm coated Pr:Y2SiO5 composite have been prepared by using a sol-gel method. XRD and SEM test results indicated that TiO2 nanofilm was well coated on Pr:Y2SiO5 to form TiO2@Pr:Y2SiO5 composite particles with the sizes of 0.5–1.0 μm. To avoid secondary pollution resulting from incomplete recovery of catalyst particles, TiO2@Pr:Y2SiO5 was loaded on the glass fiber filters by using a dip-coating method. It is found that the catalyst particles were embedded into the carrier firmly, even after having been reused for 6 times. The luminescence intensities of TiO2@Pr:Y2SiO5 were getting down sharply with the coating contents of TiO2 increased, which was attributed to the adsorption of the luminescence by the TiO2 film in situ. As a result, TiO2@Pr:Y2SiO5 with 4% TiO2, which presented lowest luminescence intensity, showed the highest efficiency on the photodegradation of nitrobenzene wastewater. The catalysts loaded on glass fiber filters showed excellent reusability on the photodegradation of nitrobenzene and presented a photodegradation rate of 95% at the first time and up to 75.9% even after 6 times of reusing by the treatment time of 12 h.

  1. Influence of Nd-Doping on Photocatalytic Properties of TiO2 Nanoparticles and Thin Film Coatings

    Directory of Open Access Journals (Sweden)

    Damian Wojcieszak

    2014-01-01

    Full Text Available Structural, optical, and photocatalytic properties of TiO2 and TiO2:Nd nanopowders and thin films composed of those materials have been compared. Titania nanoparticles with 1, 3, and 6 at. % of Nd-dopant were synthesized by sol-gel method. Additionally, thin films with the same material composition were prepared with the aid of spin-coating method. The analysis of structural investigations revealed that all as-prepared nanopowders were nanocrystalline and had TiO2-anatase structure. The average size of crystallites was ca. 4-5 nm and the correlation between the amount of neodymium and the size of TiO2 crystallites was observed. It was shown that the dopant content influenced the agglomeration of the nanoparticles. The results of photocatalytic decomposition of MO showed that doping with Nd (especially in the amount of 3 at. % increased self-cleaning activity of the prepared titania nanopowder. Similar effect was received in case of the thin films, but the decomposition rate was lower due to their smaller active surface area. However, the as-prepared TiO2:Nd photocatalyst in the form of thin films or nanopowders seems to be a very attractive material for various applications.

  2. Nanoimprinted distributed feedback lasers comprising TiO2 thin films

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Smith, Cameron; Leung, Michael C.

    2013-01-01

    Design guidelines for optimizing the sensing performance of nanoimprinted second order distributed feedback dye lasers are presented. The guidelines are verified by experiments and simulations. The lasers, fabricated by UV-nanoimprint lithography into Pyrromethene doped Ormocomp thin films on glass......, have their sensor sensitivity enhanced by a factor of up to five via the evaporation of a titanium dioxide (TiO2) waveguiding layer. The influence of the TiO2 layer thickness on the device sensitivity is analyzed with a simple model that accurately predicts experimentally measured wavelength shifts...

  3. Thickness Dependent Optical Properties of Sol-gel based MgF2TiO2 Thin Films

    Directory of Open Access Journals (Sweden)

    Siddarth Krishnaraja Achar

    2018-04-01

    Full Text Available MgF2TiO2 thin films were prepared by cost effective solgel technique onto glass substrates and optical parameters were determined by envelope technique. Thin films were characterized by optical transmission spectroscopy in the spectral range 290 – 1000 nm. The refractive index, extinction coefficient, Optical thickness and band gap dependency on thickness were evaluated. Thickness dependency of thin films showed direct allowed transition with band gap of 3.66 to 3.73 eV.

  4. Rapid fabrication of mesoporous TiO2 thin films by pulsed fibre laser for dye sensitized solar cells

    Science.gov (United States)

    Hadi, Aseel; Alhabradi, Mansour; Chen, Qian; Liu, Hong; Guo, Wei; Curioni, Michele; Cernik, Robert; Liu, Zhu

    2018-01-01

    In this paper we demonstrate for the first time that a fibre laser with a wavelength of 1070 nm and a pulse width of milliseconds can be applied to generate mesoporous nanocrystalline (nc) TiO2 thin films on ITO coated glass in ambient atmosphere, by complete vaporisation of organic binder and inter-connection of TiO2 nanoparticles, without thermally damaging the ITO layer and the glass substrate. The fabrication of the mesoporous TiO2 thin films was achieved by stationary laser beam irradiation of 1 min. The dye sensitized solar cell (DSSC) with the laser-sintered TiO2 photoanode reached higher power conversion efficiency (PCE) of 3.20% for the TiO2 film thickness of 6 μm compared with 2.99% for the furnace-sintered. Electrochemical impedance spectroscopy studies revealed that the laser sintering under the optimised condition effectively decreased charge transfer resistance and increased electron lifetime of the TiO2 thin films. The use of the fibre laser with over 40% wall-plug efficiency offers an economically-feasible, industrial viable solution to the major challenge of rapid fabrication of large scale, mass production of mesoporous metal oxide thin film based solar energy systems, potentially for perovskite and monolithic tandem solar cells, in the future.

  5. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  6. Photocatalytic activity of Al2O3-doped TiO2 thin films activated with visible light on the bacteria Escherichia coli

    International Nuclear Information System (INIS)

    Barajas-Ledesma, E.; Garcia-Benjume, M.L.; Espitia-Cabrera, I.; Bravo-Patino, A.; Espinoza-Beltran, F.J.; Mostaghimi, J.; Contreras-Garcia, M.E.

    2010-01-01

    Al 2 O 3 -doped TiO 2 thin films were prepared by combining electrophoretic deposition (EPD) with sputtering. A Corning* glass was used as a substrate, in which a titanium film was deposited by sputtering. Then, a precursor sol was prepared with Ti(n-OBu) 4 and Al(s-OBu) 3 and used as the medium for EPD. Next, the thin films were sintered and, finally, characterised by scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). Several cultures of Escherichia coli, strain XL1-Blue, were prepared. Nine experiments were carried out. In three of them, an inoculum (a low amount of a product that contains bacteria) was prepared without a film; in the other six Al 2 O 3 -doped TiO 2 film-coated glass substrates were irradiated with visible light before they were introduced in the inoculum. The SEM and EDS results showed that TiO 2 -Al 2 O 3 films were obtained, covering all the glass substrate and with uniform size of particles forming them, and that the aluminium was distributed uniformly on the film. XRD results showed that rutile phase was obtained. By TEM, the structure of TiO 2 was demonstrated. Al 2 O 3 -doped TiO 2 thin films were successful at eliminating E. coli.

  7. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  8. Whiter, brighter, and more stable cellulose paper coated with TiO2 /SiO2 core/shell nanoparticles using a layer-by-layer approach.

    Science.gov (United States)

    Cheng, Fei; Lorch, Mark; Sajedin, Seyed Mani; Kelly, Stephen M; Kornherr, Andreas

    2013-08-01

    To inhibit the photocatalytic degradation of organic material supports induced by small titania (TiO2 ) nanoparticles, four kinds of TiO2 nanoparticles, that is, commercial P25-TiO2 , commercial rutile phase TiO2 , rutile TiO2 nanorods and rutile TiO2 spheres, prepared from TiCl4 , were coated with a thin, but dense, coating of silica (SiO2 ) using a conventional sol-gel technique to form TiO2 /SiO2 core/shell nanoparticles. These core/shell particles were deposited and fixed as a very thin coating onto the surface of cellulose paper samples by a wet-chemistry polyelectrolyte layer-by-layer approach. The TiO2 /SiO2 nanocoated paper samples exhibit higher whiteness and brightness and greater stability to UV-bleaching than comparable samples of blank paper. There are many potential applications for this green chemistry approach to protect cellulosic fibres from UV-bleaching in sunlight and to improve their whiteness and brightness. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. TiO2 nanoparticle thin film deposition by matrix assisted pulsed laser evaporation for sensing applications

    International Nuclear Information System (INIS)

    Caricato, A.P.; Capone, S.; Ciccarella, G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Taurino, A.; Tunno, T.; Valerini, D.

    2007-01-01

    The MAPLE technique has been used for the deposition of nanostructured titania (TiO 2 ) nanoparticles thin films to be used for gas sensors applications. An aqueous solution of TiO 2 nanoparticles, synthesised by a novel chemical route, was frozen at liquid nitrogen temperature and irradiated with a pulsed ArF excimer laser in a vacuum chamber. A uniform distribution of TiO 2 nanoparticles with an average size of about 10 nm was deposited on Si and interdigitated Al 2 O 3 substrates as demonstrated by high resolution scanning electron microscopy-field emission gun inspection (SEM-FEG). Energy dispersive X-ray (EDX) analysis revealed the presence of only the titanium and oxygen signals and FTIR (Fourier transform infra-red) revealed the TiO 2 characteristic composition and bond. A comparison with a spin coated thin film obtained from the same solution of TiO 2 nanoparticles is reported. The sensing properties of the films deposited on interdigitated substrates were investigated, too

  10. An impact of the copper additive on photocatalytic and bactericidal properties of TiO2 thin films

    Directory of Open Access Journals (Sweden)

    Wojcieszak Damian

    2017-07-01

    Full Text Available The biological and photocatalytic activity of TiO2 and TiO2:Cu in relation to their structure, surface topography, wettability and optical properties of the thin films was investigated. Thin-film coatings were prepared by magnetron sputtering method in oxygen plasma with use of metallic targets (Ti and Ti-Cu. The results of structural studies revealed that addition of Cu into titania matrix (during the deposition process resulted in obtaining of an amorphous film, while in case of undoped TiO2, presence of nanocrystalline anatase (with crystallites size of 20 nm was found. Moreover, an addition of cooper had also an effect on surface diversification and decrease of its hydrophilicity. The roughness of TiO2:Cu film was 25 % lower (0.6 nm as-compared to titania (0.8 nm. These modifications of TiO2:Cu had an impact on the decrease of its photocatalytic activity, probably as a result of the active surface area decrease. Antibacterial and antifungal properties of the thin films against bacteria (Enterococcus hirae, Staphylococcus aureus, Bacillus subtilis, Escherichia coli and yeast (Candida albicans were also examined. For the purpose of this work the method dedicated for the evaluation of antimicrobial properties of thin films was developed. It was revealed that Cu-additive has a positive impact on neutralization of microorganisms.

  11. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    Science.gov (United States)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  12. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  13. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  14. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  15. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  16. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    Science.gov (United States)

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  17. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  18. Enhanced photoelectrochemical and photocatalytic activity of WO3-surface modified TiO2 thin film

    Science.gov (United States)

    Qamar, Mohammad; Drmosh, Qasem; Ahmed, Muhammad I.; Qamaruddin, Muhammad; Yamani, Zain H.

    2015-02-01

    Development of nanostructured photocatalysts for harnessing solar energy in energy-efficient and environmentally benign way remains an important area of research. Pure and WO3-surface modified thin films of TiO2 were prepared by magnetron sputtering on indium tin oxide glass, and photoelectrochemical and photocatalytic activities of these films were studied. TiO2 particles were <50 nm, while deposited WO3 particles were <20 nm in size. An enhancement in the photocurrent was observed when the TiO2 surface was modified WO3 nanoparticles. Effect of potential, WO3 amount, and radiations of different wavelengths on the photoelectrochemical activity of TiO2 electrodes was investigated. Photocatalytic activity of TiO2 and WO3-modified TiO2 for the decolorization of methyl orange was tested.

  19. Preparation of Oleyl Phosphate-Modified TiO2/Poly(methyl methacrylate Hybrid Thin Films for Investigation of Their Optical Properties

    Directory of Open Access Journals (Sweden)

    Masato Fujita

    2015-01-01

    Full Text Available TiO2 nanoparticles (NPs modified with oleyl phosphate were synthesized through stable Ti–O–P bonds and were utilized to prepare poly(methyl methacrylate- (PMMA- based hybrid thin films via the ex situ route for investigation of their optical properties. After surface modification of TiO2 NPs with oleyl phosphate, IR and 13C CP/MAS NMR spectroscopy showed the presence of oleyl groups. The solid-state 31P MAS NMR spectrum of the product revealed that the signal due to oleyl phosphate (OP shifted upon reaction, indicating formation of covalent Ti–O–P bonds. The modified TiO2 NPs could be homogeneously dispersed in toluene, and the median size was 16.1 nm, which is likely to be sufficient to suppress Rayleigh scattering effectively. The TEM images of TiO2/PMMA hybrid thin films also showed a homogeneous dispersion of TiO2 NPs, and they exhibited excellent optical transparency even though the TiO2 content was 20 vol%. The refractive indices of the OP-modified TiO2/PMMA hybrid thin films changed higher with increases in TiO2 volume fraction, and the hybrid thin film with 20 vol% of TiO2 showed the highest refractive index (n = 1.86.

  20. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  1. Growth of TiO2 Thin Film on Various Substrates using RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2011-01-01

    The conductivity of Titanium Dioxide (TiO 2 ) thin film fabricated using Radio Frequency (RF) Magnetron Sputtering on Silicon (Si), Indium doped--Tin Oxide (ITO) and microscope glass (M) substrates is presented in this paper. The dependant of thin film thickness and type of substrate been discussed. TiO 2 was deposited using Ti target in Ar+O 2 (45:10) mixture at 250 W for 45, 60, 75, 90, 105 and 120 minute. Resultant thickness varies from 295 nm to 724 nm with deposition rate 6.4 nm/min. On the other hand, resistivity, Rs value for ITO substrate is between 5.72x10 -7 to 1.54x10 -6 Ω.m, Si substrate range is between 3.52x10 -6 to 1.76x10 -5 Ω.m and M substrate range is between 99 to 332 Ω.m. The value of resistivity increases with the thickness of the thin film.

  2. Synthesis and characterization of erbium-doped SiO2-TiO2 thin films prepared by sol-gel and dip-coating techniques onto commercial glass substrates as a route for obtaining active GRadient-INdex materials

    International Nuclear Information System (INIS)

    Gómez-Varela, Ana I.; Castro, Yolanda; Durán, Alicia; De Beule, Pieter A.A.; Flores-Arias, María T.; Bao-Varela, Carmen

    2015-01-01

    In this work, SiO 2 -TiO 2 films doped with erbium were prepared by dip-coating sol-gel process onto commercial glass substrates. The surface morphology of the films was characterized using atomic force microscopy, while thickness, refractive index, extinction coefficient and porosity of the films were determined by ellipsometric measurements in a wavelength region of 400-1000 nm. Optical constants and porosity were found to vary with erbium concentration. The proof of principle presented in this paper is applicable to systems of different nature by tailoring the sol-gel precursors in such a way that active GRadient-INdex media described by a complex, parabolic-like refractive index distribution for beam shaping purposes is obtained. - Highlights: • Sol-gel route for preparation of active GRadient-INdex materials is proposed. • SiO 2 -TiO 2 films doped with erbium were prepared by dipping onto commercial glasses. • Morphological and optical characterization of the samples was performed. • Optical constants and porosity were found to vary with erbium concentration. • Refractive index diminishes with dopant content; the contrary occurs for porosity

  3. Nanocrystalline Pt-doped TiO2 thin films prepared by spray pyrolysis ...

    Indian Academy of Sciences (India)

    Administrator

    Spray pyrolysis techniques; TiO2 thin films; hydrogen gas response. 1. Introduction ... tion is necessary during the production, storage and use of hydrogen. It is also ..... ient, and 'green': it may be used to large scale industrial application for ...

  4. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  5. Low Loss Sol-Gel TiO2 Thin Films for Waveguiding Applications

    Directory of Open Access Journals (Sweden)

    Alexis Fischer

    2013-03-01

    Full Text Available TiO2 thin films were synthesized by sol-gel process: titanium tetraisopropoxide (TTIP was dissolved in isopropanol, and then hydrolyzed by adding a water/isopropanol mixture with a controlled hydrolysis ratio. The as prepared sol was deposited by “dip-coating” on a glass substrate with a controlled withdrawal speed. The obtained films were annealed at 350 and 500 °C (2 h. The morphological properties of the prepared films were analyzed by Scanning Electron Microscopy (SEM and Atomic Force Microscopy (AFM. The optical waveguiding properties of TiO2 films were investigated for both annealing temperature using m-lines spectroscopy. The refractive indices and the film thickness were determined from the measured effective indices. The results show that the synthesized planar waveguides are multimodes and demonstrate low propagation losses of 0.5 and 0.8 dB/cm for annealing temperature 350 and 500 °C, respectively.

  6. Effect of iron doping on structural and optical properties of TiO2 thin film by sol–gel routed spin coating technique

    Directory of Open Access Journals (Sweden)

    Stephen Lourduraj

    2017-08-01

    Full Text Available Thin films of iron (Fe-doped titanium dioxide (Fe:TiO2 were prepared by sol–gel spin coating technique and further calcined at 450∘C. The structural and optical properties of Fe-doped TiO2 thin films were investigated by X-ray diffraction (XRD, scanning electron microscopy (SEM, ultraviolet–visible spectroscopy (UV–vis and atomic force microscopic (AFM techniques. The XRD results confirm the nanostructured TiO2 thin films having crystalline nature with anatase phase. The characterization results show that the calcined thin films having high crystallinity and the effect of iron substitution lead to decreased crystallinity. The SEM investigations of Fe-doped TiO2 films also gave evidence that the films were continuous spherical shaped particles with a nanometric range of grain size and film was porous in nature. AFM analysis establishes that the uniformity of the TiO2 thin film with average roughness values. The optical measurements show that the films having high transparency in the visible region and the optical band gap energy of Fe-doped TiO2 film with iron (Fe decrease with increase in iron content. These important requirements for the Fe:TiO2 films are to be used as window layers in solar cells.

  7. Enhanced Charge Extraction of Li-Doped TiO2 for Efficient Thermal-Evaporated Sb2S3 Thin Film Solar Cells

    Science.gov (United States)

    Lan, Chunfeng; Luo, Jingting; Lan, Huabin; Fan, Bo; Peng, Huanxin; Zhao, Jun; Sun, Huibin; Zheng, Zhuanghao; Liang, Guangxing; Fan, Ping

    2018-01-01

    We provided a new method to improve the efficiency of Sb2S3 thin film solar cells. The TiO2 electron transport layers were doped by lithium to improve their charge extraction properties for the thermal-evaporated Sb2S3 solar cells. The Mott-Schottky curves suggested a change of energy band and faster charge transport in the Li-doped TiO2 films. Compared with the undoped TiO2, Li-doped mesoporous TiO2 dramatically improved the photo-voltaic performance of the thermal-evaporated Sb2S3 thin film solar cells, with the average power conversion efficiency (PCE) increasing from 1.79% to 4.03%, as well as the improved open-voltage (Voc), short-circuit current (Jsc) and fill factors. The best device based on Li-doped TiO2 achieved a power conversion efficiency up to 4.42% as well as a Voc of 0.645 V, which are the highest values among the reported thermal-evaporated Sb2S3 solar cells. This study showed that Li-doping on TiO2 can effectively enhance the charge extraction properties of electron transport layers, offering a new strategy to improve the efficiency of Sb2S3-based solar cells. PMID:29495612

  8. Enhanced Charge Extraction of Li-Doped TiO2 for Efficient Thermal-Evaporated Sb2S3 Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Chunfeng Lan

    2018-02-01

    Full Text Available We provided a new method to improve the efficiency of Sb2S3 thin film solar cells. The TiO2 electron transport layers were doped by lithium to improve their charge extraction properties for the thermal-evaporated Sb2S3 solar cells. The Mott-Schottky curves suggested a change of energy band and faster charge transport in the Li-doped TiO2 films. Compared with the undoped TiO2, Li-doped mesoporous TiO2 dramatically improved the photo-voltaic performance of the thermal-evaporated Sb2S3 thin film solar cells, with the average power conversion efficiency (PCE increasing from 1.79% to 4.03%, as well as the improved open-voltage (Voc, short-circuit current (Jsc and fill factors. The best device based on Li-doped TiO2 achieved a power conversion efficiency up to 4.42% as well as a Voc of 0.645 V, which are the highest values among the reported thermal-evaporated Sb2S3 solar cells. This study showed that Li-doping on TiO2 can effectively enhance the charge extraction properties of electron transport layers, offering a new strategy to improve the efficiency of Sb2S3-based solar cells.

  9. Effect of laser irradiation on the structural, morphological and electrical properties of polycrystalline TiO2 thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available TiO2 thin film is deposited on glass substrate by sol-gel dip coating technique. After deposition, films were irradiated by continuous wave (CW diode laser at an angle of 45°. XRD shows both the anatase and brookite phases of TiO2. Nano particles of regular and control sizes are appeared in SEM micrographs. Therefore, shape and size of nano particles can be control by using Laser irradiation. The average sheet resistivity of TiO2 thin film irradiated by 0, 2, 4 and 6 min are 6.72 × 105, 5.32 × 105, 3.44 × 105 and 4.95 × 105 (ohm-m respectively, according to four point probe. Keywords: TiO2, Diode laser, XRD, SEM

  10. Characterization of TiO2 Thin Films on Glass Substrate Growth Using DC Sputtering Technique

    International Nuclear Information System (INIS)

    Agus Santoso; Tjipto Sujitno; Sayono

    2002-01-01

    It has been fabricated and characterization a TiO 2 thin films deposited on glass substrate using DC sputtering technique. Fabrication of TiO 2 thin films were carried out at electrode voltage 4 kV, sputtering current 5 mA, vacuum pressure 5 x 10 -4 torr, deposition time 150 minutes, and temperature of the substrate were varied from 150 -350 o C, while as a gas sputter was argon. The results was tested their micro structure using SEM, and crystal structure using XRD and found that the crystal structure of TiO 2 powder before deposited on glass substrate was rutile and anatase with orientation (110) and (200) for anatase and (100) and (111) rutile structure. While the crystal structure which deposited at temperature 150 o C and deposition time 2.5 hours was anatase with orientation (001) and (200). (author)

  11. Chromium doped TiO2 sputtered thin films synthesis, physical investigations and applications

    CERN Document Server

    Hajjaji, Anouar; Gaidi, Mounir; Bessais, Brahim; El Khakani, My Ali

    2014-01-01

    This book presents co-sputtered processes ways to produce chrome doped TiO2 thin films onto various substrates such as quartz, silicon and porous silicon. Emphasis is given on the link between the experimental preparation and physical characterization in terms of Cr content. Moreover, the structural, optical and optoelectronic investigations are emphasized throughout. The book explores the potencial applications of devices based on Cr doped TiO2 thin films as gas sensors and in photocatalysis and in the photovoltaic industry. Also, this book provides extensive leads into research literature, and each chapter contains details which aim to develop awareness of the subject and the methods used. The content presented here will be useful for graduate students as well as researchers in materials science, physics, chemistry and engineering.

  12. Influence of nanocrystalline structure and surface properties of TiO2 thin films on the viability of L929 cells

    Directory of Open Access Journals (Sweden)

    Osękowska Małgorzata

    2015-09-01

    Full Text Available In this work the physicochemical and biological properties of nanocrystalline TiO2 thin films were investigated. Thin films were prepared by magnetron sputtering method. Their properties were examined by X-ray diffraction, photoelectron spectroscopy, atomic force microscopy, optical transmission method and optical profiler. Moreover, surface wettability and scratch resistance were determined. It was found that as-deposited coatings were nanocrystalline and had TiO2-anatase structure, built from crystallites in size of 24 nm. The surface of the films was homogenous, composed of closely packed grains and hydrophilic. Due to nanocrystalline structure thin films exhibited good scratch resistance. The results were correlated to the biological activity (in vitro of thin films. Morphological changes of mouse fibroblasts (L929 cell line after contact with the surface of TiO2 films were evaluated with the use of a contrast-phase microscope, while their viability was tested by MTT colorimetric assay. The viability of cell line upon contact with the surface of nanocrystalline TiO2 film was comparable to the control sample. L929 cells had homogenous cytoplasm and were forming a confluent monofilm, while lysis and inhibition of cell growth was not observed. Moreover, the viability in contact with surface of examined films was high. This confirms non-cytotoxic effect of TiO2 film surface on mouse fibroblasts.

  13. Effect of laser irradiation on the structural, morphological and electrical properties of polycrystalline TiO2 thin films

    Science.gov (United States)

    Khan, M. I.; Ali, Asghar

    TiO2 thin film is deposited on glass substrate by sol-gel dip coating technique. After deposition, films were irradiated by continuous wave (CW) diode laser at an angle of 45°. XRD shows both the anatase and brookite phases of TiO2. Nano particles of regular and control sizes are appeared in SEM micrographs. Therefore, shape and size of nano particles can be control by using Laser irradiation. The average sheet resistivity of TiO2 thin film irradiated by 0, 2, 4 and 6 min are 6.72 × 105, 5.32 × 105, 3.44 × 105 and 4.95 × 105 (ohm-m) respectively, according to four point probe.

  14. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    Science.gov (United States)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  15. Synthesis of nanocrystalline TiO 2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    A transparent, high purity titanium dioxide thin film composed of densely packed nanometer sized grains has been successfully deposited on a glass substrate at 30°C from an aqueous solution of TiO2–HF with the addition of boric acid as a scavenger by liquid phase deposition technique. From X-ray diffraction ...

  16. Structural, Electrical and Optical Properties of TiO2 Thin Film Deposited on the Nano Porous Silicon Template

    Science.gov (United States)

    Bahar, Mahmood; Dermani, Ensieh Khalili

    The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.

  17. Surface Modification of Aerosol-Assisted CVD Produced TiO2 Thin Film for Dye Sensitised Solar Cell

    Directory of Open Access Journals (Sweden)

    SuPei Lim

    2014-01-01

    Full Text Available We report a simple and convenient method for the preparation of Ag/TiO2 thin films supported on indium tin oxide, which was achieved by sonochemical deposition of Ag+ on aerosol-assisted chemical vapour deposited TiO2 thin films. Posttreatment was performed on the film by immersion in HCl. The as-prepared composite film was characterised by X-ray diffraction, ultraviolet-visible absorption spectroscopy, Raman spectroscopy, and field emission scanning electron microscopy. The photoelectrochemical measurements and J-V characterisation showed approximately fivefold increase in photocurrent density generation and approximately sevenfold enhancement in dye sensitiser solar cell (DSSC conversion efficiency, which was achieved after modification of the TiO2 film with HCl posttreatment and Ag particle deposition. The improved photocurrent density of 933.30 μA/cm2, as well as DSSC power conversion efficiency of 3.63% with high stability, is an indication that the as-synthesised thin film is a potential candidate for solar energy conversion applications.

  18. Performance of Erbium-doped TiO2 thin film grown by physical vapor deposition technique

    Science.gov (United States)

    Lahiri, Rini; Ghosh, Anupam; Dwivedi, Shyam Murli Manohar Dhar; Chakrabartty, Shubhro; Chinnamuthu, P.; Mondal, Aniruddha

    2017-09-01

    Undoped and Erbium-doped TiO2 thin films (Er:TiO2 TFs) were fabricated on the n-type Si substrate using physical vapour deposition technique. Field emission scanning electron microscope showed the morphological change in the structure of Er:TiO2 TF as compared to undoped sample. Energy dispersive X-ray spectroscopy (EDX) confirmed the Er doping in the TiO2 thin film (TF). The XRD and Raman spectrum showed the presence of anatase phase TiO2 and Er2O3 in the Er:TiO2 TF. The Raman scattering depicted additional number of vibrational modes for Er:TiO2 TF due to the presence of Er as compared to the undoped TiO2 TF. The UV-Vis absorption measurement showed that Er:TiO2 TF had approximately 1.2 times more absorption over the undoped TiO2 TF in the range of 300-400 nm. The main band transition, i.e., the transition between the oxygen (2p) state and the Ti (3d) state was obtained at 3.0 eV for undoped TiO2 and at 3.2 eV for Er:TiO2 TF, respectively. The photo responsivity measurement was done on both the detectors, where Er:TiO2 TF detector showed better detectivity ( D *), noise equivalent power and temporal response as compared to undoped detector under ultra-violet illumination.

  19. Structural Modification of Sol-Gel Synthesized V2O5 and TiO2 Thin Films with/without Erbium Doping

    Directory of Open Access Journals (Sweden)

    Fatma Pınar Gökdemir

    2014-01-01

    Full Text Available Comparative work of with/without erbium- (Er- doped vanadium pentoxide (V2O5 and titanium dioxide (TiO2 thin films were carried out via sol-gel technique by dissolving erbium (III nitrate pentahydrate (Er(NO33·5H2O in vanadium (V oxoisopropoxide (OV[OCH(CH32]3 and titanium (IV isopropoxide (Ti[OCH(CH32]4. Effect of Er doping was traced by Fourier transform IR (FTIR, thermogravimetric/differential thermal (TG/DTA, and photoluminescence measurements. UV-Vis transmission/absorption measurement indicated a blue shift upon Er doping in V2O5 film due to the softening of V=O bond while appearance of typical absorption peaks in Er-doped TiO2 film. Granule size of the films increased (reduced upon Er substitution on host material compared to undoped V2O5 and TiO2 films, respectively.

  20. Photocatalytic application of TiO2/SiO2-based magnetic nanocomposite (Fe3O4@SiO2/TiO2 for reusing of textile wastewater

    Directory of Open Access Journals (Sweden)

    Laleh Enayati Ahangar

    2016-01-01

    Full Text Available In this research we have developed a treatment method for textile wastewater by TiO2/SiO2-based magnetic nanocomposite. Textile wastewater includes a large variety of dyes and chemicals and needs treatments. This manuscript presents a facile method for removing dyes from the textile wastewater by using TiO2/SiO2-based nanocomposite (Fe3O4@SiO2/TiO2 under UV irradiation. This magnetic nanocomposite, as photocatalytically active composite, is synthesized via solution method in mild conditions. A large range of cationic, anionic and neutral dyes including: methyl orange, methylene blue, neutral red, bromocresol green and methyl red are used for treatment investigations. Neutral red and bromocresol green have good results in reusing treatment. The high surface area of nanocomposites improve the kinetic of wastewater treatment. In this method, by using the magnetic properties of Fe3O4 nanoparticles, TiO2-based photocatalyst could be separated and reused for 3 times. The efficiency of this method is respectively 100% and 65% for low concentration (10 ppm and high concentration (50 ppm of neutral red and bromocrosol green after 3 h treatment. The efficiency of treatment using the second used nanocomposite was 90% for 10 ppm of the same dyes.

  1. Transparent TiO2 nanowire networks via wet corrosion of Ti thin films for dye-sensitized solar cells

    Science.gov (United States)

    Shin, Eunhye; Jin, Saera; Hong, Jongin

    2017-09-01

    Transparent TiO2 nanowire networks were prepared by corrosion of Ti thin films on F-doped SnO2 glass substrates in an alkaline (potassium hydroxide: KOH) solution. The formation of the porous TiO2 nanostructures from the Ti thin films was thoroughly investigated. Dye-sensitized solar cells with a photoanode of 1.2-μm-thick nanowire networks exhibit an average optical transmittance of 40% in the visible light region and a power conversion efficiency of 1.0% under one sun illumination.

  2. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    NARCIS (Netherlands)

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to

  3. Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.

    Science.gov (United States)

    Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui

    2015-12-30

    This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  5. Effects of deposition rates on laser damage threshold of TiO2/SiO2 high reflectors

    International Nuclear Information System (INIS)

    Yao Jianke; Xu Cheng; Ma Jianyong; Fang Ming; Fan Zhengxiu; Jin Yunxia; Zhao Yuanan; He Hongbo; Shao Jianda

    2009-01-01

    TiO 2 single layers and TiO 2 /SiO 2 high reflectors (HR) are prepared by electron beam evaporation at different TiO 2 deposition rates. It is found that the changes of properties of TiO 2 films with the increase of rate, such as the increase of refractive index and extinction coefficient and the decrease of physical thickness, lead to the spectrum shift and reflectivity bandwidth broadening of HR together with the increase of absorption and decrease of laser-induced damage threshold. The damages are found of different morphologies: a shallow pit to a seriously delaminated and deep crater, and the different amorphous-to-anatase-to-rutile phase transition processes detected by Raman study. The frequency shift of Raman vibration mode correlates with the strain in film. Energy dispersive X-ray analysis reveals that impurities and non-stoichiometric defects are two absorption initiations resulting to the laser-induced transformation.

  6. Hazards of TiO2 and amorphous SiO2 nanoparticles

    NARCIS (Netherlands)

    Reijnders, L.; Kahn, H.A.; Arif, I.A.

    2012-01-01

    TiO2 and amorphous SiO2 nanoparticles have been described as ‘safe’, ‘non-toxic’ and ‘environment friendly’ in scientific literature. However, though toxicity data are far from complete, there is evidence that these nanoparticles are hazardous. TiO2 nanoparticles have been found hazardous to humans

  7. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  8. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  9. Uniform thin films of TiO2 nanoparticles deposited by matrix-assisted pulsed laser evaporation

    International Nuclear Information System (INIS)

    Caricato, A.P.; Manera, M.G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Tunno, T.; Valerini, D.

    2007-01-01

    We report morphological and optical properties of a colloidal TiO 2 nanoparticle film, deposited on a quartz substrate by using the Matrix-Assisted Pulsed Laser Evaporation (MAPLE) technique. Atomic Force Microscopy demonstrated that a good uniformity of the deposition can be obtained. The presence of agglomerates with dimensions of about 1 μm in size was noticed. Form UV-vis transmission spectra, recorded in the 200-800 nm range, the optical constants and the energy gap were determined besides the film thickness. The optical constants resulted in agreement with the values reported in literature for TiO 2 nanoparticle thin films

  10. Self-organized nanocrack networks: a pathway to enlarge catalytic surface area in sputtered ceramic thin films, showcased for photocatalytic TiO2

    Science.gov (United States)

    Henkel, B.; Vahl, A.; Aktas, O. C.; Strunskus, T.; Faupel, F.

    2018-01-01

    Sputter deposited photocatalytic thin films offer high adherence and mechanical stability, but typically are outperformed in their photocatalytic properties by colloidal TiO2 nanostructures, which in turn typically suffer from problematic removal. Here we report on thermally controlled nanocrack formation as a feasible and batch applicable approach to enhance the photocatalytic performance of well adhering, reactively sputtered TiO2 thin films. Networks of nanoscopic cracks were induced into tailored columnar TiO2 thin films by thermal annealing. These deep trenches are separating small bundles of TiO2 columns, adding their flanks to the overall catalytically active surface area. The variation of thin film thickness reveals a critical layer thickness for initial nanocrack network formation, which was found to be about 400 nm in case of TiO2. The columnar morphology of the as deposited TiO2 layer with weak bonds between respective columns and with strong bonds to the substrate is of crucial importance for the formation of nanocrack networks. A beneficial effect of nanocracking on the photocatalytic performance was experimentally observed. It was correlated by a simple geometric model for explaining the positive impact of the crack induced enlargement of active surface area on photocatalytic efficiency. The presented method of nanocrack network formation is principally not limited to TiO2 and is therefore seen as a promising candidate for utilizing increased surface area by controlled crack formation in ceramic thin films in general.

  11. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  12. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  13. Preparation and switching kinetics of Pb(Zr, Ti)O3 thin films deposited by reactive sputtering

    International Nuclear Information System (INIS)

    Hase, Takashi; Shiosaki, Tadashi

    1991-01-01

    Ferroelectric Pb(Zr, Ti)O 3 [PZT] thin films have been prepared on Pt/Ti/SiO 2 /Si and Pt/SiO 2 /Si substrates using the reactive sputtering method with a metal composite target. The (111)-oriented PZT (80/20) thin films with a perovskite structure have been obtained at a substrate temperature of 595degC on highly (111)-oriented Pt films formed on SiO 2 /Si substrates. When an 8 V pulse sequence was applied to a 265 nm-thick film with an electrode area of 50 x 50 μm 2 , the switching time and the switched charge density measured were 20 ns and 10 μC/cm 2 , respectively. The switching time was strongly dependent on the electrode area. (author)

  14. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    OpenAIRE

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx

  15. Studies of LPCVD and anodised TiO2 thin films and their photoelectrocatalytic photochemical properties for destruction of organic effluents

    International Nuclear Information System (INIS)

    Tian, F.

    2001-01-01

    TiO 2 thin films prepared by CVD and anodisation methods and their applications for the photoelectrocatalytic and photocatalytic destruction of organic effluents are described in this thesis. The theoretical background of CVD, photoelectrocatalysis (PEC) and photocatalysis (PC) is introduced in Chapter 1. This chapter also contains an intensive literature review about TiO 2 thin film preparation, mainly by CVD, and about PEC and PC destruction of organic effluents by TiO 2 thin films. The experimental methods, which include CVD reactors, PEC cells and film characterisation and chemical analysis, are described in Chapter 2. The results for TiO 2 films deposited by LPCVD on SnO 2 coated glass using either TTIP or TTB precursors in the presence of O 2 , with or without water as a reagent, are discussed in Chapter 3 for a small CVD reactor and Chapter 4 for a large reactor. The effects of precursor, water and annealing on the crystal structure of the films have been investigated and compared. It was found that phase transition temperatures for changes from amorphous to anatase and anatase to rutile with TTIP were higher than those obtained with TTB. Water also had an effect by decreasing the temperature for depositing crystalline films. The other kind of TiO 2 films prepared by anodisation of titanium mesh was studied and the results are presented in Chapter 5. PEC or PC destruction of MPA, RDX and 4-CP have been studied using TiO 2 thin film anodes in small and large PEC reactors which are described in Chapter 6 and Chapter 7, respectively. PC destruction rates of organics are found to be improved significantly with an applied potential; i.e. by a PEC process. The effects of film properties, such as film crystallinity, thickness and film type on the PEC and PC efficiencies have been investigated. It was found that the different behaviour of films in PEC processes probably was due to surface effects rather than internal electric field differences. The extent of PEC and

  16. Photocatalytic decomposition of diclofenac potassium using silver-modified TiO2 thin films

    International Nuclear Information System (INIS)

    Cavalheiro, A.A.; Bruno, J.C.; Saeki, M.J.; Valente, J.P.S.; Florentino, A.O.

    2008-01-01

    The effects of silver insertion on the TiO 2 photocatalytic activity for the degradation of diclofenac potassium were reported here. Techniques such as X-ray diffraction, scanning electron microscopy and UV-Vis spectroscopy were used to comprehend the relation between structure and properties of the silver-modified TiO 2 thin films obtained by the sol-gel method. The lattice parameters and the crystallinity of TiO 2 anatase phase were affected by inserted silver, and the film thickness increased about 4 nm for each 1 wt.% of silver inserted. The degradation of diclofenac potassium and by-products reached an efficiency of 4.6 mg C W -1 when the material was modified with silver. Although the first step of degradation involves only the photochemical process related to the loss of the chlorine and hydrogen atoms. This cyclization reaction leads to the formation of intermediate, which degradation is facilitated by the modified material

  17. Photoelectrocatalytic Degradation of Sodium Oxalate by TiO2/Ti Thin Film Electrode

    Directory of Open Access Journals (Sweden)

    Chen-Yu Chang

    2012-01-01

    Full Text Available The photocatalytically active TiO2 thin film was deposited on the titanium substrate plate by chemical vapor deposition (CVD method, and the photoelectrocatalytic degradation of sodium oxalate was investigated by TiO2 thin film reactor prepared in this study with additional electric potential at 365 nm irradiation. The batch system was chosen in this experiment, and the controlled parameters were pH, different supporting electrolytes, applied additional potential, and different electrolyte solutions that were examined and discussed. The experimental results revealed that the additional applied potential in photocatalytic reaction could prohibit recombination of electron/hole pairs, but the photoelectrocatalytic effect was decreased when the applied electric potential was over 0.25 V. Among the electrolyte solutions added, sodium sulfate improved the photoelectrocatalytic effect most significantly. At last, the better photoelectrocatalytic degradation of sodium oxalate occurred at pH 3 when comparing the pH influence.

  18. A Humidity Sensor Based on Nb-doped Nanoporous TiO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Mansoor Anbia

    2011-11-01

    Full Text Available The humidity sensing properties of the sensor fabricated from Nb-doped nanoporous TiO2 by screen-printing on the alumina substrate with Ag-Pd interdigital electrodes have been investigated. The nanoporous thin film has been prepared by sol-gel technique. The product has been characterized by X-ray diffraction and scanning electron microscopy to analyze the structure and its morphology. It is found that the impedance of this sensor changes more than four orders of magnitude in the relative humidity (RH range of 11–95 % at 25 °C. The response and recovery time of the sensor are about 19 and 25 s, respectively, during the RH variation from 11 to 95 %. The sensor shows high humidity sensitivity, rapid response and recovery, prominent stability, good repeatability and narrow hysteresis loop. These results indicate that Nb-doped nanoporous TiO2 thin films have a great potential for humidity sensing applications in room temperature operations.

  19. Synthesis, characterization and application of Co doped TiO2 multilayer thin films

    Science.gov (United States)

    Khan, M. I.

    2018-06-01

    To use the visible portion of solar light, 2% cobalt doped TiO2 (Co: TiO2) multilayer thin films having 1, 2, 3 and 4 stacked layers have been deposited on FTO substrates using spray pyrolysis technique. XRD results show that 1 and 2 layers of films have anatase phase. Brookite phase has been appeared at the 3 and 4 layered films. The average grain size of 1, 2, 3 and 4 layers of films are 14.4, 23.5, 29.7 and 33.6 nm respectively. UV-Vis results show that 4th layer film has high absorption in the visible region. The calculated Eg of 1, 2, 3 and 4 layers is 3.54, 3.42, 3.30 and 3.03 eV respectively. The calculated average sheet resistivity of 1, 2, 3 and 4 layers of films is 7.68 × 104, 4.54 × 104, 8.85 × 103 and 7.95 × 102 (ohm-m) respectively, according to four point probe technique. Solar simulator results show that highest solar conversion efficiency (5.6%) has been obtained by using 3 stacked layers photoanode. This new structure in the form of stack layers provides a way to improve the efficiency of optoelectronic devices.

  20. Polythiophene thin films electrochemically deposited on sol-gel based TiO2 for photovoltaic applications

    International Nuclear Information System (INIS)

    Valaski, R.; Yamamoto, N.A.D.; Canestraro, C.D.; Micaroni, L.; Mello, R.M.Q.; Quirino, W.G.; Legani, C.; Achete, C.A.; Roman, L.S.; Cremona, M.

    2010-01-01

    In this work, the influence of titanium dioxide (TiO 2 ) thin films on the efficiency of organic photovoltaic devices based on electrochemically synthesized polythiophene (PT) was investigated. TiO 2 films were produced by sol-gel methods with controlled thickness. The best TiO 2 annealing condition was determined through the investigation of the temperature influence on the electron charge mobility and resistivity in a range between 723 K and 923 K. The PT films were produced by chronoamperometric method in a 3-electrode cell under a controlled atmosphere. High quality PT films were produced onto 40 nm thick TiO 2 layer previously deposited onto fluorine doped tin oxide (FTO) substrate. The morphology of PT films grown on both substrates and its strong influence on the device performance and PT minimum thickness were also investigated. The maximum external quantum efficiency (IPCE) reached was 9% under monochromatic irradiation (λ = 610 nm; 1 W/m 2 ) that is three orders of magnitude higher than that presented by PT-homolayer devices with similar PT thickness. In addition, the open-circuit voltage (V oc ) was about 700 mV and the short-circuit current density (J sc ) was 0.03 A/m 2 (λ = 610 nm; 7 W/m 2 ). However, as for the PT-homolayer also the TiO 2 /PT based devices are characterized by antibatic response when illuminated through FTO. Finally, the Fill Factor (FF) of these devices is low (25%), indicating that the series resistance (R s ), which is strongly dependent of the PT thickness, is too large. This large R s value is compensated by TiO 2 /PT interface morphology and by FTO/TiO 2 and TiO 2 /PT interface phenomena producing preferential paths in which the internal electrical field is higher, improving the device efficiency.

  1. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  2. Intrinsic Photocatalytic Assessment of Reactively Sputtered TiO2 Films

    NARCIS (Netherlands)

    Rafieian Boroujeni, Damon; Driessen, Rick Theodorus; Driessen, Rick T.; Ogieglo, Wojciech; Lammertink, Rob G.H.

    2015-01-01

    Thin TiO2 films were prepared by DC magnetron reactive sputtering at different oxygen partial pressures. Depending on the oxygen partial pressure during sputtering, a transition from metallic Ti to TiO2 was identified by spectroscopic ellipsometry. The crystalline nature of the film developed during

  3. ALD TiO2 thin film as dielectric for Al/p-Si Schottky diode

    Indian Academy of Sciences (India)

    Abstract. Electrical analysis of Al/p-Si Schottky diode with titanium dioxide (TiO2) thin film was performed at ..... This work was partially supported by The Management Unit of Scientific Research Project of Bozok University and Hitit. University.

  4. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  5. Structural, morphological and local electric properties of TiO2 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gyoergy, E; Pino, A Perez del; Sauthier, G; Figueras, A; Alsina, F; Pascual, J

    2007-01-01

    Titanium dioxide (TiO 2 ) thin films were synthesized on (1 0 0) Si substrates by reactive pulsed laser deposition (PLD) technique. A frequency quadrupled Nd : YAG (λ = 266 nm, τ FWHM ≅ 5 ns, ν = 10 Hz) laser source was used for the irradiations of metallic Ti targets. The experiments were performed in controlled oxygen atmosphere. Crystallinity, surface morphology and local electric properties of the obtained oxide thin films were investigated by x-ray diffractometry, micro-Raman spectroscopy and current sensing atomic force microscopy. An inter-relation was found between the surface morphology, the crystalline structure and the nano-scale electric properties which open the possibility of synthesizing by the PLD technique TiO 2 thin films with tunable functional properties for future applications such as photocatalysts, gas sensors or solar energy converters

  6. Enhanced Optical and Electrical Properties of TiO_2 Buffered IGZO/TiO_2 Bi-Layered Films

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Kim, Daeil

    2016-01-01

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO_2-deposited glass substrate to determine the effect of the thickness of a thin TiO_2 buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO_2 buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO_2 buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO_2 bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO_2 bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  7. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  8. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  9. The properties of metal contacts on TiO2 thin films produced by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Brus V. V.

    2010-10-01

    Full Text Available The article deals with research on volt-ampere characteristics of metal contacts (Al, Cr, In, Mo, Ti on titanium dioxide thin films and influence of annealing in vacuum on their electric properties. Volt-ampere characteristics measurements were taken by three-probe method. There was established that indium contact on TiO2 thin films possessed sharply defined ohmic properties.

  10. SURFACE MODIFICATION OF SEMICONDUCTOR THIN FILM OF TiO2 ON GRAPHITE SUBSTRATE BY Cu-ELECTRODEPOSITION

    Directory of Open Access Journals (Sweden)

    Fitria Rahmawati

    2010-06-01

    Full Text Available Surface modification of graphite/TiO2 has been done by mean of Cu electrodeposition. This research aims to study the effect of Cu electrodeposition on photocatalytic enhancing of TiO2. Electrodeposition has been done using CuSO4 0,4 M as the electrolyte at controlled current. The XRD pattern of modified TiO2 thin film on graphite substrate exhibited new peaks at 2θ= 43-44o and 2θ= 50-51o that have been identified as Cu with crystal cubic system, face-centered crystal lattice and crystallite size of 26-30 nm. CTABr still remains in the material as impurities. Meanwhile, based on morphological analysis, Cu particles are dissipated in the pore of thin film. Graphite/TiO2/Cu has higher photoconversion efficiency than graphite/TiO2.   Keywords: semiconductor, graphite/TiO2, Cu electrodeposition

  11. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  12. Preparation and optical properties of mesoporous TiO2 thin films by a two-step sol-gel technique

    International Nuclear Information System (INIS)

    Kartini, I.; Lu, G.Q.; Meredith, P.; Zhao, X.S.

    2002-01-01

    This paper concerns the preparation of mesoporous titania nanopowders and thin films for use in next generation photoelectrochemical solar cells. We have recently developed a novel method for preparing mesoporous TiO 2 powders using a Two-Step Sol-gel method (TSS). These materials have crystalline domains characteristic of anatase. The first step of the process involves the hydrolysis of titanium isopropoxide in a basic aqueous solution mediated by neutral surfactant. The solid product resulting from Step-1 is then treated in acidified ethanol solution containing a titanium precursor to yield anatase TiO 2 . The resultant powder exhibits a high surface area and large pore volume with uniform mesopores. Slurries made from the resultant powder of Steps 1 and 2 have been used to produce thin titania films on glass slides. The optical and structural properties of these films have been compared to the films made of a commercial titania (Degussa P25, BASF). We will discuss these properties with respect to the possible use of such mesoporous titania films as the wide band gap semiconductor in dye-sensitized nanocrystalline TiO 2 solar cells

  13. Control of crystallographic texture and surface morphology of Pt/Tio2 templates for enhanced PZT thin film texture.

    Science.gov (United States)

    Fox, Austin J; Drawl, Bill; Fox, Glen R; Gibbons, Brady J; Trolier-McKinstry, Susan

    2015-01-01

    Optimized processing conditions for Pt/TiO2/SiO2/Si templating electrodes were investigated. These electrodes are used to obtain [111] textured thin film lead zirconate titanate (Pb[ZrxTi1-x ]O3 0 ≤ x ≤ 1) (PZT). Titanium deposited by dc magnetron sputtering yields [0001] texture on a thermally oxidized Si wafer. It was found that by optimizing deposition time, pressure, power, and the chamber pre-conditioning, the Ti texture could be maximized while maintaining low surface roughness. When oxidized, titanium yields [100]-oriented rutile. This seed layer has as low as a 4.6% lattice mismatch with [111] Pt; thus, it is possible to achieve strongly oriented [111] Pt. The quality of the orientation and surface roughness of the TiO2 and the Ti directly affect the achievable Pt texture and surface morphology. A transition between optimal crystallographic texture and the smoothest templating surface occurs at approximately 30 nm of original Ti thickness (45 nm TiO2). This corresponds to 0.5 nm (2 nm for TiO2) rms roughness as determined by atomic force microscopy and a full-width at half-maximum (FWHM) of the rocking curve 0002 (200) peak of 5.5/spl degrees/ (3.1/spl degrees/ for TiO2). A Pb[Zr0.52Ti 0.48]O3 layer was deposited and shown to template from the textured Pt electrode, with a maximum [111] Lotgering factor of 87% and a minimum 111 FWHM of 2.4/spl degrees/ at approximately 30 nm of original Ti.

  14. Structural and electrical characteristics of ZrO2-TiO2 thin films by sol-gel method

    International Nuclear Information System (INIS)

    Hsu, Cheng-Hsing; Tseng, Ching-Fang; Lai, Chun-Hung; Tung, Hsin-Han; Lin, Shih-Yao

    2010-01-01

    In this paper, we investigated electrical properties and microstructures of ZrTiO 4 (ZrO 2 -TiO 2 ) thin films prepared by the sol-gel method on ITO substrates at different annealing temperatures. All films exhibited ZrTiO 4 (1 1 1) and (1 0 1) orientations perpendicular to the substrate surface, and the grain size increased with increase in the annealing temperature. A low leakage current density of 2.06 x 10 -6 A/cm 2 was obtained for the prepared films. Considering the primary memory switching behavior of ZrTiO 4 , ReRAM based on ZrTiO 4 shows promise for future nonvolatile memory applications.

  15. Room temperature growth of nanocrystalline anatase TiO2 thin films by dc magnetron sputtering

    International Nuclear Information System (INIS)

    Singh, Preetam; Kaur, Davinder

    2010-01-01

    We report, the structural and optical properties of nanocrystalline anatase TiO 2 thin films grown on glass substrate by dc magnetron sputtering at room temperature. The influence of sputtering power and pressure over crystallinity and surface morphology of the films were investigated. It was observed that increase in sputtering power activates the TiO 2 film growth from relative lower surface free energy to higher surface free energy. XRD pattern revealed the change in preferred orientation from (1 0 1) to (0 0 4) with increase in sputtering power, which is accounted for different surface energy associated with different planes. Microstructure of the films also changes from cauliflower type to columnar type structures with increase in sputtering power. FESEM images of films grown at low pressure and low sputtering power showed typical cauliflower like structure. The optical measurement revealed the systematic variation of the optical constants with deposition parameters. The films are highly transparent with transmission higher than 90% with sharp ultraviolet cut off. The transmittance of these films was found to be influenced by the surface roughness and film thickness. The optical band gap was found to decrease with increase in the sputtering power and pressure. The refractive index of the films was found to vary in the range of 2.50-2.24 with increase in sputtering pressure or sputtering power, resulting in the possibility of producing TiO 2 films for device applications with different refractive index, by changing the deposition parameters.

  16. Sol-gel synthesis of TiO2-SiO2 photocatalyst for β-naphthol photodegradation

    International Nuclear Information System (INIS)

    Qourzal, S.; Barka, N.; Tamimi, M.; Assabbane, A.; Nounah, A.; Ihlal, A.; Ait-Ichou, Y.

    2009-01-01

    Silica gel supported titanium dioxide particles (TiO 2 -SiO 2 ) prepared by sol-gel method was as photocatalyst in the degradation of β-naphthol in water under UV-illumination. The prepared sample has been characterized by powder X-ray diffraction (XRD), infrared spectroscopy (IR) and scanning electron microscopy (SEM). The supported catalyst had large surface area and good sedimentation ability. The photodegradation rate of β-naphthol under UV-irradiation depended strongly on adsorption capacity of the catalyst, and the photoactivity of the supported catalyst was much higher than that of the pure titanium dioxides. The experiments were measured by high performance liquid chromatography (HPLC). The photodegradation rate of β-naphthol using 60% TiO 2 -SiO 2 particles was faster than that using TiO 2 'Degussa P-25', TiO 2 'PC-50' and TiO 2 'Aldrich' as photocatalyst by 2.7, 4 and 7.8 times, respectively. The kinetics of photocatalytic β-naphthol degradation was found to follow a pseudo-first-order rate law. The effect of the TiO 2 loading on the photoactivity of TiO 2 -SiO 2 particles was also discussed. With good photocatalytic activity under UV-irradiation and the ability to be readily separated from the reaction system, this novel kind of catalyst exhibited the potential effective in the treatment of organic pollutants in aqueous systems.

  17. Influence of Pore Size on the Optical and Electrical Properties of Screen Printed TiO2 Thin Films

    Directory of Open Access Journals (Sweden)

    Dinfa Luka Domtau

    2016-01-01

    Full Text Available Influence of pore size on the optical and electrical properties of TiO2 thin films was studied. TiO2 thin films with different weight percentages (wt% of carbon black were deposited by screen printing method on fluorine doped tin oxide (FTO coated on glass substrate. Carbon black decomposed on annealing and artificial pores were created in the films. All the films were 3.2 µm thick as measured by a surface profiler. UV-VIS-NIR spectrophotometer was used to study transmittance and reflectance spectra of the films in the photon wavelength of 300–900 nm while absorbance was studied in the range of 350–900 nm. Band gaps and refractive index of the films were studied using the spectra. Reflectance, absorbance, and refractive index were found to increase with concentrations of carbon black. There was no significant variation in band gaps of films with change in carbon black concentrations. Transmittance reduced as the concentration of carbon black in TiO2 increased (i.e., increase in pore size. Currents and voltages (I-V characteristics of the films were measured by a 4-point probe. Resistivity (ρ and conductivity (σ of the films were computed from the I-V values. It was observed that resistivity increased with carbon black concentrations while conductivity decreased as the pore size of the films increased.

  18. On the optical, structural, and morphological properties of ZrO2 and TiO2 dip-coated thin films supported on glass substrates

    International Nuclear Information System (INIS)

    Cueto, Luisa F.; Sanchez, Enrique; Torres-Martinez, Leticia M.; Hirata, Gustavo A.

    2005-01-01

    This article reports the optical and morphological properties of dip-coated TiO 2 and ZrO 2 thin films on soda-lime glass substrates by metal-organic decomposition (MOD) of titanium IV and zirconium IV acetylacetonates respectively. Thermogravimetric and differential thermal analysis (DTA-TG) were performed on the precursor powders, indicating pure TiO 2 anatase and tetragonal ZrO 2 phase formation. Phase crystallization processes took place in the range of 300-500 deg. C for anatase and of 410-500 deg. C for ZrO 2 . Fourier Transform Infrared Spectroscopy (FT-IR) was used to confirm precursor bidentate ligand formation with keno-enolic equilibrium character. Deposited films were heated at different temperatures, and their structural, optical and morphological properties were studied by grazing-incidence X-ray Diffraction (GIXRD) and X-Ray Photoelectron Spectroscopy (XPS), Ultraviolet Visible Spectroscopy (UV-Vis), and Atomic Force Microscopy (AFM) respectively. Film thinning and crystalline phase formation were enhanced with increasing temperature upon chelate decomposition. The optimum annealing temperature for both pure anatase TiO 2 and tetragonal ZrO 2 thin films was found to be 500 deg. C since solid volume fraction increased with temperature and film refractive index values approached those of pure anatase and tetragonal zirconia. Conditions for clean stoichiometric film formation with an average roughness value of 2 nm are discussed in terms of material binding energies indicated by XPS analyses, refractive index and solid volume fraction obtained indirectly by UV-Vis spectra, and crystalline peak identification provided by GIXRD

  19. The photocatalytic application and regeneration of anatase thin films with embedded commercial TiO2 particles deposited on glass microrods

    International Nuclear Information System (INIS)

    Medina-Valtierra, Jorge; Garcia-Servin, Josafat; Frausto-Reyes, Claudio; Calixto, Sergio

    2006-01-01

    Anatase thin films ( 2 were prepared by sol-gel method. TiO 2 -anatase thin films were deposited on a fiberglass substrate and then ground to obtain glass microrods containing the composite films. The film structure was characterized using Raman spectroscopy, atomic absorption and UV-vis spectrophotometry, and atomic force microscopy. The photocatalytic activity of the composite films, calcined at 450 deg. C, and the regeneration of the activity under the same experimental conditions, were assessed using gas chromatography to study the photodegradation of phenol, an industrial pollutant, in water under 365 nm irradiation. The film with 15.0 wt.% of P25 TiO 2 was found to be more photoactive (54 ppm of degraded phenol at 6 h of illumination) than the other ones

  20. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  1. Thin-Film Photoluminescent Properties and the Atomistic Model of Mg2TiO4 as a Non-rare Earth Matrix Material for Red-Emitting Phosphor

    Science.gov (United States)

    Huang, Chieh-Szu; Chang, Ming-Chuan; Huang, Cheng-Liang; Lin, Shih-kang

    2016-12-01

    Thin-film electroluminescent devices are promising solid-state lighting devices. Red light-emitting phosphor is the key component to be integrated with the well-established blue light-emitting diode chips for stimulating natural sunlight. However, environmentally hazardous rare-earth (RE) dopants, e.g. Eu2+ and Ce2+, are commonly used for red-emitting phosphors. Mg2TiO4 inverse spinel has been reported as a promising matrix material for "RE-free" red light luminescent material. In this paper, Mg2TiO4 inverse spinel is investigated using both experimental and theoretical approaches. The Mg2TiO4 thin films were deposited on Si (100) substrates using either spin-coating with the sol-gel process, or radio frequency sputtering, and annealed at various temperatures ranging from 600°C to 900°C. The crystallinity, microstructures, and photoluminescent properties of the Mg2TiO4 thin films were characterized. In addition, the atomistic model of the Mg2TiO4 inverse spinel was constructed, and the electronic band structure of Mg2TiO4 was calculated based on density functional theory. Essential physical and optoelectronic properties of the Mg2TiO4 luminance material as well as its optimal thin-film processing conditions were comprehensively reported.

  2. The Effect of SiO2 Shell on the Suppression of Photocatalytic Activity of TiO2 and ZnO Nanoparticles

    International Nuclear Information System (INIS)

    Lee, Min Hee; Lee, Choon Soo; Patil, Umakant Mahadev; Kochuveedu, Saji Thomas

    2012-01-01

    In this study, we investigate the potential use of TiO 2 SiO 2 and ZnO SiO 2 core/shell nanoparticles (NPs) as effective UV shielding agent. In the typical synthesis, SiO 2 was coated over different types of TiO 2 (anatase and rutile) and ZnO by sol-gel method. The synthesized TiO 2 SiO 2 and ZnO SiO 2 Nps were characterized by UV-Vis, XRD, Sem and TEM. The UV-vis absorbance and transmittance spectra of core shell NPs showed an efficient blocking effect in the UV region and more than 90% transmittance in the visible region. XRD and SAED studies confirmed the formation of amorphous SiO 2 coated over the TiO 2 and ZnO NPs. The FESEM and TEM images shows that coating of SiO 2 over the surface of anatase, rutile TiO 2 and ZnO NPs resulted in the increase in particle size by ∼30 nm. In order to study the UV light shielding capability of the samples, photocatalytic degradation of methylene blue dye on TiO 2 SiO 2 and ZnO SiO 2 NPs was performed. Photocatalytic activity for both types of TiO 2 NPs was partially suppressed. In comparison, the photocatalytic activity of ZnO almost vanished after the SiO 2 coating

  3. Effects of atomic oxygen on titanium dioxide thin film

    Science.gov (United States)

    Shimosako, Naoki; Hara, Yukihiro; Shimazaki, Kazunori; Miyazaki, Eiji; Sakama, Hiroshi

    2018-05-01

    In low earth orbit (LEO), atomic oxygen (AO) has shown to cause degradation of organic materials used in spacecrafts. Similar to other metal oxides such as SiO2, Al2O3 and ITO, TiO2 has potential to protect organic materials. In this study, the anatese-type TiO2 thin films were fabricated by a sol-gel method and irradiated with AO. The properties of TiO2 were compared using mass change, scanning electron microscope (SEM), atomic force microscope (AFM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), transmittance spectra and photocatalytic activity before and after AO irradiation. The results indicate that TiO2 film was hardly eroded and resistant against AO degradation. AO was shown to affects only the surface of a TiO2 film and not the bulk. Upon AO irradiation, the TiO2 films were slightly oxidized. However, these changes were very small. Photocatalytic activity of TiO2 was still maintained in spite of slight decrease upon AO irradiation, which demonstrated that TiO2 thin films are promising for elimination of contaminations outgassed from a spacecraft's materials.

  4. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  5. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  6. Cotton fabric finishing with TiO2/SiO2 composite hydrosol based on ionic cross-linking method

    International Nuclear Information System (INIS)

    Xu, Z.J.; Tian, Y.L.; Liu, H.L.; Du, Z.Q.

    2015-01-01

    Highlights: • We studied the cotton finishing with TiO 2 /SiO 2 based on ionic cross-linking method. • The samples treated with CHTAC had lower value of whiteness. • The samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. • The ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) was better than with TiO 2 /SiO 2 sol alone. - Abstract: Cotton fabric was successfully modified by 3-chloro-2-hydroxypropyl trimethyl ammonium chloride (CHTAC), 1,2,3,4-butanetetracarboxylic acid (BTCA) and TiO 2 /SiO 2 sol. Self-cleaning characteristic was investigated using a Color Measuring and Matching System with 6 h sunlight irradiation. And the stability of TiO 2 /SiO 2 coatings was explored by measuring the washing fastness and wrinkle resistance of treated cotton samples. In addition, whiteness index, crease recovery angle and tensile strength retention (%) of treated samples were evaluated. Moreover, the morphology, structure change and crystallinity of samples were observed by scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD), respectively. The results revealed that the samples treated with CHTAC had lower value of whiteness index as compared with original cotton fabric. It was also found that samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. Moreover, the treatment of CHTAC and BTCA had adverse effect on the crystallinity of cotton samples, as treated samples had lower crystallinity in comparison with raw cotton fabrics. Nevertheless, the stability of self-cleaning coatings was better for samples treated with ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) than samples treated with TiO 2 /SiO 2 sol alone. Furthermore, compared with original samples the UV-blocking property of ionic cross-linking treated samples was obviously enhanced

  7. Enhancement of photocatalytic properties of TiO2 nanoparticles doped with CeO2 and supported on SiO2 for phenol degradation

    International Nuclear Information System (INIS)

    Hao, Chunjing; Li, Jing; Zhang, Zailei; Ji, Yongjun; Zhan, Hanhui; Xiao, Fangxing; Wang, Dan; Liu, Bin; Su, Fabing

    2015-01-01

    Highlights: • CeO 2 -TiO 2 /SiO 2 composites were prepared via a facile co-precipitation method. • Introduction of SiO 2 support increases the dispersion of CeO 2 -TiO 2 . • CeO 2 -TiO 2 /SiO 2 exhibits an enhanced photocatalytic efficiency for phenol degradation. • Ce 3+ /Ce 4+ pair coexisting in CeO 2 improves electron–hole pairs separation efficiency. - Abstract: A series of CeO 2 -TiO 2 and CeO 2 -TiO 2 /SiO 2 composites were prepared with TiCl 4 and Ce (NO 3 ) 3 ·6H 2 O as precursors via a facile co-precipitation method. The obtained samples were characterized by various techniques such as X-ray diffraction (XRD), nitrogen adsorption (N 2 -BET), Fourier transformation infrared spectrum (FT-IR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and UV–Vis spectroscopy measurements. The results indicated that TiO 2 doped with CeO 2 and supported on SiO 2 could reduce the crystallite size, inhibit the phase transformation, enhance the thermal stability, and effectively extend the spectral response from UV to visible range. When applied to the phenol photodegradation on a homemade batch reactor with an external cooling jacket, the CeO 2 -TiO 2 /SiO 2 catalysts exhibited significantly enhanced photodegradation efficiency in comparison with commercial Degussa P25 and CeO 2 -TiO 2 . The unique catalytic properties of CeO 2 -TiO 2 /SiO 2 were ascribed to improved electron–hole pairs separation efficiency and formation of more reactive oxygen species owing to the presence of Ce 3+ /Ce 4+ , as well as high dispersion of active component of CeO 2 -TiO 2 as a result of the introduction of SiO 2 support. Furthermore, the catalysts can be easily recovered from the reaction solution by centrifugation and reused for four cycles without significant loss of activity

  8. Superhydrophobic ceramic coatings enabled by phase-separated nanostructured composite TiO2–Cu2O thin films

    International Nuclear Information System (INIS)

    Aytug, Tolga; Paranthaman, Parans M; Simpson, John T; Christen, David K; Bogorin, Daniela F; Mathis, John E

    2014-01-01

    By exploiting phase-separation in oxide materials, we present a simple and potentially low-cost approach to create exceptional superhydrophobicity in thin-film based coatings. By selecting the TiO 2 –Cu 2 O system and depositing through magnetron sputtering onto single crystal and metal templates, we demonstrate growth of nanostructured, chemically phase-segregated composite films. These coatings, after appropriate chemical surface modification, demonstrate a robust, non-wetting Cassie–Baxter state and yield an exceptional superhydrophobic performance, with water droplet contact angles reaching to ∼172° and sliding angles <1°. As an added benefit, despite the photo-active nature of TiO 2 , the chemically coated composite film surfaces display UV stability and retain superhydrophobic attributes even after exposure to UV (275 nm) radiation for an extended period of time. The present approach could benefit a variety of outdoor applications of superhydrophobic coatings, especially for those where exposure to extreme atmospheric conditions is required. (papers)

  9. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  10. Surface characterization of poly(methylmethacrylate) based nanocomposite thin films containing Al2O3 and TiO2 nanoparticles

    International Nuclear Information System (INIS)

    Lewis, S.; Haynes, V.; Wheeler-Jones, R.; Sly, J.; Perks, R.M.; Piccirillo, L.

    2010-01-01

    Poly(methylmethacrylate) (PMMA) based nanocomposite electron beam resists have been demonstrated by spin coating techniques. When TiO 2 and Al 2 O 3 nanoparticles were directly dispersed into the PMMA polymer matrix, the resulting nanocomposites produced poor quality films with surface roughnesses of 322 and 402 nm respectively. To improve the surface of the resists, the oxide nanoparticles were encapsulated in toluene and methanol. Using the zeta potential parameter, it was found that the stabilities of the toluene/oxide nanoparticle suspensions were 7.7 mV and 19.4 mV respectively, meaning that the suspension was not stable. However, when the TiO 2 and Al 2 O 3 nanoparticles were encapsulated in methanol the zeta potential parameter was 31.9 mV and 39.2 mV respectively. Therefore, the nanoparticle suspension was stable. This method improved the surface roughness of PMMA based nanocomposite thin films by a factor of 6.6 and 6.4, when TiO 2 and Al 2 O 3 were suspended in methanol before being dispersed into the PMMA polymer.

  11. Strategies to prepare TiO2 thin films, doped with transition metal ions, that exhibit specific physicochemical properties to support osteoblast cell adhesion and proliferation

    International Nuclear Information System (INIS)

    Dhayal, Marshal; Kapoor, Renu; Sistla, Pavana Goury; Pandey, Ravi Ranjan; Kar, Satabisha; Saini, Krishan Kumar; Pande, Gopal

    2014-01-01

    Metal ion doped titanium oxide (TiO 2 ) thin films, as bioactive coatings on metal or other implantable materials, can be used as surfaces for studying the cell biological properties of osteogenic and other cell types. Bulk crystallite phase distribution and surface carbon–oxygen constitution of thin films, play an important role in determining the biological responses of cells that come in their contact. Here we present a strategy to control the polarity of atomic interactions between the dopant metal and TiO 2 molecules and obtain surfaces with smaller crystallite phases and optimal surface carbon–oxygen composition to support the maximum proliferation and adhesion of osteoblast cells. Our results suggest that surfaces, in which atomic interactions between the dopant metals and TiO 2 were less polar, could support better adhesion, spreading and proliferation of cells. - Highlights: • Electrochemical properties of dopants control the nature of TiO 2 thin films. • A model explains the correlation of dopant properties and behaviour of TiO 2 films. • Dopants with less polar interaction with TiO 2 exhibit better biological activity

  12. Surface nanostructuring of TiO2 thin films by ion beam irradiation

    International Nuclear Information System (INIS)

    Romero-Gomez, P.; Palmero, A.; Yubero, F.; Vinnichenko, M.; Kolitsch, A.; Gonzalez-Elipe, A.R.

    2009-01-01

    This work reports a procedure to modify the surface nanostructure of TiO 2 anatase thin films through ion beam irradiation with energies in the keV range. Irradiation with N + ions leads to the formation of a layer with voids at a depth similar to the ion-projected range. By setting the ion-projected range a few tens of nanometers below the surface of the film, well-ordered nanorods appear aligned with the angle of incidence of the ion beam. Slightly different results were obtained by using heavier (S + ) and lighter (B + ) ions under similar conditions

  13. Influence of surfactant and annealing temperature on optical properties of sol-gel derived nano-crystalline TiO2 thin films.

    Science.gov (United States)

    Vishwas, M; Sharma, Sudhir Kumar; Rao, K Narasimha; Mohan, S; Gowda, K V Arjuna; Chakradhar, R P S

    2010-03-01

    Titanium dioxide thin films have been synthesized by sol-gel spin coating technique on glass and silicon substrates with and without surfactant polyethylene glycol (PEG). XRD and SEM results confirm the presence of nano-crystalline (anatase) phase at an annealing temperature of 300 degrees C. The influence of surfactant and annealing temperature on optical properties of TiO(2) thin films has been studied. Optical constants and film thickness were estimated by Swanepoel's (envelope) method and by ellipsometric measurements in the visible spectral range. The optical transmittance and reflectance were found to decrease with an increase in PEG percentage. Refractive index of the films decreased and film thickness increased with the increase in percentage of surfactant. The refractive index of the un-doped TiO(2) films was estimated at different annealing temperatures and it has increased with the increasing annealing temperature. The optical band gap of pure TiO(2) films was estimated by Tauc's method at different annealing temperature. Copyright 2010 Elsevier B.V. All rights reserved.

  14. Chemically synthesized TiO2 and PANI/TiO2 thin films for ethanol sensing applications

    Science.gov (United States)

    Gawri, Isha; Ridhi, R.; Singh, K. P.; Tripathi, S. K.

    2018-02-01

    Ethanol sensing properties of chemically synthesized titanium dioxide (TiO2) and polyaniline/titanium dioxide nanocomposites (PANI/TiO2) had been performed at room temperature. In-situ oxidative polymerization process had been employed with aniline as a monomer in presence of anatase titanium dioxide nanoparticles. The prepared samples were structurally and morphologically characterized by x-ray diffraction, fourier transform infrared spectra, high resolution-transmission electron microscopy and field emission-scanning electron microscopy. The crystallinity of PANI/TiO2 nanocomposite was revealed by XRD and FTIR spectra confirmed the presence of chemical bonding between the polymer chains and metal oxide nanoparticles. HR-TEM micrographs depicted that TiO2 particles were embedded in polymer matrix, which provides an advantage over pure TiO2 nanoparticles in efficient adsorption of vapours. These images also revealed that the TiO2 nanoparticles were irregular in shape with size around 17 nm. FE-SEM studies revealed that in the porous structure of PANI/TiO2 film, the intercalation of TiO2 in PANI chains provides an advantage over pure TiO2 film for uniform interaction with ethanol vapors. The sensitivity values of prepared samples were examined towards ethanol vapours at room temperature. The PANI/TiO2 nanocomposite exhibited better sensing response and faster response-recovery examined at different ethanol concentrations ranging from 5 ppm to 20 ppm in comparison to pure TiO2 nanoparticles. The increase in vapour sensing of PANI/TiO2 sensing film as compared to pure TiO2 film had been explained in detail with the help of gas sensing mechanism of TiO2 and PANI/TiO2. This provides strong evidence that gas sensing properties of TiO2 had been considerably improved and enhanced with the addition of polymer matrix.

  15. An in-situ real-time optical fiber sensor based on surface plasmon resonance for monitoring the growth of TiO2 thin films.

    Science.gov (United States)

    Tsao, Yu-Chia; Tsai, Woo-Hu; Shih, Wen-Ching; Wu, Mu-Shiang

    2013-07-23

    An optical fiber sensor based on surface plasmon resonance (SPR) is proposed for monitoring the thickness of deposited nano-thin films. A side-polished multimode SPR optical fiber sensor with an 850 nm-LD is used as the transducing element for real-time monitoring of the deposited TiO2 thin films. The SPR optical fiber sensor was installed in the TiO2 sputtering system in order to measure the thickness of the deposited sample during TiO2 deposition. The SPR response declined in real-time in relation to the growth of the thickness of the TiO2 thin film. Our results show the same trend of the SPR response in real-time and in spectra taken before and after deposition. The SPR transmitted intensity changes by approximately 18.76% corresponding to 50 nm of deposited TiO2 thin film. We have shown that optical fiber sensors utilizing SPR have the potential for real-time monitoring of the SPR technology of nanometer film thickness. The compact size of the SPR fiber sensor enables it to be positioned inside the deposition chamber, and it could thus measure the film thickness directly in real-time. This technology also has potential application for monitoring the deposition of other materials. Moreover, in-situ real-time SPR optical fiber sensor technology is in inexpensive, disposable technique that has anti-interference properties, and the potential to enable on-line monitoring and monitoring of organic coatings.

  16. Studies on the performance of TiO2 thin films as protective layer to chlorophyll in Ocimum tenuiflorum L from UV radiation

    International Nuclear Information System (INIS)

    Malliga, P.; Selvi, B. Karunai; Pandiarajan, J.; Prithivikumaran, N.; Neyvasagam, K.

    2015-01-01

    Thin films of TiO 2 were prepared on glass substrates using sol-gel dip coating technique. The films with 10 coatings were prepared and annealed at temperatures 350°C, 450°C and 550°C for 1 hour in muffle furnace. The annealed films were characterized by X – Ray diffraction (XRD), UV – Visible, AFM, Field Effect Scanning Electron Microscopy (FESEM) and EDAX studies. Chlorophyll has many health benefits due to its structural similarity to human blood and its good chelating ability. It has antimutagenic and anticarcinogenic properties. UV light impairs photosynthesis and reduces size, productivity, and quality in many of the crop plant species. Increased exposure of UV light reduces chlorophyll contents a, b and total content in plants. Titanium Dioxide (TiO 2 ) is a wide band gap semiconductor and efficient light harvester. TiO 2 has strong UltraViolet (UV) light absorbing capability. Here, we have studied the performance of TiO 2 thin films as a protective layer to the chlorophyll contents present in medicinal plant, tulsi (Ocimum tenuiflorum L) from UV radiation. The study reveals that crystallite size increases, transmittance decreases and chlorophyll contents increases with increase in annealing temperature. This study showed that TiO 2 thin films are good absorber of UV light and protect the chlorophyll contents a, b and total content in medicinal plants

  17. Contribution of thickness dependent void fraction and TiSixOy interlayer to the optical properties of amorphous TiO2 thin films

    International Nuclear Information System (INIS)

    Zhang, Fan; Zhang, Rong-Jun; Zheng, Yu-Xiang; Xu, Zi-Jie; Zhang, Dong-Xu; Wang, Zi-Yi; Yu, Xiang; Chen, Liang-Yao

    2013-01-01

    The optical properties of TiO 2 thin films prepared by electron beam evaporation were studied by spectroscopic ellipsometry and analyzed quantitatively using effective medium approximation theory and an effective series capacitance model. The refractive indices of TiO 2 are essentially constant and approach to those of bulk TiO 2 for films thicker than 40 nm, but drop sharply with a decrease in thickness from 40 to 5.5 nm. This phenomenon can be interpreted quantitatively by the thickness dependence of the void fraction and interfacial oxide region. The optical band gaps calculated from Tauc law increase with an increase of film thickness, and can be attributed to the contribution of disorder effect. - Highlights: • Amorphous TiO 2 thin films fabricated on Si substrate by electron beam evaporation • The refractive index and band gap are obtained from spectroscopic ellipsometry. • The refractive index decreases with decreasing film thickness. • Effective medium approximation theory and effective series capacitance model introduced • A band gap increases gradually with an increase in film thickness

  18. The effect of aeration and solar intensity power on photocatalytic degradation of textile industrial wastewater using TiO2 thin film

    International Nuclear Information System (INIS)

    Abu Kassim, N.F.; Ku Hamid, K.H.; Azizan, A.

    2006-01-01

    Solar photo catalytic degradation of the textile industry wastewater using TiO 2 thin films was studied. This experiment was performed to investigate the effect of aeration and solar intensity power on decreasing of Chemical Oxygen Demand (COD). A serpentine flow photo catalytic reactor was developed for this purpose. TiO 2 thin films photo catalyst supported on the stainless steel 304 substrates were prepared using sol-gel dip coating method. The results of thin films were characterized by Scanning Electron Microscopy (SEM) and X-Ray Diffractometer (XRD). XRD result showed that the prepared thin films gave the anatase crystallite formation whilst SEM demonstrated the macro pores were formed. Finally, the aeration and solar intensity power factors are considered to be responsible for the photo catalytic degradation. (Author)

  19. Raman scattering and x-ray diffractometry studies of epitaxial TiO2 and VO2 thin films and multilayers on α-Al2O3(11 bar 20)

    International Nuclear Information System (INIS)

    Foster, C.M.; Chiarello, R.P.; Chang, H.L.M.; You, H.; Zhang, T.J.; Frase, H.; Parker, J.C.; Lam, D.J.

    1993-01-01

    Epitaxial thin films of TiO 2 and VO 2 single layers and TiO 2 /VO 2 multilayers were grown on (11 bar 20) sapphire (α-Al 2 O 3 ) substrates using the metalorganic chemical vapor deposition technique and were characterized using Raman scattering and four x-ray diffractometry. X-ray diffraction results indicate that the films are high quality single crystal material with well defined growth plane and small in-plane and out-of-plane mosaic. Single-layer films are shown to obey the Raman selection rules of TiO 2 and VO 2 single crystals. The close adherence to the Raman selection rules indicates the high degree of orientation of the films, both parallel and perpendicular to the growth plane. Selection rule spectra of two and three layer TiO 2 /VO 2 multilayers are dominated by the VO 2 layers with only minimal signature of the TiO 2 layers. Due to the low band gap of semiconducting vanadium dioxide, we attribute the strong signature of the VO 2 layers to resonant enhancement of the VO 2 Raman component accompanied with absorption of the both the incident and scattered laser light from the TiO 2 layers

  20. The structural studies of aluminosilicate gels and thin films synthesized by the sol-gel method using different Al2O3 and SiO2 precursors

    Directory of Open Access Journals (Sweden)

    Adamczyk Anna

    2015-12-01

    Full Text Available Aluminosilicate materials were obtained by sol-gel method, using different Al2O3 and SiO2 precursors in order to prepare sols based on water and organic solvents. As SiO2 precursors, Aerosil 200TM and tetraethoxysilane TEOS: Si(OC2H54 were applied, while DisperalTM and aluminium secondary butoxide ATSB: Al(OC4H93 were used for Al2O3 ones. Bulk samples were obtained by heating gels at 500 °C, 850 °C and at 1150 °C in air, while thin films were synthesized on carbon, steel and alundum (representing porous ceramics substrates by the dip coating method. Thin films were annealed in air (steel and alundum and in argon (carbon at different temperatures, depending on the substrate type. The samples were synthesized as gels and coatings of the composition corresponding the that of 3Al2O3·2SiO2 mullite because of the specific valuable properties of this material. The structure of the annealed bulk samples and coatings was studied by FT-IR spectroscopy and XRD method (in standard and GID configurations. Additionally, the electron microscopy (SEM together with EDS microanalysis were applied to describe the morphology and the chemical composition of thin films. The analysis of FT-IR spectra and X-ray diffraction patterns of bulk samples revealed the presence of γ-Al2O3 and δ-Al2O3 phases, together with the small amount of SiO2 in the particulate samples. This observation was confirmed by the bands due to vibrations of Al–O bonds occurring in γ-Al2O3 and δ-Al2O3 structures, in the range of 400 to 900 cm−1. The same phases (γ-Al2O3 and δ-Al2O were observed in the deposited coatings, but the presence of particulate ones strongly depended on the type of Al2O3 and SiO2 precursor and on the heat treatment temperature. All thin films contained considerable amounts of amorphous phase.

  1. Co-electrospinning fabrication and photocatalytic performance of TiO2/SiO2 core/sheath nanofibers with tunable sheath thickness

    International Nuclear Information System (INIS)

    Cao, Houbao; Du, Pingfan; Song, Lixin; Xiong, Jie; Yang, Junjie; Xing, Tonghai; Liu, Xin; Wu, Rongrong; Wang, Minchao; Shao, Xiaoli

    2013-01-01

    Graphical abstract: - Highlights: • The core–sheath TiO 2 /SiO 2 nanofibers were fabricated by co-electrospinning technique. • The catalytic property of nanofibers with different sheath thickness was studied. • The potential methods of improving catalytic efficiency are suggested. - Abstract: In this paper, core/sheath TiO 2 /SiO 2 nanofibers with tunable sheath thickness were directly fabricated via a facile co-electrospinning technique with subsequent calcination at 500 °C. The morphologies and structures of core/sheath TiO 2 /SiO 2 nanofibers were characterized by TGA, FESEM, TEM, FTIR, XPS and BET. It was found that the 1D core/sheath nanofibers are made up of anatase–rutile TiO 2 core and amorphous SiO 2 sheath. The influences of SiO 2 sheath and its thickness on the photoreactivity were evaluated by observing photo-degradation of methylene blue aqueous solution under the irradiation of UV light. Compared with pure TiO 2 nanofibers, the core/sheath TiO 2 /SiO 2 nanofibers performed a better catalytic performance. That was attributed to not only efficient separation of hole–electron pairs resulting from the formation of heterojunction but also larger surface area and surface silanol group which will be useful to provide higher capacity for oxygen adsorption to generate more hydroxyl radicals. And the optimized core/sheath TiO 2 /SiO 2 nanofibers with a sheath thickness of 37 nm exhibited the best photocatalytic performance

  2. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  3. Sol-Gel TiO2 thin films sensitized with the mulberry pigment cyanidin

    Directory of Open Access Journals (Sweden)

    Emerson Henrique de Faria

    2007-12-01

    Full Text Available TiO2 films have various applications, among them solar cells and photodegradation of pollutants. In this study, we investigated TiO2 films functionalized with the organic dye cyanidin extracted from black mulberry (Morus nigra. The TiO2 was functionalized by the sol-gel method and the film was deposited on glass substrates by dip-coating. Our aim was to investigate the interaction between the semiconductor and the dye, as well as the influence of the velocity and number of deposits on the characteristics of the film. Using ultraviolet-visible spectroscopy, we observed a shift from the maximum absorption band at 545 nm for the dye’s ethanol solution to 595 nm for the film, indicating interaction of the cyanidin with the TiO2. The absorption spectra in the infrared region of the functionalized TiO2 particles showed bands characteristic of the oxide and indicated their interaction with the dye. Using profilometry and m-line techniques, we found that the films presented thicknesses in the order of 100 nm. A SEM analysis confirmed the high density of the films.

  4. Influence of annealing on X-ray radiation sensing properties of TiO2 thin film

    Science.gov (United States)

    Sarma, M. P.; Kalita, J. M.; Wary, G.

    2018-03-01

    A recent study shows that the titanium dioxide (TiO2) thin film synthesised by a chemical bath deposition technique is a very useful material for the X-ray radiation sensor. In this work, we reported the influence of annealing on the X-ray radiation detection sensitivity of the TiO2 film. The films were annealed at 333 K, 363 K, 393 K, 473 K, and 573 K for 1 hour. Structural analyses showed that the microstrain and dislocation density decreased whereas the average crystallite size increased with annealing. The band gap of the films also decreased from 3.26 eV to 3.10 eV after annealing. The I-V characteristics record under the dark condition and under the X-ray irradiation showed that the conductivity increased with annealing. The influence of annealing on the detection sensitivity was negligible if the bias voltage applied across the films was low (within 0.2 V‒1.0 V). At higher bias voltage (>1.0 V), the contribution of electrons excited by X-ray became less significant which affected the detection sensitivity.

  5. Metal-to-Insulator Transition in Anatase TiO2 Thin Films Induced by Growth Rate Modulation

    International Nuclear Information System (INIS)

    Tachikawa, Takashi

    2012-01-01

    We demonstrate control of the carrier density of single phase anatase TiO 2 thin films by nearly two orders of magnitude by modulating the growth kinetics during pulsed laser deposition, under fixed thermodynamic conditions. The resistivity and the intensity of the photoluminescence spectra of these TiO 2 samples, both of which correlate with the number of oxygen vacancies, are shown to depend strongly on the growth rate. A quantitative model is used to explain the carrier density changes.

  6. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; Wanninayake, Namal; Browning, James F.; Strzalka, Joseph; Kim, Doo Young; Rankin, Stephen E.

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible light absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.

  7. Pt Catalyst Supported within TiO2 Mesoporous Films for Oxygen Reduction Reaction

    International Nuclear Information System (INIS)

    Huang, Dekang; Zhang, Bingyan; Bai, Jie; Zhang, Yibo; Wittstock, Gunther; Wang, Mingkui; Shen, Yan

    2014-01-01

    In this study, dispersed Pt nanoparticles into mesoporous TiO 2 thin films are fabricated by a facile electrochemical deposition method as electro-catalysts for oxygen reduction reaction. The mesoporous TiO 2 thin films coated on the fluorine-doped tin oxide glass by screen printing allow a facile transport of reactants and products. The structural properties of the resulted Pt/TiO 2 electrode are evaluated by field emission scanning electron microscopy, energy dispersive X-ray spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy. Cyclic voltammetry measurements are performed to study the electrochemical properties of the Pt/TiO 2 electrode. Further study demonstrates the stability of the Pt catalyst supported within TiO 2 mesoporous films for the oxygen reduction reaction

  8. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)

  9. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  10. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  11. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  12. Cellulose acetate-based SiO2/TiO2 hybrid microsphere composite aerogel films for water-in-oil emulsion separation

    Science.gov (United States)

    Yang, Xue; Ma, Jianjun; Ling, Jing; Li, Na; Wang, Di; Yue, Fan; Xu, Shimei

    2018-03-01

    The cellulose acetate (CA)/SiO2-TiO2 hybrid microsphere composite aerogel films were successfully fabricated via water vapor-induced phase inversion of CA solution and simultaneous hydrolysis/condensation of 3-aminopropyltrimethoxysilane (APTMS) and tetrabutyl titanate (TBT) at room temperature. Micro-nano hierarchical structure was constructed on the surface of the film. The film could separate nano-sized surfactant-stabilized water-in-oil emulsions only under gravity. The flux of the film for the emulsion separation was up to 667 L m-2 h-1, while the separation efficiency was up to 99.99 wt%. Meanwhile, the film exhibited excellent stability during multiple cycles. Moreover, the film performed excellent photo-degradation performance under UV light due to the photocatalytic ability of TiO2. Facile preparation, good separation and potential biodegradation maked the CA/SiO2-TiO2 hybrid microsphere composite aerogel films a candidate in oil/water separation application.

  13. Influences of the iron ion (Fe3+)-doping on structural and optical properties of nanocrystalline TiO2 thin films prepared by sol-gel spin coating

    International Nuclear Information System (INIS)

    Ben Naceur, J.; Mechiakh, R.; Bousbih, F.; Chtourou, R.

    2011-01-01

    Titanium dioxide (TiO 2 ) thin films doping of various iron ion (Fe 3+ ) concentrations were deposited on silicon (Si) (100) and quartz substrates by sol-gel Spin Coating technique followed by a thermal treatment at 600 deg. C. The structure, surface morphology and optical properties, as a function of the doping, have been studied by X-ray diffractometer (XRD), Raman, ultraviolet-visible (UV-vis) and Spectroscopic Ellipsometry (SE). XRD and Raman analyzes of our thin films show that the crystalline phase of TiO 2 thin films comprised only the anatase TiO 2 , but the crystallinity decreased when the Fe 3+ content increased from 0% to 20%. During the Fe 3+ addition to 20%, the phase of TiO 2 thin film still maintained the amorphous state. The grain size calculated from XRD patterns varies from 29.3 to 22.6 nm. The complex index and the optical band gap (E g ) of the films were determined by the spectroscopic ellipsometry analysis. We have found that the optical band gap decreased with an increasing Fe 3+ content.

  14. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  15. Combination of short-length TiO_2 nanorod arrays and compact PbS quantum-dot thin films for efficient solid-state quantum-dot-sensitized solar cells

    International Nuclear Information System (INIS)

    Zhang, Zhengguo; Shi, Chengwu; Chen, Junjun; Xiao, Guannan; Li, Long

    2017-01-01

    Graphical abstract: The TiO_2 nanorod array with the length of 600 nm, the diameter of 20 nm, the areal density of 500 μm"−"2 was successfully prepared. The compact PbS quantum-dot thin film was firstly obtained on the TiO_2 nanorod array by spin-coating-assisted successive ionic layer absorption and reaction with using 1,2-ethanedithiol. The photoelectric conversion efficiency (PCE) of the compact PbS quantum-dot thin film sensitized solar cells achieved 4.10% using spiro-OMeTAD as a hole transporting layer, while the PCE of the PbS quantum-dot sensitized solar cells was only 0.54%. - Highlights: • Preparation of TiO_2 nanorod arrays with the length of 600 nm, diameter of 20 nm. • The compact PbS QD thin film and short-length TiO_2 nanorod array were combined. • EDT addition improved PbS nanoparticle coverage and photovoltaic performance. • The compact PbS QD thin film sensitized solar cell achieved the PCE of 4.10%. - Abstract: Considering the balance of the hole diffusion length and the loading quantity of quantum-dots, the rutile TiO_2 nanorod array with the length of 600 nm, the diameter of 20 nm, and the areal density of 500 μm"−"2 is successfully prepared by the hydrothermal method using the aqueous grown solution of 38 mM titanium isopropoxide and 6 M hydrochloric acid at 170 °C for 105 min. The compact PbS quantum-dot thin film on the TiO_2 nanorod array is firstly obtained by the spin-coating-assisted successive ionic layer absorption and reaction with using 1,2-ethanedithiol (EDT). The result reveals that the strong interaction between lead and EDT is very important to control the crystallite size of PbS quantum-dots and obtain the compact PbS quantum-dot thin film on the TiO_2 nanorod array. The all solid-state sensitized solar cell with the combination of the short-length, high-density TiO_2 nanorod array and the compact PbS quantum-dot thin film achieves the photoelectric conversion efficiency of 4.10%, along with an open

  16. Structural, morphological and optical properties of thermal annealed TiO thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural, morphological and optical properties of TiO thin films grown by single source thermal evaporation method were studied. The films were annealed from 300 to 520 deg. C in air after evaporation. Qualitative film analysis was performed with X-ray diffraction, atomic force microscopy and optical transmittance and reflectance spectra. A correlation was established between the optical properties, surface roughness and growth morphology of the evaporated TiO thin films. The X-ray diffraction spectra indicated the presence of the TiO 2 phase for the annealing temperature above 400 deg. C

  17. Photocatalytic performance of Sn-doped and undoped TiO2 nanostructured thin films under UV and vis-lights

    International Nuclear Information System (INIS)

    Arpac, E.; Sayilkan, F.; Asiltuerk, M.; Tatar, P.; Kiraz, Nadir; Sayilkan, H.

    2007-01-01

    Sn-doped and undoped nano-TiO 2 particles have been synthesized by hydrotermal process without solvent at 200 deg. C in 1 h. Nanostructure-TiO 2 based thin films have been prepared on glass substrate by spin-coating technique. The structure, surface morphology and optical properties of the thin films and the particles have been investigated by element analysis and XRD, SEM, BET and UV-vis-NIR techniques. The photocatalytic performance of the films were tested for degradation of Malachite Green dye in solution under UV and vis-lights. The results showed that (a) hydrothermally synthesized nano-TiO 2 particles are fully anatase crystalline form and are easily dispersed in water, (b) the coated surfaces have nearly super-hydrophilic properties and (c) the doping of transition metal ion efficiently improved the photocatalytic performance of the TiO 2 thin film

  18. Synthesis and photocatalytic properties of porous TiO2 films prepared by ODA/sol-gel method

    International Nuclear Information System (INIS)

    Zhang Wenjie; Bai Jiawei

    2012-01-01

    Porous TiO 2 films were deposited on SiO 2 pre-coated glass-slides by sol-gel method using octadecylamine (ODA) as template. The amount of ODA in the sol played an important role on the physicochemical properties and photocatalytic performance of the TiO 2 films. The films prepared at different conditions were all composed of anatase titanium dioxide crystals, and TiO 2 crystalline size got larger with increasing ODA amount. The maximum specific surface area of 41.5 m 2 /g was obtained for TiO 2 powders prepared from titanium sol containing 2.0 g ODA. Methyl orange degradation rate was enhanced along with increasing ODA amount and reached the maximal value at 2.0 g addition of ODA. After 40 min of UV-light irradiation, methyl orange degradation rate reached 30.5% on the porous film, which was about 10% higher than that on the smooth film. Porous TiO 2 film showed almost constant activity with slight decrease from 30.5% to 28.5% after 4 times of recycles.

  19. Preparation of TiO2-SiO2 composite photocatalysts for environmental applications

    Czech Academy of Sciences Publication Activity Database

    Paušová, Š.; Krýsa, J.; Jirkovský, Jaromír; Prevot, V.; Mailhot, G.

    2014-01-01

    Roč. 89, č. 8 (2014), s. 1129-1135 ISSN 0268-2575 Institutional support: RVO:61388955 Keywords : photocatalysis * TiO2/SiO2 * composite Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.349, year: 2014

  20. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  1. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  2. Study the target effect on the structural, surface and optical properties of TiO2 thin film fabricated by RF sputtering method

    Science.gov (United States)

    Vyas, Sumit; Tiwary, Rohit; Shubham, Kumar; Chakrabarti, P.

    2015-04-01

    The effect of target (Ti metal target and TiO2 target) on Titanium Dioxide (TiO2) thin films grown on ITO coated glass substrate by RF magnetron sputtering has been investigated. A comparative study of both the films was done in respect of crystalline structure, surface morphology and optical properties by using X-ray diffractometer (XRD), Atomic Force Microscopy (AFM) studies and ellipsometric measurements. The XRD results confirmed the crystalline structure and indicated that the deposited films have the intensities of anatase phase. The surface morphology and roughness values indicated that the film using Ti metal target has a smoother surface and densely packed with grains as compared to films obtained using TiO2 target. A high transmission in the visible region, and direct band gap of 3.67 eV and 3.75 eV for films derived by using Ti metal and TiO2 target respectively and indirect bandgap of 3.39 eV for the films derived from both the targets (Ti metal and TiO2 target) were observed by the ellipsometric measurements.

  3. A Micro Oxygen Sensor Based on a Nano Sol-Gel TiO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Hairong Wang

    2014-09-01

    Full Text Available An oxygen gas microsensor based on nanostructured sol-gel TiO2 thin films with a buried Pd layer was developed on a silicon substrate. The nanostructured titania thin films for O2 sensors were prepared by the sol-gel process and became anatase after heat treatment. A sandwich TiO2 square board with an area of 350 μm × 350 μm was defined by both wet etching and dry etching processes and the wet one was applied in the final process due to its advantages of easy control for the final structure. A pair of 150 nm Pt micro interdigitated electrodes with 50 nm Ti buffer layer was fabricated on the board by a lift-off process. The sensor chip was tested in a furnace with changing the O2 concentration from 1.0% to 20% by monitoring its electrical resistance. Results showed that after several testing cycles the sensor’s output becomes stable, and its sensitivity is 0.054 with deviation 2.65 × 10−4 and hysteresis is 8.5%. Due to its simple fabrication process, the sensor has potential for application in environmental monitoring, where lower power consumption and small size are required.

  4. Study of sputtered ZnO thin films on SiO2 and GaP substrates

    International Nuclear Information System (INIS)

    Brath, T.; Buc, D.; Kovac, J.; Hrnciar, V.; Caplovic, L.

    2011-01-01

    We have investigated n-ZnO polycrystalline thin films prepared on SiO 2 and p-GaP substrate using magnetron sputtering technique. The structural and electrical properties of these structures were studied. The measured parameters give promising results with a possibility to utilize n-ZnO/p-GaP heterostructure for application in the solar cells development especially in the field of nanostructures. The prepared structures will be a subject of further research. (authors)

  5. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  6. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  7. Sol–gel hybrid membranes loaded with meso/macroporous SiO2, TiO2–P2O5 and SiO2–TiO2–P2O5 materials with high proton conductivity

    International Nuclear Information System (INIS)

    Castro, Yolanda; Mosa, Jadra; Aparicio, Mario; Pérez-Carrillo, Lourdes A.; Vílchez, Susana; Esquena, Jordi; Durán, Alicia

    2015-01-01

    In this work, highly conductive hybrid organic–inorganic membranes loaded with SiO 2 , TiO 2 –P 2 O 5 and SiO 2 –TiO 2 –P 2 O 5 meso/macroporous particles were prepared via a sol–gel process. Meso/macroporous particles were incorporated to hybrid membranes, for improving water retention and enhancing electrochemical performance. These particles with a polymodal pore size distribution were prepared by templating in highly concentrated emulsions, the particles showed a specific surface area between 50 m 2 /g (TiO 2 –P 2 O 5 ) and 300 m 2 /g (SiO 2 –TiO 2 –P 2 O 5 ). The particles were dispersed in a hybrid silica sol and further sprayed onto glass paper. The films were polymerized and sintered; those loaded with meso/macroporous particles had a homogenous distribution. High temperature proton conductivity measurements confirmed a high water retention. Conductivity of these materials is higher than that of Nafion ® at higher temperatures (120 °C) (2·10 −2  S/cm). This study provides processing guideline to achieve hybrid electrolytes for efficient conduction of protons due to their high surface area and porous structure. - Highlights: • Hybrid electrolyte with meso/macroporous particles were synthesized by sol–gel. • Depositions of hybrid solutions by spraying onto glass substrates were performed. • Proton conductivity was evaluated as a function of composition and porous structure

  8. [Effect of TiO2-SiO2-SnOx film with different firing temperatures on bond strength of low-fusing dental porcelain to pure titanium].

    Science.gov (United States)

    Zhang, Zichuan; Zhang, Pei

    2015-07-01

    To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with different firing temperatures on the bond strength of low-fusing dental porcelain to pure titanium. The surface of pure titanium was coated uniformly with TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and then fired at 300 °C (group A) or 750 °C (group B) for 1 h. The specimens without any coatings were the control group (group C). There were 10 specimens in each group. Dental porcelain was sintered on the surface of titanium specimens. Surface roughness and contact angle of the coatings were also detected. The titanium-porcelain bond strength was investigated according to YY 0621-2008 standards using three-point flexure bond test. The phase composition of the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD). The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were observed using scanning electron microscope (SEM). No rutile phase was found in these specimens of group A and group B. The surface roughness of group A, B, C was (0.97 ± 0.06), (0.99 ± 0.03), (0.96 ± 0.07) µm, respectively. No significant difference was found among the three groups. Compared with that of group C (64.37° ± 3.01°), contact angles detected in group A (52.04° ± 3.15°) and group B (85.27° ± 4.17°) were significantly different (P porcelain in group A [(35.66 ± 2.65) MPa] was significantly increased compared with those in group B [(26.18 ± 2.22) MPa] and group C [(31.66 ± 3.52) MPa]. SEM photomicrographs of titanium-porcelain interface morphology of the specimens before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group A were compact and homogeneous with petty cracks and those in group B was loose and arranged disorderly. TiO(2)-SiO(2)-SnOx nano-coating fired at 300 °C is significantly effective in improving the titanium-porcelain bond strength.

  9. Properties of Sn-doped TiO2 nanotubes fabricated by anodization of co-sputtered Ti–Sn thin films

    International Nuclear Information System (INIS)

    Kyeremateng, Nana Amponsah; Hornebecq, Virginie; Knauth, Philippe; Djenizian, Thierry

    2012-01-01

    Self-organized Sn-doped TiO 2 nanotubes (nts) were fabricated for the first time, by anodization of co-sputtered Ti and Sn thin films. This nanostructured material was characterized by scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, UV–vis spectroscopy and transmission electron microscopy. Due to their remarkable properties, Sn-doped TiO 2 nts can find potential applications in Li-ion microbatteries, photovoltaics, and catalysis. Particularly, the electrochemical performance as an anode material for Li-ion microbatteries was evaluated in Li test cells. With current density of 70 μA cm −2 (1 C) and cut-off potential of 1 V, Sn-doped TiO 2 nts showed improved performance compared to simple TiO 2 nts, and differential capacity plots revealed that the material undergoes full electrochemical reaction as a Rutile-type TiO 2 .

  10. The effect of rare earth dopants on the structure, surface texture and photocatalytic properties of TiO2-SiO2 prepared by sol-gel method

    International Nuclear Information System (INIS)

    Mohamed, R.M.; Mkhalid, I.A.

    2010-01-01

    The sol-gel method was successfully used to prepare a series of TiO 2 -SiO 2 and rare earth (RE) (La 3+ , Nd 3+ , Sm 3+ , Gd 3+ )-doped TiO 2 -SiO 2 nanoparticles at a doping level of 3 atomic percent. The structural features of parent TiO 2 -SiO 2 and RE-TiO 2 -SiO 2 fired at 550 o C have been investigated by XRD, UV-diffuse reflection, SEM and nitrogen adsorption measurements at -196 o C. XRD data verified the formation of typical characteristic anatase form in all the prepared RE-doped TiO 2 -SiO 2 samples. In comparison with the pure TiO 2 -SiO 2 samples (ca. 35 nm in diameter), the RE-TiO 2 -SiO 2 samples have relatively small particle size indicating that the doping with RE metal ions can improve the particle morphology, and retard the grain growth of TiO 2 -SiO 2 during heat treatment. The results indicated that Gd 3+ doped TiO 2 -SiO 2 has the lowest bandgap and particle size compared with pure TiO 2 -SiO 2 and other nanoparticles of RE-doped TiO 2 -SiO 2 . The highest surface area (S BET ) and pore volume (V p ) values were recorded for Gd-TiO 2 -SiO 2 as well. The effect of doping on the photoactivity was evaluated by the photocatalytic degradation of EDTA as a probe reaction. Among all the pure and RE-doped TiO 2 -SiO 2 , Gd 3+ -TiO 2 -SiO 2 performed the highest catalytic activity towards the tested reaction. That might be due to its special characteristics of particle size, surface texture and bandgap properties. Details of the synthesis procedure and results of the characterization studies of the produced RE-TiO 2 -SiO 2 are presented in this paper.

  11. Incorporation of Kojic Acid-Azo Dyes on TiO2 Thin Films for Dye Sensitized Solar Cells Applications

    Directory of Open Access Journals (Sweden)

    Carolynne Zie Wei Sie

    2017-01-01

    Full Text Available Sensitization of heavy metal free organic dyes onto TiO2 thin films has gained much attention in dye sensitized solar cells (DSSCs. A series of new kojic acid based organic dyes KA1–4 were synthesized via nucleophilic substitution of azobenzene bearing different vinyl chains A1–4 with kojyl chloride 4. Azo dyes KA1–4 were characterized for photophysical properties employing absorption spectrometry and photovoltaic characteristic in TiO2 thin film. The presence of vinyl chain in A1–4 improved the photovoltaic performance from 0.20 to 0.60%. The introduction of kojic acid obtained from sago waste further increases the efficiency to 0.82–1.54%. Based on photovoltaic performance, KA4 achieved the highest solar to electrical energy conversion efficiency (η = 1.54% in the series.

  12. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  13. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    Directory of Open Access Journals (Sweden)

    Jing Guo

    2018-01-01

    Full Text Available This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2 pigment powders by extremely thin aluminum oxide (Al2O3 films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development.

  14. Effect of substrate type on the electrical and structural properties of TiO2 thin films deposited by reactive DC sputtering

    Science.gov (United States)

    Cheng, Xuemei; Gotoh, Kazuhiro; Nakagawa, Yoshihiko; Usami, Noritaka

    2018-06-01

    Electrical and structural properties of TiO2 thin films deposited at room temperature by reactive DC sputtering have been investigated on three different substrates: high resistivity (>1000 Ω cm) float zone Si(1 1 1), float zone Si(1 0 0) and alkali free glass. As-deposited TiO2 films on glass substrate showed extremely high resistivity of (∼5.5 × 103 Ω cm). In contrast, lower resistivities of ∼2 Ω cm and ∼5 Ω cm were obtained for films on Si(1 1 1) and Si(1 0 0), respectively. The as-deposited films were found to be oxygen-rich amorphous TiO2 for all the substrates as evidenced by X-ray photoemission spectroscopy and X-ray diffraction. Subsequent annealing led to appearance of anatase TiO2 on Si but not on glass. The surface of as-deposited TiO2 on Si was found to be rougher than that on glass. These results suggest that the big difference of electrical resistivity of TiO2 would be related with existence of more anatase nuclei forming on crystalline substrates, which is consistent with the theory of charged clusters that smaller clusters tend to adopt the substrate structure.

  15. Influence of plasma-generated negative oxygen ion impingement on magnetron sputtered amorphous SiO2 thin films during growth at low temperatures

    International Nuclear Information System (INIS)

    Macias-Montero, M.; Garcia-Garcia, F. J.; Alvarez, R.; Gil-Rostra, J.; Gonzalez, J. C.; Gonzalez-Elipe, A. R.; Palmero, A.; Cotrino, J.

    2012-01-01

    Growth of amorphous SiO 2 thin films deposited by reactive magnetron sputtering at low temperatures has been studied under different oxygen partial pressure conditions. Film microstructures varied from coalescent vertical column-like to homogeneous compact microstructures, possessing all similar refractive indexes. A discussion on the process responsible for the different microstructures is carried out focusing on the influence of (i) the surface shadowing mechanism, (ii) the positive ion impingement on the film, and (iii) the negative ion impingement. We conclude that only the trend followed by the latter and, in particular, the impingement of O - ions with kinetic energies between 20 and 200 eV, agrees with the resulting microstructural changes. Overall, it is also demonstrated that there are two main microstructuring regimes in the growth of amorphous SiO 2 thin films by magnetron sputtering at low temperatures, controlled by the amount of O 2 in the deposition reactor, which stem from the competition between surface shadowing and ion-induced adatom surface mobility.

  16. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  17. Structure and optical band-gap energies of Ba0.5Sr0.5TiO3 thin films fabricated by RF magnetron plasma sputtering

    International Nuclear Information System (INIS)

    Xu, Zhimou; Suzuki, Masato; Yokoyama, Shin

    2005-01-01

    The structure and optical band-gap energies of Ba 0.5 Sr 0.5 TiO 3 (BST0.5) thin films prepared on SiO 2 /Si and fused quartz substrates by RF magnetron plasma sputtering were studied in terms of deposition temperature and film thickness. Highly (100)-oriented BST0.5 thin films were successfully sputtered on a Si substrate with an approximately 1.0-μm-thick SiO 2 layer at a deposition temperature of above 450degC. The optical transmittance of BST0.5 thin films weakly depended on the magnitude of X-ray diffraction (XRD) peak intensity. This is very helpful for monolithic integration of BST0.5 films for electrooptical functions directly onto a SiO 2 /Si substrate. The band-gap energies showed a strong dependence on the deposition temperature and film thickness. It was mainly related to the quantum size effect and the influence of the crystallinity of thin films, such as grain boundaries, grain size, oriented growth, and the existence of an amorphous phase. The band-gap energy values, which were much larger than those of single crystals, decreased with the increase in the deposition temperature and the thickness of BST0.5 thin films. The band-gap energy of 311-nm-thick amorphous BST0.5 thin film was about 4.45 eV and that of (100)-oriented BST0.5 thin film with a thickness of 447 nm was about 3.89 eV. It is believed that the dependence of the band-gap energies of the thin films on the crystallinity for various values of deposition temperature and film thickness means that there could be application in integrated optical devices. (author)

  18. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  19. Quantum-dot light-emitting diodes utilizing CdSe /ZnS nanocrystals embedded in TiO2 thin film

    Science.gov (United States)

    Kang, Seung-Hee; Kumar, Ch. Kiran; Lee, Zonghoon; Kim, Kyung-Hyun; Huh, Chul; Kim, Eui-Tae

    2008-11-01

    Quantum-dot (QD) light-emitting diodes (LEDs) are demonstrated on Si wafers by embedding core-shell CdSe /ZnS nanocrystals in TiO2 thin films via plasma-enhanced metallorganic chemical vapor deposition. The n-TiO2/QDs /p-Si LED devices show typical p-n diode current-voltage and efficient electroluminescence characteristics, which are critically affected by the removal of QD surface ligands. The TiO2/QDs /Si system we presented can offer promising Si-based optoelectronic and electronic device applications utilizing numerous nanocrystals synthesized by colloidal solution chemistry.

  20. Ferroelectric properties of bilayer structured Pb(Zr0.52Ti0.48)O3/SrBi2Ta2O9 (PZT/SBT) thin films on Pt/TiO2/SiO2/Si substrates

    International Nuclear Information System (INIS)

    Zhang Wenqi; Li Aidong; Shao Qiyue; Xia Yidong; Wu Di; Liu Zhiguo; Ming Naiben

    2008-01-01

    Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films with large remanent polarization and SrBi 2 Ta 2 O 9 (SBT) thin films with excellent fatigue-resisting characteristic have been widely studied for non-volatile random access memories, respectively. To combine these two advantages , bilayered Pb(Zr 0.52 Ti 0.48 )O 3 /SrBi 2 Ta 2 O 9 (PZT/SBT) thin films were fabricated on Pt/TiO 2 /SiO 2 /Si substrates by chemical solution deposition method. X-ray diffraction patterns revealed that the diffraction peaks of PZT/SBT thin films were completely composed of PZT and SBT, and no other secondary phase was observed. The electrical properties of the bilayered structure PZT/SBT films have been investigated in comparison with pure PZT and SBT films. PZT/SBT bilayered thin films showed larger remanent polarization (2P r ) of 18.37 μC/cm 2 than pure SBT and less polarization fatigue up to 1 x 10 9 switching cycles than pure PZT. These results indicated that this bilayered structure of PZT/SBT is a promising material combination for ferroelectric memory applications

  1. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  2. Strong out-of-plane magnetic anisotropy in ion irradiated anatase TiO2 thin films

    Directory of Open Access Journals (Sweden)

    M. Stiller

    2016-12-01

    Full Text Available The temperature and field dependence of the magnetization of epitaxial, undoped anatase TiO2 thin films on SrTiO3 substrates was investigated. Low-energy ion irradiation was used to modify the surface of the films within a few nanometers, yet with high enough energy to produce oxygen and titanium vacancies. The as-prepared thin film shows ferromagnetism which increases after irradiation with low-energy ions. An optimal and clear magnetic anisotropy was observed after the first irradiation, opposite to the expected form anisotropy. Taking into account the experimental parameters, titanium vacancies as di-Frenkel pairs appear to be responsible for the enhanced ferromagnetism and the strong anisotropy observed in our films. The magnetic impurities concentrations was measured by particle-induced X-ray emission with ppm resolution. They are ruled out as a source of the observed ferromagnetism before and after irradiation.

  3. Seed-mediated photodeposition route to Ag-decorated SiO2@TiO2 microspheres with ideal core-shell structure and enhanced photocatalytic activity

    Science.gov (United States)

    Ma, Jianqi; Guo, Xiaohua; Ge, Hongguang; Tian, Guanghui; Zhang, Qiang

    2018-03-01

    Ag-decorated SiO2@TiO2 microspheres (SiO2@TiO2-Ag) with ideal core-shell structure and enhanced photocatalytic activity were successfully fabricated by combining both coating anatase TiO2 on the surface of SiO2 spheres and subsequent depositing face-centered cubic Ag nanoparticles (NPs) on the coated TiO2 surface via novel sol-gel method and Ag-seed-mediated photodeposition (PD) route, respectively. The morphology, structure, composition and optical properties of the resulting composites were characterized in detail. The results reveal that the monodisperse SiO2 spheres of ∼260 nm were covered uniformly and perfectly by the TiO2 nanoparticle coating layer with the thickness of ca. 55 nm by the novel sol-gel method. Further, homogeneously and highly dispersed Ag NPs with an average size of 8 ± 1.5 nm were strongly anchored onto the TiO2 surface in SiO2@TiO2 core-shell spheres by the modified PD process (Ag-seed-mediated PD route), whereas polydispersed Ag aggregates and detached Ag NPs were irregularly deposited over the TiO2 surface in previous works, which is the inherent problem and has not been effectively solved for depositing noble metal NPs such as Au, Ag, Pt, Pd on TiO2 surface by conventional PD method. The formation mechanism of small and uniformly dispersed Ag NPs with narrow size distribution via the modified PD method is tentatively explained by both nucleation kinetics and growth kinetics. The key reason is that the pre-deposited seeds firmly tethered on SiO2@TiO2 spheres served as nucleation sites and anchoring points for the further nucleation and subsequent growth of Ag via photoreduction of Ag+.

  4. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  5. Multifunctional Roles of TiO 2 Nanoparticles for Architecture of Complex Core−Shells and Hollow Spheres of SiO 2 −TiO 2 −Polyaniline System

    KAUST Repository

    Wang, Dan Ping

    2009-10-27

    Nanoparticles are often used as seeds to grow one-dimensional nanomaterials or as core materials to prepare core-shell nanostructures. On the other hand, the presynthesized inorganic nanoparticles can also be used as starting building blocks to prepare inorganic-polymer nanocomposites. In this work, we explore the roles of metal-oxide nanoparticles (anatase TiO2) in the area of constructional synthesis of highly complex core-shell and hollow sphere nanostructures comprising SiO2, TiO2, and polyaniline (PAN). In particular, multifunctional roles of oleate-surfactant-protected TiO2 nanoparticles have been revealed in this study: they provide starting sites for polymerization of aniline on the surface of SiO2 mesospheres; they land on the inner surface of polyaniline shell to form a secondary material phase; they work as initial crystalline seeds for homogeneous growth of interior TiO2 shell; and they serve as primary nanobuilding blocks to form exterior TiO2 shell on the polyaniline via self-assembly. With the assistance of the TiO2 nanoparticles, a total of six complex core-shell and hollow sphere nanocomposites (SiO 2/TiO2, SiO2/TiO2/PAN, SiO 2/TiO2/PAN/TiO2, TiO2/PAN, TiO 2/PAN/TiO2, and TiO2/TiO2) have been made in this work through controlled self-assembly, templating growth, polymerization, and homogeneous seeded growth. Applicability of these nanostructures in photocatalytic applications has also been demonstrated by our preliminary investigations. The easy separation of used catalysts after reaction seems to be advantageous because of relatively large external diameters of the lightweight nanocomposites. © 2009 American Chemical Society.

  6. Catalytic combustion of trichloroethylene over TiO2-SiO2 supported catalysts

    NARCIS (Netherlands)

    Kulazynski, M.; van Ommen, J.G.; Trawczynski, J.; Walendziewski, J.

    2002-01-01

    Combustion of trichloroethylene (TCE) on Cr2O3, V2O5, Pt or Pd catalysts supported on TiO2-SiO2 as a carrier has been investigated. It was found that oxide catalysts are very active but their activity quickly diminishes due to loss of the active component, especially at higher reaction temperatures

  7. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Damon Rafieian

    2015-09-01

    Full Text Available We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx<2, obtained by sputtering at relatively low oxygen concentration, formed rutile upon annealing in air, whereas stoichiometric films formed anatase. This route therefore presents a formation route for rutile films via lower (<500 °C temperature pathways. The dynamics of the annealing process were followed by in situ ellipsometry, showing the optical properties transformation. The final crystal structures were identified by XRD. The anatase film obtained by this deposition method displayed high carriers mobility as measured by time-resolved microwave conductance. This also confirms the high photocatalytic activity of the anatase films.

  8. Theoretical prediction of Grüneisen parameter for SiO_2.TiO_2 bulk metallic glasses

    International Nuclear Information System (INIS)

    Singh, Chandra K.; Pandey, Brijesh K.; Pandey, Anjani K.

    2016-01-01

    The Grüneisen parameter (γ) is very important to decide the limitations for the prediction of thermoelastic properties of bulk metallic glasses. It can be defined in terms of microscopic and macroscopic parameters of the material in which former is based on vibrational frequencies of atoms in the material while later is closely related to its thermodynamic properties. Different formulation and equation of states are used by the pioneer researchers of this field to predict the true sense of Gruneisen parameter for BMG but for SiO_2.TiO_2 very few and insufficient information is available till now. In the present work we have tested the validity of two different isothermal EOS viz. Poirrior-Tarantola EOS and Usual-Tait EOS to predict the true value of Gruneisen parameter for SiO_2.TiO_2 as a function of compression. Using different thermodynamic limitations related to the material constraints and analyzing obtained result it is concluded that the Poirrior-Tarantola EOS gives better numeric values of Grüneisen parameter (γ) for SiO_2.TiO_2 BMG.

  9. Ion beam modification of TiO2 films prepared by Cat-CVD for solar cell

    International Nuclear Information System (INIS)

    Narita, Tomoki; Iida, Tamio; Ogawa, Shunsuke; Mizuno, Kouichi; So, Jisung; Kondo, Akihiro; Yoshida, Norimitsu; Itoh, Takashi; Nonomura, Shuichi; Tanaka, Yasuhito

    2008-01-01

    The effects of nitrogen ion bombardment on TiO 2 films prepared by the Cat-CVD method have been studied to improve the optical and electrical properties of the material for use in Si thin film solar cells. The refractive index n and the dark conductivity of the TiO 2 film increased with irradiation time. The refractive index n of the TiO 2 film was changed from 2.1 to 2.4 and the electrical conductivity was improved from 3.4 x 10 -2 to 1.2 x 10 -1 S/cm by the irradiation. These results are due to the formation of Ti-N bonds and oxygen vacancies in the film

  10. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    NARCIS (Netherlands)

    Guo, J.; Bui, H.V.; Valdesueiro Gonzalez, D.; Yuan, Shaojun; Liang, Bin; van Ommen, J.R.

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on

  11. Crystallization behaviour of nanostructured hybrid SiO2-TiO2 gel glasses to nanocomposites.

    Science.gov (United States)

    Tsvetelina, Gerganova; Yordanka, Ivanova; Yuliya, Vueva; Miranda, Salvado Isabel M; Helena, Fernandes Maria

    2010-04-01

    The crystallization behaviour of hybrid SiO2-TiO2 nanocomposites derived from titanosiloxanes by sol-gel method has been investigated depending on the type of siloxane precursor and the pirolysis temperature. The resulting hybrid titanosiloxanes, crosslinked with trimethylsilil isocyanate (nitrogen-modified) or methyltrietoxisilane (carbon-modified), were pirolyzed in an inert atmosphere in the temperature range between 600 to 1100 degrees C in order to form C-(N)-Si-O-TiO2 nanocomposites. By means of XRD, FTIR, 29Si NMR, SEM, TEM and AFM investigations have been established that the transformation of the nanostructured SiO2-TiO2 hybrid materials into nanocomposites as well as the crystalline size depend on the titanium content and the type of cross-linking agents used in the synthesizes.

  12. Photocatalytic performance of Sn-doped TiO2 nanostructured thin films for photocatalytic degradation of malachite green dye under UV and VIS-lights

    International Nuclear Information System (INIS)

    Sayilkan, F.; Asiltuerk, M.; Tatar, P.; Kiraz, N.; Sener, S.; Arpac, E.; Sayilkan, H.

    2008-01-01

    Sn-doped and undoped nano-TiO 2 particles have been synthesized by hydrotermal process without acid catalyst at 225 deg. C in 1 h. Nanostructure-TiO 2 based thin films, contain at different solid ratio of TiO 2 in coating, have been prepared on glass surfaces by spin-coating technique. The structure, surface morphology and optical properties of the thin films and the particles have been investigated by element analysis and XRD, BET and UV/VIS/NIR techniques. The photocatalytic performance of the films was tested for degradation of malachite green dye in solution under UV and VIS-lights. The results showed that the hydrothermally synthesized nano-TiO 2 particles are fully anatase crystalline form and are easily dispersed in water, the coated surfaces have nearly super-hydrophilic properties and, the doping of transition metal ion efficiently improved the photocatalytic performance of the TiO 2 thin film. The results also proved that malachite green is decomposed catalytically due to the pseudo first-order reaction kinetics

  13. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    Science.gov (United States)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  14. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  15. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  16. Defect controlled tuning of the ratio of ultraviolet to visible light emission in TiO2 thin films

    International Nuclear Information System (INIS)

    Mondal, S.; Basak, D.

    2016-01-01

    The photoluminescence (PL) of sol–gel TiO 2 thin film has been found to be largely dependent on the post-deposition processing such as annealing at 500 °C in air, vacuum and ultraviolet (UV) light curing at room temperature. A detailed analysis of room temperature PL spectra shows that the UV/VIS PL peak intensity ratio is maximum for the film which has been annealed at 500 °C in air. X-ray photoelectron spectroscopy confirms the presence of Ti 3+ type of point defects. The visible emission is deconvoluted to green and orange emissions. Analyses of the present experimental results indicate that V O and/or Ti 3+ causes the green emission and OH and/or excess O 2 adsorption on TiO 2 surface probably causes the orange emission. The time correlated single photon counting spectroscopy data of the UV PL indicates higher number defects in vacuum annealed and UV cured films as compared to the air annealed film. Correlation of the results altogether allows us to conclude that the surface defects those causing the visible emission are smaller in number in the air annealed film. The present results may be useful for tuning the relative PL intensities of UV, green and orange emissions. - Highlights: • Sol–gel TiO 2 films were treated both in air, vacuum at 500 °C and under UV light (room temperature). • UV/VIS PL intensity ratio is maximum for air annealed and minimum for UV cured films. • Both green and orange emission predominantly controls the visible emission of TiO 2 . • The visible emission exhibit a clear correlation with Ti 3+  defects on the surface.

  17. Gel electrolytes based on poly(acrylonitrile)/sulpholane with hybrid TiO2/SiO2 filler for advanced lithium polymer batteries

    International Nuclear Information System (INIS)

    Kurc, Beata

    2014-01-01

    Highlights: • Paper describes properties of gel electrolyte based on PAN with TMS and TiO 2 -SiO 2 . • The TiO 2 -SiO 2 oxide composite was precipitated in the emulsion system and used as the fillers. • The capacity of the graphite anode depends on the current rate and the amount of TiO 2 -SiO 2 . • For PE3 electrolyte was obtained practical capacity more than 90% of the theoretical capacity. - Abstract: This paper describes the synthesis and properties of a new type of ceramic fillers for composite polymer gel electrolytes. Hybrid TiO 2 -SiO 2 ceramic powders have been obtained by co-precipitation from titanium(IV) sulfate solution using sodium silicate as the precipitating agent. The resulting submicron-size powders have been applied as fillers for composite polymer gel electrolytes for Li-ion batteries based on polyacrylonitrile (PAN) membranes. The powders and gel electrolytes have been examined structurally and electrochemically, showing favorable properties in terms of electrolyte uptake and electrochemical characteristics in Li-ion cells

  18. In situ observation of electron-beam-induced dewetting of CdSe thin film embedded in SiO2

    DEFF Research Database (Denmark)

    Fabrim, Zacarias Eduardo; Kjelstrup-Hansen, Jakob; Fichtner, Paulo F. P.

    In this work we show the dewetting process of the CdSe thin films induced by electron beam irradiation. A multilayer heterostructure of SiO2/CdSe/SiO2 was made by a magnetron sputtering process. A plan-view (PV) sample was irradiated with 200 kV electrons in the TEM with two current densities: 0.......33 A.cm2 and 1.0 A.cm2 and at 80 kV with 0.37 A.cm2. The dewetting of the CdSe film is inferred by a number of micrographs taken during the irradiation. The microstructural changes were analyzed under the assumption of being induced by ballistic collision effects in the absence of sample heating....

  19. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  20. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  1. Crystal Structure And Optical Properties Of TiO2 Thin Films Prepared By Reactive RF Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Goto S.

    2015-06-01

    Full Text Available In sputtering deposition process of TiO2, metal Ti or sintered TiO2 target is used as deposition source. In this study, we have compared the characteristic of target materials. When TiO2 target was used, stoichiometric TiO2 films was deposited under the Ar atmosphere containing 1.0% of oxygen. The highest sputtering rate under this atmosphere was 3.9nm/min at 3.4W/cm2. But, sintered TiO2 target is fragile and cannot endure higher density of input power than 3.4W/cm2. On the other hand, Ti target needs higher oxygen concentration (8% in sputtering gas atmosphere for obtaining rutile/anatase. Even though Ti target can be input twice power density of 7.9W/cm2, the highest deposition rate for Ti target was 1.4/nm, which was ~35% of the highest rate for TiO2 target. Then we have study out the composite target consisting of Ti plate and TiO2 chips. Using the composite target, stoichiometric TiO2 films were prepared in the rate of 9.6nm/min at 6.8 W/cm2 under the atmosphere of Ar/2.5%O2. Furthermore, we have found that the TiO2 films obtained from the composite target consisted of about 100% anatase, whereas TiO2 films obtained from other target have rutile dominant structure. The optical band gap energy of the film is determined by using the Tauc plot. The calculated band gap energies for the films deposited by Ti target and composite target were 2.95 and 3.24eV, which are equivalent to that of rutile and anatase structure, respectively.

  2. The Photocatalytic Activity and Compact Layer Characteristics of TiO2 Films Prepared Using Radio Frequency Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    H. C. Chang

    2014-01-01

    Full Text Available TiO2 compact layers are used in dye-sensitized solar cells (DSSCs to prevent charge recombination between the electrolyte and the transparent conductive substrate (indium tin oxide, ITO; fluorine-doped tin oxide, FTO. Thin TiO2 compact layers are deposited onto ITO/glass by means of radio frequency (rf magnetron sputtering, using deposition parameters that ensure greater photocatalytic activity and increased DSSC conversion efficiency. The photoinduced decomposition of methylene blue (MB and the photoinduced hydrophilicity of the TiO2 thin films are also investigated. The photocatalytic performance characteristics for the deposition of TiO2 films are improved by using the Grey-Taguchi method. The average transmittance in the visible region exceeds 85% for all samples. The XRD patterns of the TiO2 films, for sol-gel with spin coating of porous TiO2/TiO2 compact/ITO/glass, show a good crystalline structure. In contrast, without the TiO2 compact layer (only porous TiO2, the peak intensity of the anatase (101 plane in the XRD patterns for the TiO2 film has a lower value, which demonstrates inferior crystalline quality. With a TiO2 compact layer to prevent charge recombination, a higher short-circuit current density is obtained. The DSSC with the FTO/glass and Pt counter electrode demonstrates the energy conversion efficiency increased.

  3. Synthesis and characterization of anatase-TiO2 thin films

    International Nuclear Information System (INIS)

    Sankapal, B.R.; Lux-Steiner, M.Ch.; Ennaoui, A.

    2005-01-01

    A new and effective method for the preparation of nanocrystalline TiO 2 (anatase) thin films is presented. This method is based on the use of peroxo-titanium complex as a single precursor. Post-annealing treatment is necessary to convert the deposited amorphous film into TiO 2 (anatase) phase. The films obtained are uniform, compact and free of pinholes. A wide range of techniques are used for characterization, namely X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDAX) and UV-Vis-NIR spectrophotometer. Glass, indium-doped tin oxide (ITO) and quartz are used as substrates. TiO 2 (anatase) phase with (1 0 1) preferred orientation is obtained for the films. Byproduct (collected powder) consists of the same crystal structure. The optical measurement reveals the indirect bandgap of 3.2 eV

  4. Synthesis and characterization of anatase-TiO 2 thin films

    Science.gov (United States)

    Sankapal, B. R.; Lux-Steiner, M. Ch.; Ennaoui, A.

    2005-01-01

    A new and effective method for the preparation of nanocrystalline TiO 2 (anatase) thin films is presented. This method is based on the use of peroxo-titanium complex as a single precursor. Post-annealing treatment is necessary to convert the deposited amorphous film into TiO 2 (anatase) phase. The films obtained are uniform, compact and free of pinholes. A wide range of techniques are used for characterization, namely X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDAX) and UV-Vis-NIR spectrophotometer. Glass, indium-doped tin oxide (ITO) and quartz are used as substrates. TiO 2 (anatase) phase with (1 0 1) preferred orientation is obtained for the films. Byproduct (collected powder) consists of the same crystal structure. The optical measurement reveals the indirect bandgap of 3.2 eV.

  5. Role of oxygen vacancies in anodic TiO2 thin films

    International Nuclear Information System (INIS)

    Tit, N.; Halley, J.W.

    1992-05-01

    Defects play an important role in the electronic and optical properties of amorphous solids in general. Here we present both experimental and theoretical investigations on the nature and origin of defect states in anodic rutile TiO 2 thin films (of thickness 5nm to 20nm). There is experimental evidence that the observed gap state at 0.7eV below the edge of conduction-band is due to an oxygen vacancy. For this reason, oxygen vacancies are used in our model. A comparison of the calculated bulk-photoconductivity to photospectroscopy experiment reveals that the films have bulk-like transport properties. On the other hand a fit of the surface density of states to the scanning tunneling microscopy (STM) on the (001) surfaces has suggested a surface defect density of 5% of oxygen vacancies. To resolve this discrepancy, we calculated the dc-conductivity where localization effects are included. Our results show an impurity band formation at about p c =9% of oxygen vacancies. We concluded that the gap states seen in STM are localized and the oxygen vacancies are playing the role of trapping centers (deep levels) in the studied films. (author). 15 refs, 5 figs

  6. Preparation of an orthodontic bracket coated with an nitrogen-doped TiO(2-x)N(y) thin film and examination of its antimicrobial performance.

    Science.gov (United States)

    Cao, Baocheng; Wang, Yuhua; Li, Na; Liu, Bin; Zhang, Yingjie

    2013-01-01

    A bracket coated with a nitrogen-doped (N-doped) TiO(2-x)N(y) thin film was prepared using the RF magnetron sputtering method. The physicochemical properties of the thin film were measured using X-ray diffraction and energy-dispersive X-ray spectrometry, while the antimicrobial activity of the bracket against common oral pathogenic microbes was assessed on the basis of colony counts. The rate of antimicrobial activity of the bracket coated with nano-TiO(2-x)N(y) thin film against Streptococcus mutans, Lactobacillus acidophilus, Actinomyces viscous, and Candida albicans was 95.19%, 91.00%, 69.44%, and 98.86%, respectively. Scanning electron microscopy showed that fewer microbes adhered to the surface of this newly designed bracket than to the surface of the normal edgewise bracket. The brackets coated with the N-doped TiO(2-x)N(y) thin film showed high antimicrobial and bacterial adhesive properties against normal oral pathogenic bacterial through visible light, which is effective in prevention of enamel demineralization and gingivitis in orthodontic patients.

  7. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  8. High Photocatalytic Activity of Fe3O4-SiO2-TiO2 Functional Particles with Core-Shell Structure

    Directory of Open Access Journals (Sweden)

    Chenyang Xue

    2013-01-01

    Full Text Available This paper describes a novel method of synthesizing Fe3O4-SiO2-TiO2 functional nanoparticles with the core-shell structure. The Fe3O4 cores which were mainly superparamagnetic were synthesized through a novel carbon reduction method. The Fe3O4 cores were then modified with SiO2 and finally encapsulated with TiO2 by the sol-gel method. The results of characterizations showed that the encapsulated 700 nm Fe3O4-SiO2-TiO2 particles have a relatively uniform size distribution, an anatase TiO2 shell, and suitable magnetic properties for allowing collection in a magnetic field. These magnetic properties, large area, relative high saturation intensity, and low retentive magnetism make the particles have high dispersibility in suspension and yet enable them to be recovered well using magnetic fields. The functionality of these particles was tested by measuring the photocatalytic activity of the decolouring of methyl orange (MO and methylene blue (MB under ultraviolet light and sunlight. The results showed that the introduction of the Fe3O4-SiO2-TiO2 functional nanoparticles significantly increased the decoloration rate so that an MO solution at a concentration of 10 mg/L could be decoloured completely within 180 minutes. The particles were recovered after utilization, washing, and drying and the primary recovery ratio was 87.5%.

  9. Thin film circuits for future applications. Pt. 2. Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Haug, G; Houska, K H; Schmidt, H J; Sprengel, H P; Wohak, K

    1976-06-01

    Investigations of thin film diffusion processes and reactions with encapsulation materials resulted in improved long term stability of evaporated NiCr resistors, SiO capacitors and NiCr/Au conductors for thin film circuits. Stable NiCr resistor networks can be formed on ceramic substrates, and SiO capacitors of good quality can be deposited on the new very smooth ceramic substrates. The knowledge of the influence of evaporation parameters make the production of SiO capacitors with definite properties and good reproducibility possible. The range of capacitance of tantalum thin film circuits can be extended by integration with evaporated SiO capacitors.

  10. Periodically ordered meso – and macroporous SiO2 thin films and their induced electrochemical activity as a function of pore hierarchy

    Czech Academy of Sciences Publication Activity Database

    Sel, O.; Sallard, S.; Brezesinski, T.; Rathouský, Jiří; Dunphy, D. R.; Collord, A.; Smarsly, B. M.

    2007-01-01

    Roč. 17, č. 16 (2007), s. 3241-3250 ISSN 1616-301X Institutional research plan: CEZ:AV0Z40400503 Keywords : SiO2 * thin films * pore hierarchy * electrochemistry Subject RIV: CG - Electrochemistry Impact factor: 7.496, year: 2007

  11. Fabrication and tritium release property of Li2TiO3-Li4SiO4 biphasic ceramics

    Science.gov (United States)

    Yang, Mao; Ran, Guangming; Wang, Hailiang; Dang, Chen; Huang, Zhangyi; Chen, Xiaojun; Lu, Tiecheng; Xiao, Chengjian

    2018-05-01

    Li2TiO3-Li4SiO4 biphasic ceramic pebbles have been developed as an advanced tritium breeder due to the potential to combine the advantages of both Li2TiO3 and Li4SiO4. Wet method was developed for the pebble fabrication and Li2TiO3-Li4SiO4 biphasic ceramic pebbles were successfully prepared by wet method using the powders synthesized by hydrothermal method. The tritium release properties of the Li2TiO3-Li4SiO4 biphasic ceramic pebbles were evaluated. The biphasic pebbles exhibited good tritium release property at low temperatures and the tritium release temperature was around 470 °C. Because of the isotope exchange reaction between H2 and tritium, the addition of 0.1%H2 to purge gas He could significantly enhance the tritium gas release and the fraction of molecular form of tritium increased from 28% to 55%. The results indicate that the Li2TiO3-Li4SiO4 biphasic ceramic pebbles fabricated by wet method exhibit good tritium release property and hold promising potential as advanced breeder pebbles.

  12. Effects of reductive annealing on insulating polycrystalline thin films of Nb-doped anatase TiO2: recovery of high conductivity

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Hirose, Yasushi; Hasegawa, Tetsuya

    2016-01-01

    We studied the effects of reductive annealing on insulating polycrystalline thin films of anatase Nb-doped TiO 2 (TNO). The insulating TNO films were intentionally fabricated by annealing conductive TNO films in oxygen ambient at 400 °C. Reduced free carrier absorption in the insulating TNO films indicated carrier compensation due to excess oxygen. With H 2 -annealing, both carrier density and Hall mobility recovered to the level of conducting TNO, demonstrating that the excess oxygen can be efficiently removed by the annealing process without introducing additional scattering centers. (paper)

  13. TiO2 Nanotubes on Transparent Substrates: Control of Film Microstructure and Photoelectrochemical Water Splitting Performance

    Directory of Open Access Journals (Sweden)

    Matus Zelny

    2018-01-01

    Full Text Available Transfer of semiconductor thin films on transparent and or flexible substrates is a highly desirable process to enable photonic, catalytic, and sensing technologies. A promising approach to fabricate nanostructured TiO2 films on transparent substrates is self-ordering by anodizing of thin metal films on fluorine-doped tin oxide (FTO. Here, we report pulsed direct current (DC magnetron sputtering for the deposition of titanium thin films on conductive glass substrates at temperatures ranging from room temperature to 450 °C. We describe in detail the influence that deposition temperature has on mechanical, adhesion and microstructural properties of titanium film, as well as on the corresponding TiO2 nanotube array obtained after anodization and annealing. Finally, we measure the photoelectrochemical water splitting activity of different TiO2 nanotube samples showing that the film deposited at 150 °C has much higher activity correlating well with the lower crystallite size and the higher degree of self-organization observed in comparison with the nanotubes obtained at different temperatures. Importantly, the film showing higher water splitting activity does not have the best adhesion on glass substrate, highlighting an important trade-off for future optimization.

  14. The Effect of Normal Force on Tribocorrosion Behaviour of Ti-10Zr Alloy and Porous TiO2-ZrO2 Thin Film Electrochemical Formed

    Science.gov (United States)

    Dănăilă, E.; Benea, L.

    2017-06-01

    The tribocorrosion behaviour of Ti-10Zr alloy and porous TiO2-ZrO2 thin film electrochemical formed on Ti-10Zr alloy was evaluated in Fusayama-Mayer artificial saliva solution. Tribocorrosion experiments were performed using a unidirectional pin-on-disc experimental set-up which was mechanically and electrochemically instrumented, under various solicitation conditions. The effect of applied normal force on tribocorrosion performance of the tested materials was determined. Open circuit potential (OCP) measurements performed before, during and after sliding tests were applied in order to determine the tribocorrosion degradation. The applied normal force was found to greatly affect the potential during tribocorrosion experiments, an increase in the normal force inducing a decrease in potential accelerating the depassivation of the materials studied. The results show a decrease in friction coefficient with gradually increasing the normal load. It was proved that the porous TiO2-ZrO2 thin film electrochemical formed on Ti-10Zr alloy lead to an improvement of tribocorrosion resistance compared to non-anodized Ti-10Zr alloy intended for biomedical applications.

  15. Undoped TiO2 particles as photoactive material for integrated metal-semiconductor structures

    International Nuclear Information System (INIS)

    Molina, Joel; Calleja, Wilfrido; Hernández, Luis; Zúñiga, Carlos; Linares, Monico; Wade, F. Javier

    2015-01-01

    Rutile-phase undoped TiO 2 nanoparticles are embedded within an organic SiO 2 matrix and the final dielectric mixture is then deposited by spinning on a thin film of aluminum (previously deposited on glass covers by e-beam evaporation). This so called “horizontal” TiO 2 -SiO 2 /Al/Glass structure is then electrically characterized under dark and light conditions (I-V-light) so that the total resistance of a simple aluminum stripe is measured and correlated before and after UV-Vis irradiation. Compared to dark conditions, excess carriers are photogenerated within the TiO 2 nanoparticles during light exposure and they are directly transferred to both ends of the aluminum stripe after applying a low potential difference (photoresistor). On the other hand, “vertical” structures using ultra-thin titanium films as a gate electrode produce a capacitor in the form of a Metal-Insulator-Metal (MIM) structure. Because of the ultra-thin titanium layer, this gate electrode is highly transparent to all UV-Vis irradiation so that when all carriers are being photogenerated, a vertical transition of these carriers between top/bottom (Ti/Al) electrodes by an applied external electric field would require a shorter distance thus increasing their lifetime before recombination as compared to the horizontal structures. These vertical structures are able to photogenerate carriers more efficiently and they are similar in function to that of a so-called photocapacitor, where all carriers could be efficiently stored within the dielectric itself right after photogeneration. Therefore, a light-driven self-charging capacitor having an efficient storage mechanism of solar energy could be obtained. (full text)

  16. Spray pyrolysed Ru:TiO2 thin film electrodes prepared for electrochemical supercapacitor

    Science.gov (United States)

    Fugare, B. Y.; Thakur, A. V.; Kore, R. M.; Lokhande, B. J.

    2018-04-01

    Ru doped TiO2 thin films are prepared by using 0.06 M aqueous solution of potassium titanium oxalate (pto), and 0.005 M aqueous solution of ruthenium tri chloride (RuCl3) precursors. The deposition was carried on stainless steel (SS) by using well known ultrasonic spray pyrolysis technique (USPT) at 723° K by maintaining the spray rate 12 cc/min and compressed air flow rate 10 Lmin-1. Prepared Ru:TiO2 thin films were characterized by structurally, morphologically and electrochemically. Deposited RuO2 shows amorphous structure and TiO2 shows tetragonal crystal structure with rutile as prominent phase at very low decomposition temperature. SEM micrographs of RuO2 exhibits porous, interconnected, spherical grains type morphology and TiO2 shows porous, nanorods and nanoplates like morphology and also Ru doped TiO2 shows porous, spherical, granular and nanorods type morphology. The electrochemical cyclic voltammetery shows mixed capacitive behavior. The achieved highest value of specific capacitance 2692 F/g was Ru doped TiO2 electrode in 0.5 M H2SO4.

  17. Enhanced photoelectrochemical properties of F-containing TiO2 sphere thin film induced by its novel hierarchical structure

    International Nuclear Information System (INIS)

    Dong Xiang; Tao Jie; Li Yingying; Zhu Hong

    2009-01-01

    The novel nanostructured F-containing TiO 2 (F-TiO 2 ) sphere was directly synthesized on the surface of Ti foil in the solution of NH 4 F and HCl by one-step hydrothermal approach under low-temperature condition. The samples were characterized respectively by means of field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The results showed that the F-TiO 2 sphere was hierarchical structure, which composed of porous octahedron crystals with one truncated cone, leading to a football-like morphology. XPS results indicated that F - anions were just physically adsorbed on the surface of TiO 2 microspheres. The studies on the optical properties of the F-TiO 2 were carried out by UV-vis light absorption spectrum. The surface fluorination of the spheres, the unique nanostructure induced accessible macropores or mesopores, and the increased light-harvesting abilities were crucial for the high photoelectrochemical activity of the synthesized F-TiO 2 sphere for water-splitting. The photocurrent density of the F-TiO 2 sphere thin film was more than two times than that of the P25 thin film. Meanwhile, a formation mechanism was briefly proposed. This approach could provide a facile method to synthesize F-TiO 2 microsphere with a special morphology and hierarchical structure in large scale.

  18. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  19. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    OpenAIRE

    Duarte, D. A.; Massi, M.; da Silva Sobrinho, A. S.

    2014-01-01

    In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototype...

  20. Love Wave Ultraviolet Photodetector Fabricated on a TiO2/ST-Cut Quartz Structure

    Directory of Open Access Journals (Sweden)

    Walter Water

    2014-01-01

    Full Text Available A TiO2 thin film deposited on a 90° rotated 42°45′ ST-cut quartz substrate was applied to fabricate a Love wave ultraviolet photodetector. TiO2 thin films were grown by radio frequency magnetron sputtering. The crystalline structure and surface morphology of TiO2 thin films were examined using X-ray diffraction, scanning electron microscope, and atomic force microscope. The effect of TiO2 thin film thickness on the phase velocity, electromechanical coupling coefficient, temperature coefficient of frequency, and sensitivity of ultraviolet of devices was investigated. TiO2 thin film increases the electromechanical coupling coefficient but decreases the temperature coefficient of frequency for Love wave propagation on the 90° rotated 42°45′ ST-cut quartz. For Love wave ultraviolet photodetector application, the maximum insertion loss shift and phase shift are 2.81 dB and 3.55 degree at the 1.35-μm-thick TiO2 film.

  1. Synergistic effects for the TiO2/RuO2/Pt photodissociation of water

    Energy Technology Data Exchange (ETDEWEB)

    Blondel, G; Harriman, A; Williams, D

    1983-07-01

    Compressed discs of naked TiO2 or TiO2 coated with a thin film of a noble metal (e.g. Pt) do not photodissociate water upon illumination with UV light, but small amounts of H2 are generated if the TiO2 has been reduced in a stream of H2 at 600 C. Discs prepared from mixtures of TiO2/RuO2 facilitate the UV photodissociation of water into H2 and O2 although the yields are very low. When a thin (about 9 nm) film of Pt is applied to the TiO2/RuO2 discs, the yields of H2 and O2 observed upon irradiation with UV light are improved drastically. 25 references.

  2. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    Directory of Open Access Journals (Sweden)

    D. A. Duarte

    2014-01-01

    Full Text Available In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototypes was investigated by current-voltage relations measured under illuminated and dark conditions. The results indicate that, during the film deposition, the control of the oxidation processes of the nitride layers plays a fundamental role for an effective incorporation of substitutional nitrogen in the film structure and cells built with nitrogen-doped TiO2 have higher short-circuit photocurrent in relation to that obtained with conventional DSSCs. On the other hand, DSSCs built with nondoped TiO2 have higher open-circuit voltage. These experimental observations indicate that the incorporation of nitrogen in the TiO2 lattice increases simultaneously the processes of generation and destruction of electric current.

  3. 500 keV Ar2+ ion irradiation induced anatase to brookite phase transformation and ferromagnetism at room temperature in TiO2 thin films

    Science.gov (United States)

    Bharati, B.; Mishra, N. C.; Kanjilal, D.; Rath, Chandana

    2018-01-01

    In our earlier report, where we have demonstrated ferromagnetic behavior at room temperature (RT) in TiO2 thin films deposited through electron beam evaporation technique followed by annealing either in Ar or O2 atmosphere [Mohanty et al., Journal of Magnetism and Magnetic Materials 355 (2014) 240-245], here we have studied the evolution of structure and magnetic properties after irradiating the TiO2 thin films with 500 keV Ar2+ ions. The pristine film while exhibits anatase phase, the films become amorphous after irradiating at fluence in the range 1 × 1014 to 1 × 1016 ions/cm2. Increasing the fluence up to 5 × 1016 ions/cm2, amorphous to crystalline phase transformation occurs and the structure becomes brookite. Although anatase to rutile phase transformation is usually reported in literatures, anatase to brookite phase transformation is an unusual feature which we have reported here for the first time. Such anatase to brookite phase transformation is accompanied with grain growth without showing any change in film thickness evidenced from Rutherford's Back Scattering (RBS) measurement. From scanning probe micrographs (SPM), roughness is found to be more in amorphous films than in the crystalline ones. Anatase to brookite phase transformation could be realized by considering the importance of intermediate amorphous phase. Because due to amorphous phase, heat deposited by energetic ions are localized as dissipation of heat is less and as a result, the localized region crystallizes in brookite phase followed by grain growth as observed in highest fluence. Further, we have demonstrated ferromagnetic behavior at RT in irradiated films similar to pristine one, irrespective of their phase and crystallinity. Origin for room temperature ferromagnetism (RTFM) is attributed to the presence of oxygen vacancies which is confirmed by carrying out XPS measurement.

  4. A promising tritium breeding material: Nanostructured 2Li2TiO3-Li4SiO4 biphasic ceramic pebbles

    Science.gov (United States)

    Dang, Chen; Yang, Mao; Gong, Yichao; Feng, Lan; Wang, Hailiang; Shi, Yanli; Shi, Qiwu; Qi, Jianqi; Lu, Tiecheng

    2018-03-01

    As an advanced tritium breeder material for the fusion reactor blanket of the International Thermonuclear Experimental Reactor (ITER), Li2TiO3-Li4SiO4 biphasic ceramic has attracted widely attention due to its merits. In this paper, the uniform precursor powders were prepared by hydrothermal method, and nanostructured 2Li2TiO3-Li4SiO4 biphasic ceramic pebbles were fabricated by an indirect wet method at the first time. In addition, the composition dependence (x/y) of their microstructure characteristics and mechanical properties were investigated. The results indicated that the crush load of biphasic ceramic pebbles was better than that of single phase ceramic pebbles under identical conditions. The 2Li2TiO3-Li4SiO4 ceramic pebbles have good morphology, small grain size (90 nm), satisfactory crush load (37.8 N) and relative density (81.8 %T.D.), which could be a promising breeding material in the future fusion reactor.

  5. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  6. Preparación y propiedades de materiales cerámicos bioinertes en el sistema Al2O3-TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Boccaccini, A. R.

    1998-12-01

    Full Text Available Very fine and sinterable ceramic powders (100-600 nm in the system Al2O3-TiO2-SiO2 were obtained by the method of cohydrolisis from organo-metallic precursors. Isostatically pressed powder compacts could be densified to a relative high density (~ 92 % th. density at relative low temperatures (1320-1380ºC. The technical coefficient of thermal expansion was measured by dilatometry. The value obtained (8.8 10-6 1/ºC corresponds closely to that of Ti, opening the possibility to use Al2O3-TiO2-SiO2 ceramics to fabricate metal/ceramic composite implants. The measured mechanical properties of dense sintered Al2O3-TiO2-SiO2 material: Young´s modulus, flexure strength and compression strength, are higher than those of pure TiO2. Highly porous Al2O3-TiO2-SiO2 ceramics (P~ 65% were obtained by the method of evaporation of hydrogen peroxide. These materials exhibited interconnected porosity and their properties, particularly the Young´s modulus, resulted very similar to those of bone, which is an important pre-requisite for the design of quirurgical implants.Se han obtenido polvos cerámicos muy finos (100- 600 nm y de alta sinterabilidad, en el sistema Al2O3-TiO2-SiO2, por el método de co-hidrólisis controlada a partir de precursores organo-metálicos. Los compactos fabricados a partir del polvo de cohidrólisis calcinado fueron sinterizados en el rango de temperaturas 1320-1380 ºC, obteniéndose densidades elevadas (~ 92% D.T.. El coeficiente de expansión térmica técnico del material cerámico sinterizado fue medido por dilatometría. El valor obtenido, 8.8 10-6 ºC-1, es muy similar al de titanio metálico y por lo tanto el material cerámico Al2O3-TiO2-SiO2 puede ser candidato para la fabricación de implantes compuestos cerámico/metal. Las propiedades mecánicas: módulo de elasticidad, resistencia a la flexión y resistencia a la compresión, del material denso sinterizado, fueron determinadas, resultando muy superiores a las de TiO2 puro

  7. Efectos de fotodegradación propiciados por recubrimientos de TiO2 y TiO2-SiO2 obtenidos por Sol-Gel

    Directory of Open Access Journals (Sweden)

    Rodriguez Paez, J. E.

    2008-10-01

    Full Text Available Photodegradation effect is widely used for water purification this contributes to preservation and protection of environment. Titanium oxide, (TiO2, is a compound that shows up this phenomenon. TiO2 is a semiconductor which may degradate pollutants through of a oxidation process. It permit the treatment of the residual water. It this work we has conformed coatings of TiO2 y TiO2-SiO2, utilized Sol-Gel method and investigated the degradation of the blue Methylene. For this, we introduced these coatings in the blue methylene solution which was illuminated with radiation of λ=365nm to activate its photocatilist properties. The structures of the coatings were characterized using Atomic Force Microscopy (AFM and X-ray Photoelectron Spectroscopy (XPS.El efecto de fotodegradación es ampliamente utilizado para la purificación del agua, acción que contribuye a la conservación y protección del medio ambiente; el óxido de titanio (TiO2 es uno de los semiconductores que pueden degradar contaminantes mediante procesos de oxidación, lo que lo hace apto para el tratamiento de aguas residuales. En este trabajo se conformaron recubrimientos de TiO2 y TiO2-SiO2, por el método Sol-Gel, y se estudio la degradación que experimentaba una solución de azul de metileno al introducirle estos recubrimientos e iluminarlos con una radiación de λ=365nm para activar su propiedad fotocatalítica. Los recubrimientos fueron caracterizados microestructuralmente utilizando Microscopía de Fuerza Atómica (MFA y Espectroscopía de Fotoelectrones de rayos X (XPS. Los resultados obtenidos de los ensayos de fotodegradación indican que los recubrimientos con una cantidad pequeña de silicio presentan un mayor efecto de fotodegradación indicando que el silicio puede generar puntos de anclaje que facilitan las reacciones de fotocatálisis. Por otro lado, la formación de centros activos, constituidos principalmente por carbono, también contribuyeron al desarrollo de estas

  8. Regulation of the forming process and the set voltage distribution of unipolar resistance switching in spin-coated CoFe2O4 thin films.

    Science.gov (United States)

    Mustaqima, Millaty; Yoo, Pilsun; Huang, Wei; Lee, Bo Wha; Liu, Chunli

    2015-01-01

    We report the preparation of (111) preferentially oriented CoFe2O4 thin films on Pt(111)/TiO2/SiO2/Si substrates using a spin-coating process. The post-annealing conditions and film thickness were varied for cobalt ferrite (CFO) thin films, and Pt/CFO/Pt structures were prepared to investigate the resistance switching behaviors. Our results showed that resistance switching without a forming process is preferred to obtain less fluctuation in the set voltage, which can be regulated directly from the preparation conditions of the CFO thin films. Therefore, instead of thicker film, CFO thin films deposited by two times spin-coating with a thickness about 100 nm gave stable resistance switching with the most stable set voltage. Since the forming process and the large variation in set voltage have been considered as serious obstacles for the practical application of resistance switching for non-volatile memory devices, our results could provide meaningful insights in improving the performance of ferrite material-based resistance switching memory devices.

  9. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  10. Enhancement of electron transfer from CdSe core/shell quantum dots to TiO2 films by thermal annealing

    International Nuclear Information System (INIS)

    Shao, Cong; Meng, Xiangdong; Jing, Pengtao; Sun, Mingye; Zhao, Jialong; Li, Haibo

    2013-01-01

    We demonstrated the enhancement of electron transfer from CdSe/ZnS core/shell quantum dots (QDs) to TiO 2 films via thermal annealing by means of steady-state and time-resolved photoluminescence (PL) spectroscopy. The significant decrease in PL intensities and lifetimes of the QDs on TiO 2 films was clearly observed after thermal annealing at temperature ranging from 100 °C to 300 °C. The obtained rates of electron transfer from CdSe core/shell QDs with red, yellow, and green emissions to TiO 2 films were significantly enhanced from several times to an order of magnitude (from ∼10 7 s −1 to ∼10 8 s −1 ). The improvement in efficiencies of electron transfer in the TiO 2 /CdSe QD systems was also confirmed. The enhancement could be considered to result from the thermal annealing reduced distance between CdSe QDs and TiO 2 films. The experimental results revealed that thermal annealing would play an important role on improving performances of QD based optoelectronic devices. -- Highlights: • Annealing-induced enhancement of electron transfer from CdSe to TiO 2 is reported. • CdSe QDs on TiO 2 and SiO 2 films are annealed at various temperatures. • Steady-state and time-resolved PL spectroscopy of CdSe QDs is studied. • The enhancement is related to the reduced distance between CdSe QDs and TiO 2

  11. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  12. Performance of NiFe2O4-SiO2-TiO2 Magnetic Photocatalyst for the Effective Photocatalytic Reduction of Cr(VI in Aqueous Solutions

    Directory of Open Access Journals (Sweden)

    Mike O. Ojemaye

    2017-01-01

    Full Text Available Investigation into the reduction of Cr(VI in aqueous solution was carried out through some batch photocatalytic studies. The photocatalysts used were silica coated nickel ferrite nanoparticles (NiFe2O4-SiO2, nickel ferrite titanium dioxide (NiFe2O4-TiO2, nickel ferrite silica titanium dioxide (NiFe2O4-SiO2-TiO2, and titanium dioxide (TiO2. The characterization of the materials prepared via stepwise synthesis using coprecipitation and sol-gel methods were carried out with the aid of X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared (FTIR spectroscopy, thermal gravimetric analysis (TGA, and vibrating sample magnetometry (VSM. The reduction efficiency was studied as a function of pH, photocatalyst dose, and contact time. The effects of silica interlayer between the magnetic photocatalyst materials reveal that reduction efficiency of NiFe2O4-SiO2-TiO2 towards Cr(VI was higher than that of NiFe2O4-TiO2. However, TiO2 was observed to have the highest reduction efficiency at all batch photocatalytic experiments. Kinetics study shows that photocatalytic reduction of Cr(VI obeyed Langmuir-Hinshelwood model and first-order rate kinetics. Regenerability study also suggested that the photocatalyst materials can be reused.

  13. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  14. LOW-TEMPERATURE SINTERED (ZnMg2SiO4 MICROWAVE CERAMICS WITH TiO2 ADDITION AND CALCIUM BOROSILICATE GLASS

    Directory of Open Access Journals (Sweden)

    BO LI

    2011-03-01

    Full Text Available The low-temperature sintered (ZnMg2SiO–TiO2 microwave ceramic using CaO–B2O3–SiO2 (CBS as a sintering aid has been developed. Microwave properties of (Zn1-xMgx2SiO4 base materials via sol-gel method were highly dependent on the Mg-substituted content. Further, effects of CBS and TiO2 additives on the crystal phases, microstructures and microwave characteristics of (ZnMg2SiO4 (ZMS ceramics were investigated. The results indicated that CBS glass could lower the firing temperature of ZMS dielectrics effectively from 1170 to 950°C due to the liquid-phase effect, and significantly improve the sintering behavior and microwave properties of ZMS ceramics. Moreover, ZMS–TiO2 ceramics showed the biphasic structure and the abnormal grain growth was suppressed by the pinning effect of second phase TiO2. Proper amount of TiO2 could tune the large negative temperature coefficient of resonant frequency (tf of ZMS system to a near zero value. (Zn0.8Mg0.22SiO4 codoped with 10 wt.% TiO2 and 3 wt.% CBS sintered at 950°C exhibits the dense microstructure and excellent microwave properties: εr = 9.5, Q·f = 16 600 GHz and tf = −9.6 ppm/°C.

  15. Suppression of dewetting phenomena during excimer laser melting of thin metal films on SiO2

    International Nuclear Information System (INIS)

    Kline, J.E.; Leonard, J.P.

    2005-01-01

    Pulsed excimer laser irradiation has been used to fully melt 200 nm films of elemental Au and Ni on SiO 2 substrates. With the use of a capping layer of SiO 2 and line irradiation via projection optics, the typical liquid-phase dewetting processes associated with these metals on SiO 2 has been suppressed. In a series of experiments varying line widths and fluence, a process region is revealed immediately above the complete melting threshold for which the films remain continuous and smooth after melting and resolidification. Simple energetic arguments for mechanisms leading to initiation of dewetting support these observations, and a gas-mediated model is proposed to describe the process conditions that are necessary for the suppression of dewetting

  16. Elaboration and Characterization of TiO2 and Study of the Influence of The Number of Thin Films on the Methylene Blue Adsorption Rate

    Science.gov (United States)

    Madoui, Karima; Medjahed, Aicha; Hamici, Melia; Djamila, Abdi; Boudissa, Mokhtar

    2018-05-01

    Thin films of titanium oxide (TiO2) deposited on glass substrates were fabricated by using the sol-gel route. The realization of these thin layers was made using the dip-coating technique with a solution of titanium isopropoxyde as a precursor. The samples prepared with different numbers of deposited layers were annealed at 400 ° C for 2 hours. The main purposes of this work were investigations of both the effect of the number of thin TiO2 layers on the crystal structure of the anatase form first and, their ability to adsorb the solution of methylene blue in order to make colored filters from a photocatalytic process. The deposited titanium-oxide layers were characterized by using various techniques: namely, X-ray diffraction (XRD), Raman spectroscopy, atomic force microscopy (AFM) and UV-Visible spectrometry. The result obtained by using the XRD technique showed the appearance of an anatase phase, as was confirmed by using Raman spectroscopy. The AFM surface analysis allowed the surface topography to be characterized and the surface roughness to be measured, which increased with increasing number of layers. The UV-Visible spectra showed that the TiO2 films had a good transmittance varying from 65% to 95% according to the number of layers. The gap energy varied as a function of the number of deposited layers. The as deposited TiO2 layers were tested as a photocatalyst towards the adsorption of methylene blue dye. The results obtained during this study showed that the adsorption capacity varied according to the number of deposited thin layers and the exposing duration to ultraviolet (UV) light. The maximum absorption rate of the dye was obtained for the two-layer sample. Seventy-two hours of irradiation allowed the adsorption intensity of the dye to be maximized for two-layer films.

  17. Optical and electrical properties of Ti(Cr)O_2:N thin films deposited by magnetron co-sputtering

    International Nuclear Information System (INIS)

    Kollbek, K.; Szkudlarek, A.; Marzec, M.M.; Lyson-Sypien, B.; Cecot, M.; Bernasik, A.; Radecka, M.; Zakrzewska, K.

    2016-01-01

    Graphical abstract: - Highlights: • Co-doped well-crystallized stoichiometric Ti(Cr)O_2:N thin films are deposited. • Magnetron sputtering of ceramic TiO_2 target is a new strategy for co-doping. • Bigger contribution from substitutionally incorporated nitrogen is seen in XPS. • Significant red shift of the fundamental absorption edge is obtained. - Abstract: The paper deals with TiO_2-based thin films, doped with Cr and N, obtained by magnetron co-sputtering from titanium dioxide ceramic and chromium targets in Ar + N_2 atmosphere. Co-doped samples of Ti(Cr)O_2:N are investigated from the point of view of morphological, crystallographic, optical, and electrical properties. Characterization techniques such as: X-ray diffraction, XRD, scanning electron microscopy, SEM, atomic force microscopy, AFM, Energy Dispersive X-ray spectroscopy, EDX, X-ray photoelectron spectroscopy, XPS, optical spectrophotometry as well as impedance spectroscopy are applied. XRD reveals TiO_2 and TiO_2:N thin films are well crystallized as opposed to those of TiO_2:Cr and Ti(Cr)O_2:N. XPS spectra confirm that co-doping has been successfully performed with the biggest contribution from the lower binding energy component of N 1s peak at 396 eV. SEM analysis indicates uniform and dense morphology without columnar growth. Comparison between the band gaps indicates a significant shift of the absorption edge towards visible range from 3.69 eV in the case of non-stoichiometric Ti(Cr)O_2_−_x:N to 2.78 eV in the case of stoichiometric Ti(Cr)O_2:N which should be attributed to the incorporation of both dopants at substitutional positions in TiO_2 lattice. Electrical conductivity of stoichiometric Ti(Cr)O_2:N increases in comparison to co-doped nonstoichiometric TiO_2_−_x thin film and reaches almost the same value as that of TiO_2 stoichiometric film.

  18. Optical properties of amorphous Ba0.7Sr0.3TiO3 thin films obtained by metal organic decomposition technique

    Science.gov (United States)

    Qiu, Fei; Xu, Zhimou

    2009-08-01

    In this study, the amorphous Ba0.7Sr0.3TiO3 (BST0.7) thin films were grown onto fused quartz and silicon substrates at low temperature by using a metal organic decomposition (MOD)-spin-coating procedure. The optical transmittance spectrum of amorphous BST0.7 thin films on fused quartz substrates has been recorded in the wavelength range 190~900 nm. The films were highly transparent for wavelengths longer than 330 nm; the transmission drops rapidly at 330 nm, and the cutoff wavelength occurs at about 260 nm. In addition, we also report the amorphous BST0.7 thin film groove-buried type waveguides with 90° bent structure fabricated on Si substrates with 1.65 μm thick SiO2 thermal oxide layer. The design, fabrication and optical losses of amorphous BST0.7 optical waveguides were presented. The amorphous BST0.7 thin films were grown onto the SiO2/Si substrates by using a metal organic decomposition (MOD)-spin-coating procedure. The optical propagation losses were about 12.8 and 9.4 dB/cm respectively for the 5 and 10 μm wide waveguides at the wavelength of 632.8 nm. The 90° bent structures with a small curvature of micrometers were designed on the basis of a double corner mirror structure. The bend losses were about 1.2 and 0.9 dB respectively for 5 and 10 μm wide waveguides at the wavelength of 632.8 nm. It is expected for amorphous BST0.7 thin films to be used not only in the passive optical interconnection in monolithic OEICs but also in active waveguide devices on the Si chip.

  19. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  20. Preparation of Anatase TiO2 Thin Films with (OiPr)2Ti(CH3COCHCONEt2)2 Precursor by MOCVD

    International Nuclear Information System (INIS)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T.; Lee, Kwang Yeol; Kim, Keun Chong

    2004-01-01

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O i Pr) 2 (CH 3 COCHCONEt 2 ) 2 (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and 1 H/ 13 C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt 2 ), trans(COCH 3 ) configuration (1a) in a distorted octahedral environment. Variable-temperature 1 H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d 8 solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method

  1. Preparation and properties of TiO2 films by complexing agent-assisted sol-gel method. Yuki haiishi wo mochiita sol gel ho ni yoru TiO2 usumaku no sakusei to seishitsu

    Energy Technology Data Exchange (ETDEWEB)

    Nishide, T [Nissan Motor Co. Ltd., Tokyo (Japan); Mizukami, F [National Chemical Laboratory for Industry, Tsukuba (Japan)

    1992-09-01

    In order to control optical properties of TiO2 thin films, the TiO2 films were prepared by the sol-gel method using glycols or their ether derivatives as organic ligands to study the effect of the organic ligands on the refractive indices and crystal phases. Samples were prepared as follows: Organic ligand was added into the ethanol solution of Ti(O-iso-pr) 4, and aqueous nitric acid solution was added after reflux, and sol obtained by subsequent reflux was applied on soda lime glass or silicon wafer substrates, which were baked at 400 to 900 centigrade. Three kinds of ligand such as 2-(2-methoxyethoxy) ethanol were used. Measured items are the film thickness, thermal characteristics, crystal phase and refractive indices of thin films. The key points are as follows: In case of TiO2 thin films on the soda lime glass substrate, the changing pattern of refractive indices by temperature changes with the kind of ligand used and further the peak strength of anatase changes with the kind of ligand used. In case of silicon wafer substrates, the peak strength of anatase and rutile changes with the kind of ligand. The refractive indices and crystal phase can be controlled by properly using the ligand. 7 refs., 11 figs.

  2. Highly antibacterial activity of N-doped TiO2 thin films coated on stainless steel brackets under visible light irradiation

    International Nuclear Information System (INIS)

    Cao, Shuai; Liu, Bo; Fan, Lingying; Yue, Ziqi; Liu, Bin; Cao, Baocheng

    2014-01-01

    In this study, the radio frequency (RF) magnetron sputtering method was used to prepare a TiO 2 thin film on the surface of stainless steel brackets. Eighteen groups of samples were made according to the experimental parameters. The crystal structure and surface morphology were characterized by X-ray diffraction, and scanning electron microscopy, respectively. The photocatalytic properties under visible light irradiation were evaluated by measuring the degradation ratio of methylene blue. The sputtering temperature was set at 300 °C, and the time was set as 180 min, the ratio of Ar to N was 30:1, and annealing temperature was set at 450 °C. The thin films made under these parameters had the highest visible light photocatalytic activity of all the combinations of parameters tested. Antibacterial activities of the selected thin films were also tested against Lactobacillus acidophilus and Candida albicans. The results demonstrated the thin film prepared under the parameters above showed the highest antibacterial activity.

  3. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  4. Fine control of the amount of preferential <001> orientation in DC magnetron sputtered nanocrystalline TiO2 films

    International Nuclear Information System (INIS)

    Stefanov, B; Granqvist, C G; Österlund, L

    2014-01-01

    Different crystal facets of anatase TiO 2 are known to have different chemical reactivity; in particular the {001} facets which truncates the bi-tetrahedral anatase morphology are reported to be more reactive than the usually dominant {101} facets. Anatase TiO 2 thin films were deposited by reactive DC magnetron sputtering in Ar/O 2 atmosphere and were characterized using Rietveld refined grazing incidence X-ray diffraction, atomic force microscopy and UV/Vis spectroscopy. By varying the partial O2 pressure in the deposition chamber, the degree of orientation of the grains in the film could be systematically varied with preferred <001> orientation changing from random upto 39% as determined by March-Dollase method. The orientation of the films is shown to correlate with their reactivity, as measured by photo-degradation of methylene blue in water solutions. The results have implications for fabrication of purposefully chemically reactive thin TiO 2 films prepared by sputtering methods

  5. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  6. Electron Microscopy Characterization of Vanadium Dioxide Thin Films and Nanoparticles

    Science.gov (United States)

    Rivera, Felipe

    Vanadium dioxide (VO_2) is a material of particular interest due to its exhibited metal to insulator phase transition at 68°C that is accompanied by an abrupt and significant change in its electronic and optical properties. Since this material can exhibit a reversible drop in resistivity of up to five orders of magnitude and a reversible drop in infrared optical transmission of up to 80%, this material holds promise in several technological applications. Solid phase crystallization of VO_2 thin films was obtained by a post-deposition annealing process of a VO_{x,x approx 2} amorphous film sputtered on an amorphous silicon dioxide (SiO_2) layer. Scanning electron microscopy (SEM) and electron-backscattered diffraction (EBSD) were utilized to study the morphology of the solid phase crystallization that resulted from this post-deposition annealing process. The annealing parameters ranged in temperature from 300°C up to 1000°C and in time from 5 minutes up to 12 hours. Depending on the annealing parameters, EBSD showed that this process yielded polycrystalline vanadium dioxide thin films, semi-continuous thin films, and films of isolated single-crystal particles. In addition to these films on SiO_2, other VO_2 thin films were deposited onto a-, c-, and r-cuts of sapphire and on TiO_2(001) heated single-crystal substrates by pulsed-laser deposition (PLD). The temperature of the substrates was kept at ˜500°C during deposition. EBSD maps and orientation imaging microscopy were used to study the epitaxy and orientation of the VO_2 grains deposited on the single crystal substrates, as well as on the amorphous SiO_2 layer. The EBSD/OIM results showed that: 1) For all the sapphire substrates analyzed, there is a predominant family of crystallographic relationships wherein the rutile VO_2{001} planes tend to lie parallel to the sapphire's {10-10} and the rutile VO_2{100} planes lie parallel to the sapphire's {1-210} and {0001}. Furthermore, while this family of

  7. Structure and Properties of La2O3-TiO2 Nanocomposite Films for Biomedical Applications

    Science.gov (United States)

    Zhang, Lin; Sun, Zhi-Hua; Yu, Feng-Mei; Chen, Hong-Bin

    2011-01-01

    The hemocompatibility of La2O3-doped TiO2 films with different concentration prepared by radio frequency (RF) sputtering was studied. The microstructures and blood compatibility of TiO2 films were investigated by scan electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and UV-visible optical absorption spectroscopy, respectively. With the increasing of the La2O3 concentrations, the TiO2 films become smooth, and the grain size becomes smaller. Meanwhile, the band gap of the samples increases from 2.85 to 3.3 eV with increasing of the La2O3 content in TiO2 films from 0 to 3.64%. La2O3-doped TiO2 films exhibit n-type semiconductor properties due to the existence of Ti2+ and Ti3+. The mechanism of hemocompatibility of TiO2 film doped with La2O3 was analyzed and discussed. PMID:22162671

  8. Dye-Sensitized Solar Cells with Anatase TiO2 Nanorods Prepared by Hydrothermal Method

    Directory of Open Access Journals (Sweden)

    Ming-Jer Jeng

    2013-01-01

    Full Text Available The hydrothermal method provides an effective reaction environment for the synthesis of nanocrystalline materials with high purity and well-controlled crystallinity. In this work, we started with various sizes of commercial TiO2 powders and used the hydrothermal method to prepare TiO2 thin films. We found that the synthesized TiO2 nanorods were thin and long when smaller TiO2 particles were used, while larger TiO2 particles produced thicker and shorter nanorods. We also found that TiO2 films prepared by TiO2 nanorods exhibited larger surface roughness than those prepared by the commercial TiO2 particles. It was found that a pure anatase phase of TiO2 nanorods can be obtained from the hydrothermal method. The dye-sensitized solar cells fabricated with TiO2 nanorods exhibited a higher solar efficiency than those fabricated with commercial TiO2 nanoparticles directly. Further, triple-layer structures of TiO2 thin films with different particle sizes were investigated to improve the solar efficiency.

  9. Annealing time dependence of the physical, electrical and pH response characteristics of spin coated TiO2 thin films

    International Nuclear Information System (INIS)

    Zulkefle, M A; Rahman, R A; Yusoff, K A; Herman, S H; Abdullah, W F H; Rusop, M

    2015-01-01

    Titanium dioxide (TiO 2 ) thin film was deposited on indium tin oxide (ITO) substrate and used as sensing membrane of EGFET pH sensor. The thin film was fabricated using sol- gel spin coating method. All samples were annealed at 400 °C but the annealing time was varied. This is done to study the effects of annealing time on physical and electrical properties of titanium dioxide thin film. The sensitivity of each sample towards H + ion was measured and result shows that sample annealed for 45 minutes has the highest sensitivity (52.6 mV/pH). It is found that increasing annealing duration will increase the pH sensitivity but a limit will be reached at certain point. Longer annealing processes done beyond this point will results in lower pH sensitivity. (paper)

  10. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  11. Low-temperature sputtering of crystalline TiO2 films

    International Nuclear Information System (INIS)

    Musil, J.; Herman, D.; Sicha, J.

    2006-01-01

    This article reports on the investigation of reactive magnetron sputtering of transparent, crystalline titanium dioxide films. The aim of this investigation is to determine a minimum substrate surface temperature T surf necessary to form crystalline TiO 2 films with anatase structure. Films were prepared by dc pulsed reactive magnetron sputtering using a dual magnetron operating in bipolar mode and equipped with Ti(99.5) and ceramic Ti 5 O 9 targets. The films were deposited on unheated glass substrates and their structure was characterized by x-ray diffraction and surface morphology by atomic force microscopy. Special attention is devoted to the measurement of T surf using thermostrips pasted to the glass substrate. It was found that (1) T surf is considerably higher (approximately by 100 deg. C or more) than the substrate temperature T s measured by the thermocouple incorporated into the substrate holder and (2) T surf strongly depends on the substrate-to-target distance d s-t , the magnetron target power loading, and the thermal conductivity of the target and its cooling. The main result of this study is the finding that (1) the crystallization of sputtered TiO 2 films depends not only on T surf but also on the total pressure p T of sputtering gas (Ar+O 2 ), partial pressure of oxygen p O 2 , the film deposition rate a D , and the film thickness h (2) crystalline TiO 2 films with well developed anatase structure can be formed at T surf =160 deg. C and low values of a D ≅5 nm/min (3) the crystalline structure of TiO 2 film gradually changes from (i) anatase through (ii) anatase+rutile mixture, and (iii) pure rutile to x-ray amorphous structure at T surf =160 deg. C and p T =0.75 Pa when p O 2 decreases and a D increases above 5 nm/min, and (4) crystallinity of the TiO 2 films decreases with decreasing h and T surf . Interrelationships between the structure of TiO 2 film, its roughness, T surf , and a D are discussed in detail. Trends of next development are

  12. Thin film nano-photocatalyts with low band gap energy for gas phase degradation of p-xylene: TiO2 doped Cr, UiO66-NH2 and LaBO3 (B  =  Fe, Mn, and Co)

    Science.gov (United States)

    Loc Luu, Cam; Thuy Van Nguyen, Thi; Nguyen, Tri; Nguyen, Phung Anh; Hoang, Tien Cuong; Ha, Cam Anh

    2018-03-01

    By dip-coating technique the thin films of nano-photocatalysts TiO2, Cr-doped TiO2, LaBO3 perovskites (B  =  Fe, Mn, and Co) prepared by sol-gel method, and UiO66-NH2 prepared by a solvothermal were obtained and employed for gas phase degradation of p-xylene. Physicochemical characteristics of the catalysts were examined by the methods of BET, SEM, TEM, XRD, FT-IR, TGA, Raman and UV-vis spectroscopies. The thickness of film was determined by a Veeco-American Dektek 6M instrument. The activity of catalysts was evaluated in deep photooxidation of p-xylene in a microflow reactor at room temperature with the radiation sources of a UV (λ  =  365 nm) and LED lamps (λ  =  400-510 nm). The obtained results showed that TiO2 and TiO2 doped Cr thin films was featured by an anatase phase with nanoparticles of 10-100 nm. Doping TiO2 with 0.1%mol Cr2O3 led to reduce band gap energy from 3.01 down to 1.99 eV and extend the spectrum of photon absorption to the visible region (λ  =  622 nm). LaBO3 perovkite thin films were also featured by a crystal phase with average particle nanosize of 8-40 nm, a BET surface area of 17.6-32.7 m2 g-1 and band gap energy of 1.87-2.20 eV. UiO66-NH2 was obtained in the ball shape of 100-200 nm, a BET surface area of 576 m2 g-1 and a band gap energy of 2.83 eV. The low band gap energy nano-photocatalysts based on Cr-doped TiO2 and LaBO3 perovskites exhibited highly stable and active for photo-degradation of p-xylene in the gas phase under radiation of UV-vis light. Perovskite LaFeO3 and Cr-TiO2 thin films were the best photocatalysts with a decomposition yield being reached up to 1.70 g p-xylene/g cat.

  13. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  14. Biomedical bandpass filter for fluorescence microscopy imaging based on TiO2/SiO2 and TiO2/MgF2 dielectric multilayers

    International Nuclear Information System (INIS)

    Butt, M A; Fomchenkov, S A; Verma, P; Khonina, S N; Ullah, A

    2016-01-01

    We report a design for creating a multilayer dielectric optical filters based on TiO 2 and SiO 2 /MgF 2 alternating layers. We have selected Titanium dioxide (TiO 2 ) for high refractive index (2.5), Silicon dioxide (SiO 2 ) and Magnesium fluoride (MgF 2 ) as a low refractive index layer (1.45 and 1.37) respectively. Miniaturized visible spectrometers are useful for quick and mobile characterization of biological samples. Such devices can be fabricated by using Fabry-Perot (FP) filters consisting of two highly reflecting mirrors with a central cavity in between. Distributed Bragg Reflectors (DBRs) consisting of alternating high and low refractive index material pairs are the most commonly used mirrors in FP filters, due to their high reflectivity. However, DBRs have high reflectivity for a selected range of wavelengths known as the stopband of the DBR. This range is usually much smaller than the sensitivity range of the spectrometer range. Therefore a bandpass filters are required to restrict wavelength outside the stopband of the FP DBRs. The proposed filter shows a high quality with average transmission of 97.4% within the passbands and the transmission outside the passband is around 4%. Special attention has been given to keep the thickness of the filters within the economic limits. It can be suggested that these filters are exceptional choice for florescence imaging and Endoscope narrow band imaging. (paper)

  15. Semi-transparent ordered TiO_2 nanostructures prepared by anodization of titanium thin films deposited onto the FTO substrate

    International Nuclear Information System (INIS)

    Szkoda, Mariusz; Lisowska-Oleksiak, Anna; Grochowska, Katarzyna; Skowroński, Łukasz; Karczewski, Jakub; Siuzdak, Katarzyna

    2016-01-01

    Highlights: • High quality titanium coatings were doposited using industrial magnetron sputtering equipment. • Semi-transparent TiO_2 were prepared via anodization realized in various conditions. • Depending on electrolyte type, ordered tubular or porous TiO_2 layers were obtained. • Prepared material can act as semiconducting layer in photovoltaic cells. - Abstract: In a significant amount of cases, the highly ordered TiO_2 nanotube arrays grow through anodic oxidation of a titanium metal plate immersed in electrolyte containing fluoride ions. However, for some practical applications, e.g. solar cells or electrochromic windows, the semi-transparent TiO_2 formed directly on the transparent, conductive substrate is very much desired. This work shows that high-quality Ti coating could be formed at room temperature using an industrial magnetron sputtering system within 50 min. Under optimized conditions, the anodization process was performed on 2 μm titanium films deposited onto the FTO (fluorine-tin-oxide) support. Depending on the electrolyte type, highly ordered tubular or porous titania layers were obtained. The fabricated samples, after their thermal annealing, were investigated using scanning electron microscopy, Raman spectroscopy and UV–vis spectroscopy in order to investigate their morphology, crystallinity and absorbance ability. The photocurrent response curves indicate that materials are resistant to the photocorrosion process and their activity is strongly connected to optical properties. The most transparent TiO_2 films were fabricated when Ti was anodized in water electrolyte, whereas the highest photocurrent densities (12 μA cm"−"2) were registered for titania received after Ti anodization in ethylene glycol solution. The obtained results are of significant importance in the production of thin, semi-transparent titania nanostructures on a commercial scale.

  16. Degradation of gas-phase trichloroethylene over thin-film TiO2 photocatalyst in multi-modules reactor

    International Nuclear Information System (INIS)

    Kim, Sang Bum; Lee, Jun Yub; Kim, Gyung Soo; Hong, Sung Chang

    2009-01-01

    The present paper examined the photocatalytic degradation (PCD) of gas-phase trichloroethylene (TCE) over thin-film TiO 2 . A large-scale treatment of TCE was carried out using scale-up continuous flow photo-reactor in which nine reactors were arranged in parallel and series. The parallel or serial arrangement is a significant factor to determine the special arrangement of whole reactor module as well as to compact the multi-modules in a continuous flow reactor. The conversion of TCE according to the space time was nearly same for parallel and serial connection of the reactors.

  17. Highly flexible self-standing film electrode composed of mesoporous rutile TiO2/C nanofibers for lithium-ion batteries

    International Nuclear Information System (INIS)

    Zhao Bote; Cai Rui; Jiang Simin; Sha Yujing; Shao Zongping

    2012-01-01

    There is increasing interest in flexible, safe, high-power thin-film lithium-ion batteries which can be applied to various modern devices. Although TiO 2 in rutile phase is highly attractive as an anode material of lithium-ion batteries for its high thermal stability and theoretical capacity of 336 mA h g −1 and low price, its inflexibility and sluggish lithium intercalation kinetics of bulk phase strongly limit its practical application for particular in thin-film electrode. Here we show a simple way to prepare highly flexible self-standing thin-film electrodes composed of mesoporous rutile TiO 2 /C nanofibers with low carbon content ( 2 in as-fabricated nanofibers. Big size (10 cm × 4 cm), flexible thin film is obtained after heat treatment under 10%H 2 –Ar at 900 °C for 3 h. After optimization, the diameter of fibers can reach as small as ∼110 nm, and the as-prepared rutile TiO 2 films show high initial electrochemical activity with the first discharge capacity as high as 388 mA h g −1 . What is more, very stable reversible capacities of ∼122, 92, and 70 mA h g −1 are achieved respectively at 1, 5 and 10 C rates with negligible decay rate within 100 cycling times.

  18. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  19. Dielectric properties of BaMg1/3Nb2/3O3 doped Ba0.45Sr0.55Tio3 thin films for tunable microwave applications

    Science.gov (United States)

    Alema, Fikadu; Pokhodnya, Konstantin

    2015-11-01

    Ba(Mg1/3Nb2/3)O3 (BMN) doped and undoped Ba0.45Sr0.55TiO3 (BST) thin films were deposited via radio frequency magnetron sputtering on Pt/TiO2/SiO2/Al2O3 substrates. The surface morphology and chemical state analyses of the films have shown that the BMN doped BST film has a smoother surface with reduced oxygen vacancy, resulting in an improved insulating properties of the BST film. Dielectric tunability, loss, and leakage current (LC) of the undoped and BMN doped BST thin films were studied. The BMN dopant has remarkably reduced the dielectric loss (˜38%) with no significant effect on the tunability of the BST film, leading to an increase in figure of merit (FOM). This is attributed to the opposing behavior of large Mg2+ whose detrimental effect on tunability is partially compensated by small Nb5+ as the two substitute Ti4+ in the BST. The coupling between MgTi″ and VO•• charged defects suppresses the dielectric loss in the film by cutting electrons from hopping between Ti ions. The LC of the films was investigated in the temperature range of 300-450K. A reduced LC measured for the BMN doped BST film was correlated to the formation of defect dipoles from MgTi″, VO•• and NbTi• charged defects. The carrier transport properties of the films were analyzed in light of Schottky thermionic emission (SE) and Poole-Frenkel (PF) emission mechanisms. The result indicated that while the carrier transport mechanism in the undoped film is interface limited (SE), the conduction in the BMN doped film was dominated by bulk processes (PF). The change of the conduction mechanism from SE to PF as a result of BMN doping is attributed to the presence of uncoupled NbTi• sitting as a positive trap center at the shallow donor level of the BST.

  20. TiO2 and SiC nanostructured films, organized CNT structures

    Indian Academy of Sciences (India)

    sized nanostructured TiO2 films through hydrolysis of titanium tetra-isopropoxide. (TTIP) [9 ... structured TiO2 as a photocatalyst is as follows [15]:. TiO2(ns) ... The deposited films were easily detached from the silica tube and subjected to. SEM.

  1. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  2. Multifunctional Roles of TiO 2 Nanoparticles for Architecture of Complex Core−Shells and Hollow Spheres of SiO 2 −TiO 2 −Polyaniline System

    KAUST Repository

    Wang, Dan Ping; Zeng, Hua Chun

    2009-01-01

    to prepare inorganic-polymer nanocomposites. In this work, we explore the roles of metal-oxide nanoparticles (anatase TiO2) in the area of constructional synthesis of highly complex core-shell and hollow sphere nanostructures comprising SiO2, TiO2

  3. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  4. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    Science.gov (United States)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  5. High photocatalytic activity of hierarchical SiO2@C-doped TiO2 hollow spheres in UV and visible light towards degradation of rhodamine B.

    Science.gov (United States)

    Zhang, Ying; Chen, Juanrong; Hua, Li; Li, Songjun; Zhang, Xuanxuan; Sheng, Weichen; Cao, Shunsheng

    2017-10-15

    Ongoing research activities are targeted to explore high photocatalytic activity of TiO 2 -based photocatalysts for the degradation of environmental contaminants under UV and visible light irradiation. In this work, we devise a facile, cost-effective technique to in situ synthesize hierarchical SiO 2 @C-doped TiO 2 (SCT) hollow spheres for the first time. This strategy mainly contains the preparation of monodisperse cationic polystyrene spheres (CPS), sequential deposition of inner SiO 2 , the preparation of the sandwich-like CPS@SiO 2 @CPS particles, and formation of outer TiO 2 . After the one-step removal of CPS templates by calcination at 450°C, hierarchical SiO 2 @C-doped TiO 2 hollow spheres are in situ prepared. The morphology, hierarchical structure, and properties of SCT photocatalyst were characterized by TEM. SEM, STEM Mapping, BET, XRD, UV-vis spectroscopy, and XPS. Results strongly confirm the carbon doping in the outer TiO 2 lattice of SCT hollow spheres. When the as-synthesized SCT hollow spheres were employed as a photocatalyst for the degradation of Rhodamine B under visible-light and ultraviolet irradiation, the SCT photocatalyst exhibits a higher photocatalytic activity than commercial P25, effectively overcoming the limitations of poorer UV activity for many previous reported TiO 2 -based photocatalysts due to doping. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  7. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  8. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  9. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  10. Fabrication of assembled ZnO/TiO2 heterojunction thin film transistors using solution processing technique

    Science.gov (United States)

    Liau, Leo Chau-Kuang; Lin, Yun-Guo

    2015-01-01

    Ceramic-based metal-oxide-semiconductor (MOS) field-effect thin film transistors (TFTs), which were assembled by ZnO and TiO2 heterojunction films coated using solution processing technique, were fabricated and characterized. The fabrication of the device began with the preparation of ZnO and TiO2 films by spin coating. The ZnO and TiO2 films that were stacked together and annealed at 450 °C were characterized as a p-n junction diode. Two types of the devices, p-channel and n-channel TFTs, were produced using different assemblies of ZnO and TiO2 films. Results show that the p-channel TFTs (p-TFTs) and n-channel TFTs (n-TFTs) using the assemblies of ZnO and TiO2 films were demonstrated by source-drain current vs. drain voltage (IDS-VDS) measurements. Several electronic properties of the p- and n- TFTs, such as threshold voltage (Vth), on-off ratio, channel mobility, and subthreshold swing (SS), were determined by current-voltage (I-V) data analysis. The ZnO/TiO2-based TFTs can be produced using solution processing technique and an assembly approach.

  11. Effect of Oxygen Partial Pressure on the Electrical and Optical Properties of DC Magnetron Sputtered Amorphous TiO2 Films

    OpenAIRE

    Chandra Sekhar, M.; Kondaiah, P.; Radha Krishna, B.; Uthanna, S.

    2013-01-01

    Titanium dioxide (TiO2) thin films were deposited on p-Si (100) and Corning glass substrates held at room temperature by DC magnetron sputtering at different oxygen partial pressures in the range 9 × 10−3–9 × 10−2 Pa. The influence of oxygen partial pressure on the structural, electrical, and optical properties of the deposited films was systematically studied. XPS studies confirmed that the film formed at an oxygen partial pressure of 6×10−2 Pa was nearly stoichiometric. TiO2 films formed at...

  12. Plasmonic metamaterial-based chemical converted graphene/TiO2/Ag thin films by a simple spray pyrolysis technique

    Science.gov (United States)

    Kumar, Promod; Swart, H. C.

    2018-04-01

    Graphene based hybrid nanostructures have received special attention in both the scientific and technological development due to their unique physicochemical behavior, which make them attractive in various applications such as, batteries, supercapacitors, fuel cells, solar cells, photovoltaic devices and bio-sensors. In the present study, the role of plasmonic metamaterials in light trapping photovoltaics for inorganic semiconducting materials by a simple and low cost spray pyrolysis technique has been studied. The plasmonic metamaterials thin film has been fabricated by depositing chemically converted graphene (CCG) onto TiO2-Ag nanoparticles which has a low resistivity and a low electron-hole recombination probability. The localized surface plasmon resonance at the metal-dielectric interface for the Ag nanoparticles has been observed at 403 nm after depositing chemical converted graphene (CCG) on the TiO2-Ag thin film. The results suggest that the stacking order of the CCG/TiO2/Ag plasmonic metamaterials samples did not change the band gap of TiO2 while it changed the conductivity of the film. Thus the diffusion of the noble metals in the glass and TiO2 matrices based thin films can trap the light of a particular wavelength by mean of plasmonic resonance and may be useful for superior photovoltaic and optoelectronic applications.

  13. Effect of growth time on the structure, morphology and optical properties of hydrothermally synthesized TiO2 nanorod thin films

    Science.gov (United States)

    Mohapatra, A. K.; Nayak, J.

    2018-05-01

    Titanium dioxide (TiO2) nanorod thin films were deposited on fluorine doped tin oxide coated glass substrates by a single step rapid hydrothermal process. The concentration of the precursor, the temperature of the reaction mixture were optimized in order to enhance the rate of deposition. Unlike the previously reported hydrothermal treatment for 24 - 48 h, the deposition of well aligned titanium dioxide nanorods was achieved in a short time such as 3 - 8 h. The crystal structure of the films were investigated by X-rays diffraction. The morphology of the nanorod films were studied with scanning electron microscopy. The optical properties were studied by photoluminescence spectroscopy.

  14. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  15. Production and Characterization of (004) Oriented Single Anatase TiO2 Films

    Science.gov (United States)

    Atay, Ferhunde; Akyuz, Idris; Cergel, Muge Soyleyici; Erdogan, Banu

    2018-02-01

    Highly (004) oriented anatase TiO2 films have been successfully obtained by an inexpensive ultrasonic spray pyrolysis technique at low substrate temperatures and without additional annealing. X-ray diffraction analysis, ultraviolet-visible spectroscopy and field emission scanning electron microscopy were used to analyze the structural, optical and surface properties of the films. By using the less reported TiCl4 solution, the optical band gap values falling into the visible region (between 2.70 eV and 2.92 eV) have been obtained for all films. Spectroscopic ellipsometry technique has been used to determine the dispersive refractive index and extinction coefficient of TiO2 films. Possible electrical conduction mechanisms in TiO2 films have been examined using temperature dependent conductivity measurements in the temperature range of 78-300 K. At room temperature, electrical resistivity values of TiO2 films change between 1.68 × 104 Ω cm and 5.88 × 104 Ω cm. Considering the analyzed parameters with respect to substrate temperature, this work refers to the properties of anatase TiO2 films that are strongly correlated to the growth direction, namely (004). As a result, (004) oriented anatase TiO2 films with appropriate optical band gap values are promising materials for technological applications, especially for photocatalysts.

  16. Electrospinning processed nanofibrous TiO2 membranes for photovoltaic applications

    Science.gov (United States)

    Onozuka, Katsuhiro; Ding, Bin; Tsuge, Yosuke; Naka, Takayuki; Yamazaki, Michiyo; Sugi, Shinichiro; Ohno, Shingo; Yoshikawa, Masato; Shiratori, Seimei

    2006-02-01

    We have recently fabricated dye-sensitized solar cells (DSSCs) comprising nanofibrous TiO2 membranes as electrode materials. A thin TiO2 film was pre-deposited on fluorine doped tin oxide (FTO) coated conducting glass substrate by immersion in TiF4 aqueous solution to reduce the electron back-transfer from FTO to the electrolyte. The composite polyvinyl acetate (PVac)/titania nanofibrous membranes can be deposited on the pre-deposited thin TiO2 film coated FTO by electrospinning of a mixture of PVac and titanium isopropoxide in N,N-dimethylformamide (DMF). The nanofibrous TiO2 membranes were obtained by calcining the electrospun composite nanofibres of PVac/titania as the precursor. Spectral sensitization of the nanofibrous TiO2 membranes was carried out with a ruthenium (II) complex, cis-dithiocyanate-N,N'-bis(2,2'-bipyridyl-4,4'-dicarboxylic acid) ruthenium (II) dihydrate. The results indicated that the photocurrent and conversion efficiency of electrodes can be increased with the addition of the pre-deposited TiO2 film and the adhesion treatment using DMF. Additionally, the dye loading, photocurrent, and efficiency of the electrodes were gradually increased by increasing the average thickness of the nanofibrous TiO2 membranes. The efficiency of the fibrous TiO2 photoelectrode with the average membrane thickness of 3.9 µm has a maximum value of 4.14%.

  17. TiO2, SiO2 and ZrO2 Nanoparticles Synergistically Provoke Cellular Oxidative Damage in Freshwater Microalgae

    Directory of Open Access Journals (Sweden)

    Yinghan Liu

    2018-02-01

    Full Text Available Metal-based nanoparticles (NPs are the most widely used engineered nanomaterials. The individual toxicities of metal-based NPs have been plentifully studied. However, the mixture toxicity of multiple NP systems (n ≥ 3 remains much less understood. Herein, the toxicity of titanium dioxide (TiO2 nanoparticles (NPs, silicon dioxide (SiO2 NPs and zirconium dioxide (ZrO2 NPs to unicellular freshwater algae Scenedesmus obliquus was investigated individually and in binary and ternary combination. Results show that the ternary combination systems of TiO2, SiO2 and ZrO2 NPs at a mixture concentration of 1 mg/L significantly enhanced mitochondrial membrane potential and intracellular reactive oxygen species level in the algae. Moreover, the ternary NP systems remarkably increased the activity of the antioxidant defense enzymes superoxide dismutase and catalase, together with an increase in lipid peroxidation products and small molecule metabolites. Furthermore, the observation of superficial structures of S. obliquus revealed obvious oxidative damage induced by the ternary mixtures. Taken together, the ternary NP systems exerted more severe oxidative stress in the algae than the individual and the binary NP systems. Thus, our findings highlight the importance of the assessment of the synergistic toxicity of multi-nanomaterial systems.

  18. Silver loaded WO3−x/TiO2 composite multifunctional thin films

    International Nuclear Information System (INIS)

    Dunnill, Charles W.; Noimark, Sacha; Parkin, Ivan P.

    2012-01-01

    Multifunctional WO 3−x –TiO 2 composite thin films have been prepared by sol–gel synthesis and shown to be good visible light photocatalysts whilst retaining a desirable underlying blue colouration. The WO 3−x –TiO 2 composite thin films were further enhanced using silver nanoparticles synthesised in-situ on the surface from the photo-degradation of silver nitrate solution. Thin films were characterised using X-ray diffraction, Raman, Scanning electron microscopy and UV–visible spectroscopy and shown to photo degrade stearic acid, using white light λ = 420–800 nm. - Highlights: ► WO 3−X TiO 2 composite thin films were synthesised by sol–gel methods. ► Blue tinted glass is desirable for the value added glass industry. ► Silver nanoparticle island formation enhances the activity of the films. ► Blue tinted “value added” coated glass is now possible.

  19. Comparative differences in the behavior of TiO2 and SiO2 food additives in food ingredient solutions

    Science.gov (United States)

    Yusoff, Ridhwan; Nguyen, Luong T. H.; Chiew, Paul; Wang, Zheng Ming; Ng, Kee Woei

    2018-03-01

    Nanotechnology is widely used in the food industry to improve the color, taste, and texture of food products. However, concerns regarding potential undesirable health effects remain. It is expected that interaction of engineered nanomaterials (ENMs) with food ingredients will influence their behavior and the resulting corona. Nonetheless, there are limited systematic studies conducted to clarify this understanding to date. Herein, we investigated the behavior and corona formation of food grade titanium dioxide (TiO2) and silicon dioxide (SiO2) in solutions of model food ingredients including bovine serum albumin (BSA) and sucrose. Measurements using dynamic light scattering (DLS) showed that both TiO2 and SiO2 nanoparticles displayed a decrease in agglomerate sizes in the presence of both food ingredients. Both particles were negatively charged in all the conditions tested. Corona adsorption studies were carried out using multiple complementary methods including Fourier transform infrared (FTIR) spectroscopy, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-ToF-MS), transmission electron microscopy (TEM), micro bicinchoninic acid (BCA) protein assay, and thermogravimetric analysis (TGA). Comparative investigation showed that sucrose could disperse both particles more effectively than BSA and that SiO2 displayed greater adsorption capacity for both BSA and sucrose, compared to TiO2. Taken collectively, this study demonstrated the importance of considering food ingredient effects when mapping the behavior of ENMs in food products. Such understanding could be significant in the evaluation of biological effects, such as toxicity, of ENMs used in food products.

  20. Morphology of SiO2 films as a key factor in alignment of liquid crystals with negative dielectric anisotropy

    Directory of Open Access Journals (Sweden)

    Volodymyr Tkachenko

    2016-11-01

    Full Text Available Control of liquid crystal (LC orientation using a proper SiO2 alignment layer is essential for the optimization of vertically aligned nematic (VAN displays. With this aim, we studied the optical anisotropy of thin SiO2 films by generalized ellipsometry as a function of deposition angle. The columnar SiO2 structure orientation measured by a noninvasive ellipsometry technique is reported for the first time, and its morphology influence on the LC alignment is demonstrated for large deposition angles.

  1. Characteristics of TiO_2/ZnO bilayer film towards pH sensitivity prepared by different spin coating deposition process

    International Nuclear Information System (INIS)

    Rahman, Rohanieza Abdul; Zulkefle, Muhammad Al Hadi; Abdullah, Wan Fazlida Hanim; Rusop, M.; Herman, Sukreen Hana

    2016-01-01

    In this study, titanium dioxide (TiO_2) and zinc oxide (ZnO) bilayer film for pH sensing application will be presented. TiO_2/ZnO bilayer film with different speed of spin-coating process was deposited on Indium Tin Oxide (ITO), prepared by sol-gel method. This fabricated bilayer film was used as sensing membrane for Extended Gate Field-Effect Transistor (EGFET) for pH sensing application. Experimental results indicated that the sensor is able to detect the sensitivity towards pH buffer solution. In order to obtained the result, sensitivity measurement was done by using the EGFET setup equipment with constant-current (100 µA) and constant-voltage (0.3 V) biasing interfacing circuit. TiO_2/ZnO bilayer film which the working electrode, act as the pH-sensitive membrane was connected to a commercial metal-oxide semiconductor FET (MOSFET). This MOSFET then was connected to the interfacing circuit. The sensitivity of the TiO2 thin film towards pH buffer solution was measured by dipping the sensing membrane in pH4, pH7 and pH10 buffer solution. These thin films were characterized by using Field Emission Scanning Electron Microscope (FESEM) to obtain the surface morphology of the composite bilayer films. In addition, I-V measurement was done in order to determine the electrical properties of the bilayer films. According to the result obtained in this experiment, bilayer film that spin at 4000 rpm, gave highest sensitivity which is 52.1 mV/pH. Relating the I-V characteristic of the thin films and sensitivity, the sensing membrane with higher conductivity gave better sensitivity.

  2. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  3. Hydroxyapatite coatings on titanium dioxide thin films prepared by pulsed laser deposition method

    International Nuclear Information System (INIS)

    Suda, Yoshiaki; Kawasaki, Hiroharu; Ohshima, Tamiko; Nakashima, Shouta; Kawazoe, Syuichi; Toma, Tetsuya

    2006-01-01

    Hydroxyapatite (HAp) coated on titanium dioxide (TiO 2 ) thin films has been developed to supplement the defects of both TiO 2 and HAp. Thin films have been prepared by pulsed laser deposition (PLD) method using HAp and HAp(10%) + TiO 2 targets. X-ray diffraction (XRD) shows that there are many small peaks of Ca 1 0(PO 4 ) 6 (OH) 2 crystal, and no impurity other than HAp is detected in HAp films prepared using pure HAp target. The composition ratio of the film was analyzed by X-ray photoelectron spectroscopy (XPS). HAp coatings on TiO 2 thin films have been prepared using HAp(10%) + TiO 2 targets. XRD and XPS measurements suggest that crystalline HAp + TiO 2 thin films are obtained by the PLD method using HAp(10%) + TiO 2 target

  4. Synthesis of hybrid cellulose nanocomposite bonded with dopamine SiO2/TiO2 and its antimicrobial activity

    Science.gov (United States)

    Ramesh, Sivalingam; Kim, Gwang-Hoon; Kim, Jaehwan; Kim, Joo-Hyung

    2015-04-01

    Organic-inorganic hybrid material based cellulose was synthesized by the sol-gel approach. The explosion of activity in this area in the past decade has made tremendous progress in industry or academic both fundamental understanding of sol-gel process and applications of new functionalized hybrid materials. In this present research work, we focused on cellulose-dopamine functionalized SiO2/TiO2 hybrid nanocomposite by sol-gel process. The cellulose-dopamine hybrid nanocomposite was synthesized via γ-aminopropyltriethoxysilane (γ-APTES) coupling agent by in-situ sol-gel process. The chemical structure of cellulose-amine functionalized dopamine bonding to cellulose structure with covalent cross linking hybrids was confirmed by FTIR spectral analysis. The morphological analysis of cellulose-dopamine nanoSiO2/TiO2 hybrid nanocomposite materials was characterized by XRD, SEM and TEM. From this different analysis results indicate that the optical transparency, thermal stability, control morphology of cellulose-dopamine-SiO2/TiO2 hybrid nanocomposite. Furthermore cellulose-dopamine-SiO2/TiO2 hybrid nanocomposite was tested against pathogenic bacteria for antimicrobial activity.

  5. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    Science.gov (United States)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  6. Two mechanisms of crater formation in ultraviolet-pulsed-laser irradiated SiO2 thin films with artificial defects

    International Nuclear Information System (INIS)

    Papernov, S.; Schmid, A.W.

    2005-01-01

    Atomic force microscopy was employed to investigate the morphology of ultraviolet nanosecond-pulsed-laser damage in SiO 2 thin films. Gold nanoparticles, 18.5-nm diameter, embedded in the film were used as calibrated absorbing defects. Damage-crater diameter, depth, and cross-sectional profiles were measured as a function of laser fluence and the lodging depth of gold nanoparticles. The results indicate that, at laser fluences close to the crater-formation threshold and for lodging depths of a few particle diameters, the dominating regime of the material removal is melting and evaporation. The morphology of craters initiated by deep absorbing defects, with a lodging depth larger than ∼10 particle diameters, clearly points to a two-stage material-removal mechanism. The process starts with the material melting within the narrow channel volume and, upon temperature and pressure buildup, film fracture takes place. Crater-diameter variation with lodging depth and laser fluence is compared with theoretical predictions

  7. Surface Structure and Photocatalytic Activity of Nano-TiO2 Thin Film

    Science.gov (United States)

    Controlled titanium dioxide (TiO2) thin films were deposited on stainless steel surfaces using flame aerosol synthetic technique, which is a one-step coating process, that doesn’t require further calcination. Solid state characterization of the coatings was conducted by different...

  8. Luminescence of Eu(3+) doped SiO2 Thin Films and Glass Prepared by Sol-gel Technology

    Science.gov (United States)

    Castro, Lymari; Jia, Weiyi; Wang, Yanyun; Santiago, Miguel; Liu, Huimin

    1998-01-01

    Trivalent europium ions are an important luminophore for lighting and display. The emission of (5)D0 to (7)F2 transition exhibits a red color at about 610 nm, which is very attractive and fulfills the requirement for most red-emitting phosphors including lamp and cathode ray phosphorescence materials. Various EU(3+) doped phosphors have been developed, and luminescence properties have been extensively studied. On the other hand, sol-gel technology has been well developed by chemists. In recent years, applications of this technology to optical materials have drawn a great attention. Sol-gel technology provides a unique way to obtain homogeneous composition distribution and uniform doping, and the processing temperature can be very low. In this work, EU(3+) doped SiO2 thin films and glasses were prepared by sol-gel technology and their spectroscopic properties were investigated.

  9. Fabrication and Film Qualification of Sr Modified Pb(Ca) TiO3 Thin Films

    International Nuclear Information System (INIS)

    Naw Hla Myat San; Khin Aye Thwe; Than Than Win; Yin Maung Maung; Ko Ko Kyaw Soe

    2011-12-01

    Strontium and calcium - modified lead titanate (Pb0.7 Ca0.15 Sr0.15 ) TiO3 (PCST)thin films were prepared by using spin coating technique. Phase transition of PCST was interpreted by means of Er-T characteristics. Process temperature dependence on micro-structure of PCST film was studied. Charge conduction mechanism of PCST thin film was also investigated for film qualification.

  10. Lithium ion conducting PVA:PVdF polymer electrolytes doped with nano SiO2 and TiO2 filler

    Science.gov (United States)

    Hema, M.; Tamilselvi, P.

    2016-09-01

    The effect of nano SiO2 and TiO2 fillers on the thermal, mechanical and electrochemical properties of PVA:PVdF:LiCF3SO3 have been investigated by three optimized systems of SPE (80PVA:20PVdF:15LiCF3SO3), CPE-I (SPE:8SiO2) and CPE-II (SPE:4TiO2). From the TGA curve least weight loss has been observed for CPE-II indicating high thermal stability compared to other systems. Stress-strain curve of the prepared samples confirm the enhancement of tensile strength in CPE-II compared to CPE-I and SPE. Conductivity studies show that addition of TiO2 filler slightly enhances ionic conductivity 3.7×10-3 S cm-1 compared to filler free system at 303 K. Dielectric plots have been analyzed and CPE-II possesses higher dielectric constant compared to CPE-I and filler free system. Temperature dependence of modulus plots has been studied for highest conductivity possessing sample. Wider electrochemical stability has been obtained for nano-composite polymer electrolytes. The results conclude that the prepared CPE-II shows the best performance and it will be well suited for lithium ion batteries.

  11. Layer-by-layer assembled TiO2 films with high ultraviolet light-shielding property

    International Nuclear Information System (INIS)

    Li, Xiaozhou; Wang, Lin; Pei, Yuxin; Jiang, Jinqiang

    2014-01-01

    Ultraviolet (UV) B is hazardous to human, plants and animals. With the rapid growth of ozone holes over the earth, the exploration of optical materials that can cut off harmful UV radiation is important. In this work, fusiform TiO 2 nanoparticles were synthesized by a hydrothermal synthesis method. The thin films assembled with TiO 2 nanoparticles and oppositely charged polyelectrolytes were fabricated via a layer-by-layer assembly method. The fabrication of poly(ethylene imine) (PEI)/TiO 2 multilayer films was verified by ultraviolet–visible spectra measurements, scanning electron microscopy and atomic force microscopy. The as-prepared PEI/TiO 2 multilayer films can effectively absorb harmful UVB light and filter off visible light. Most importantly, the PEI/TiO 2 films can be deposited directly on various kinds of hydrophilic substrates such as quartz, glass, silicon and hydrophobic substrates such as polystyrene, polypropylene, polyethylene and polymethyl methacrylate when the hydrophilic substrates were modified to obtain a hydrophilic surface. - Highlights: • PEI/TiO 2 films were fabricated via a layer-by-layer self-assembly method. • The films could effectively absorb harmful UVB light and filter off visible light. • The films could deposit directly on either hydrophilic or hydrophobic substrates

  12. Photocatalytic performance of Sn-doped TiO2 nanostructured mono and double layer thin films for Malachite Green dye degradation under UV and vis-lights

    International Nuclear Information System (INIS)

    Sayilkan, F.; Asiltuerk, M.; Tatar, P.; Kiraz, N.; Arpac, E.; Sayilkan, H.

    2007-01-01

    Nanostructure Sn 4+ -doped TiO 2 based mono and double layer thin films, contain 50% solid ratio of TiO 2 in coating have been prepared on glass surfaces by spin-coating technique. Their photocatalytic performances were tested for degradation of Malachite Green dye in solution under UV and vis irradiation. Sn 4+ -doped nano-TiO 2 particle a doping ratio of about 5[Sn 4+ /Ti(OBu n ) 4 ; mol/mol%] has been synthesized by hydrotermal process at 225 deg. C. The structure, surface and optical properties of the thin films and/or the particles have been investigated by XRD, BET and UV/vis/NIR techniques. The results showed that the double layer coated glass surfaces have a very high photocatalytic performance than the other one under UV and vis lights. The results also proved that the hydrothermally synthesized nano-TiO 2 particles are fully anatase crystalline form and are easily dispersed in water. The results also reveal that the coated surfaces have hydrophilic property

  13. Application of complex geometrical optics to determination of thermal, transport, and optical parameters of thin films by the photothermal beam deflection technique.

    Science.gov (United States)

    Korte, Dorota; Franko, Mladen

    2015-01-01

    In this work, complex geometrical optics is, for what we believe is the first time, applied instead of geometrical or wave optics to describe the probe beam interaction with the field of the thermal wave in photothermal beam deflection (photothermal deflection spectroscopy) experiments on thin films. On the basis of this approach the thermal (thermal diffusivity and conductivity), optical (energy band gap), and transport (carrier lifetime) parameters of the semiconductor thin films (pure TiO2, N- and C-doped TiO2, or TiO2/SiO2 composites deposited on a glass or aluminum support) were determined with better accuracy and simultaneously during one measurement. The results are in good agreement with results obtained by the use of other methods and reported in the literature.

  14. EGFET pH Sensor Performance Dependence on Sputtered TiO2 Sensing Membrane Deposition Temperature

    Directory of Open Access Journals (Sweden)

    Khairul Aimi Yusof

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were sputtered by radio frequency (RF magnetron sputtering method and have been employed as the sensing membrane of an extended gate field effect transistor (EGFET for pH sensing detection application. The TiO2 thin films were deposited onto indium tin oxide (ITO coated glass substrates at room temperature and 200°C, respectively. The effect of deposition temperature on thin film properties and pH detection application was analyzed. The TiO2 samples used as the sensing membrane for EGFET pH-sensor and the current-voltage (I-V, hysteresis, and drift characteristics were examined. The sensitivity of TiO2 EGFET sensing membrane was obtained from the transfer characteristic (I-V curves for different substrate heating temperatures. TiO2 thin film sputtered at room temperature achieved higher sensitivity of 59.89 mV/pH compared to the one deposited at 200°C indicating lower sensitivity of 37.60 mV/pH. Moreover the hysteresis and the drift of TiO2 thin film deposited at room temperature showed lower values compared to the one at 200°C. We have also tested the effect of operating temperature on the performance of the EGFET pH-sensing and found that the temperature effect was very minimal.

  15. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  16. Nano-crystalline thin and nano-particulate thick TiO2 layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    International Nuclear Information System (INIS)

    Das, P.; Sengupta, D.; Kasinadhuni, U.; Mondal, B.; Mukherjee, K.

    2015-01-01

    Highlights: • Thin TiO 2 layer is deposited on conducting substrate using sol–gel based dip coating. • TiO 2 nano-particles are synthesized using hydrothermal route. • Thick TiO 2 particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO 2 passivation layer is introduced between the mesoporous TiO 2 nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO 2 nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO 2 compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO 2 layer in between the mesoporous TiO 2 nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons

  17. In situ study of interface reactions of ion beam sputter deposited (Ba0.5Sr0.5)TiO3 films on Si, SiO2, and Ir

    International Nuclear Information System (INIS)

    Gao, Y.; Mueller, A.H.; Irene, E.A.; Auciello, O.; Krauss, A.; Schultz, J.A.

    1999-01-01

    (Ba 0.5 ,Sr 0.5 )TiO 3 (BST) thin films were deposited on MgO, Si, SiO 2 and Ir surfaces by ion beam sputter deposition in oxygen at 700 degree C. In situ spectroscopic ellipsometry (SE) has been used to investigate the evolution of the BST films on different surfaces during both deposition and postannealing processes. First, the optical constants of the BST films in the photon energy range of 1.5 - 4.5 eV were determined by SE analysis on crystallized BST films deposited on MgO single crystal substrates. The interfaces in BST/Si and BST/SiO 2 /Si structure were examined by SE and Auger electron spectroscopy depth profiles. Subcutaneous oxidation in the BST/Ir structure was observed by in situ SE during both ion beam sputter deposition and postdeposition annealing in oxygen at 700 degree C. A study of the thermal stability of the Ir/TiN/SiO 2 /Si structure in oxygen at 700 degree C was carried out using in situ SE. The oxidation of Ir was confirmed by x-ray diffraction. The surface composition and morphology evolution after oxidation were investigated by time of flight mass spectroscopy of recoiled ions (TOF-MSRI) and atomic force microscopy. It has been found that Ti from the underlying TiN barrier layer diffused through the Ir layer onto the surface and thereupon became oxidized. It was also shown that the surface roughness increases with increasing oxidation time. The implications of the instability of Ir/TiN/SiO 2 /Si structure on the performance of capacitor devices based on this substrate are discussed. It has been shown that a combination of in situ SE and TOF-MSRI provides a powerful methodology for in situ monitoring of complex oxide film growth and postannealing processes. copyright 1999 American Vacuum Society

  18. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  19. Relation between crystallinity and chemical nature of surface on wettability: A study on pulsed laser deposited TiO2 thin films

    International Nuclear Information System (INIS)

    Shirolkar, Mandar M.; Phase, Deodatta; Sathe, Vasant; Choudhary, Ram Janay; Rodriguez-Carvajal, J.; Kulkarni, Sulabha K.

    2011-01-01

    Pure titania (TiO 2 ) polycrystalline thin films in rutile, anatase and mixed phase have been grown on amorphous glass substrates by pulsed laser deposition method at various oxygen gas pressure. Wettability investigations have been carried out on these films. Consistent with our previous report [J. Phys. D: Appl. Phys. 41, 155308 (2008)] it has been observed that for nearly same surface roughness large contact angle or superhydrophobicity is present when sample has a pure single phase and lower contact angle or hydrophobicity when mixed phases were present. Structural characterizations suggest that in addition to roughness, pure phase film surface associated with hydrophobic sites and mixed phase film surface show association of both hydrophobic and hydrophilic sites, which might be inducing specific wetting character. UV treatment induces superhydrophilicity in the films. It was observed that UV irradiation causes nonequilibrium state on the TiO 2 surface, leading to changes in the electron density, which in turn produces decrement in the crystallinity and lattice expansion. Reversible changes in the wetting state on the pure phase surfaces were observed to be faster than those on the mixed phase surfaces. We tried to establish the possible relation between crystalline phases, chemical nature of surface on reversible wettability besides the main governing parameter viz. surface roughness.

  20. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  1. Nanocrystalline SnO2-TiO2 thin film deposited on base of equilateral prism as an opto-electronic humidity sensor

    Science.gov (United States)

    Yadav, B. C.; Verma, Nidhi; Singh, Satyendra

    2012-09-01

    Present paper reports the synthesis of SnO2-TiO2 nanocomposite, its characterization and performance as opto-electronic humidity sensor. Nanocrystalline SnO2-TiO2 film was deposited on the base of an equilateral prism using a photo resist spinner and the as prepared film was annealed at 200 °C for 2 h. The crystal structure of the prepared film was investigated using X-ray diffraction (XRD). Minimum crystallite size of the material was found 7 nm. Surface morphology of the film was investigated by Scanning electron microscope (SEM LEO-0430, Cambridge). SEM image shows that the film is porous. Differential scanning calorimetry (DSC) of as synthesized material shows two exothermic peaks at about 40 and 110 °C, respectively which are due to the evaporation of chemical impurities and water. Further the prepared film was investigated through the exposure of humidity and relative humidity (%RH) was measured directly in terms of modulation in the intensity of light recorded on a digital power meter. The maximum sensitivity of sensor was found 4.14 μW/%RH, which is quite significant for sensor fabrication purposes.

  2. Sol-gel prepared B2O3-SiO2 thin films for protection of copper substrates

    International Nuclear Information System (INIS)

    Gouda, M.; Ahmed, M.S.; Shahin, M.A.

    2000-01-01

    Full text.Borosilicate coating has potential for applications in the field of electronics, e.g., as passivation layers. One of the main difficulties for applying these films by the conventional melting process is the extensive volatilization of B 2 O 3 from the melt. In this work transparent borosilicate films of 2OB 2 O3.8OSiO 2 (in mole %). Prepared by the sole gel method, were applied onto copper substrates by dip-coating technique. The transparency of these films was very sensitive to the humidity of the atmosphere during the coating process. Transparent films were obtained below 20% relative humidity at 20 celsius degree. High temperature oxidation tests, at about 585 celsius degree stream of air, showed that the sol-gel prepared 2OB 2 O 3 .8OSiO 2 thin films are protective coating for copper substrates under fairly severe temperature gradient and oxidizing atmosphere. It was found that the protective action of these films depends on the film thickness

  3. Effect of the RE (RE = Eu, Er) doping on the structural and textural properties of mesoporous TiO2 thin films obtained by evaporation induced self-assembly method

    International Nuclear Information System (INIS)

    Borlaf, Mario; Caes, Sebastien; Dewalque, Jennifer; Colomer, María Teresa; Moreno, Rodrigo; Cloots, Rudi; Boschini, Frederic

    2014-01-01

    Polymeric sol–gel route has been used for the preparation of TiO 2 and RE 2 O 3– TiO 2 (RE = Eu, Er) mesoporous thin films by evaporation induced self-assembly method using Si (100) as a substrate. The influence of the relative humidity (RH) on the preparation of the film has been studied being necessary to work under 40% RH in order to obtain homogeneous and transparent thin films. The films were annealed at different temperatures until 900 °C/1 h and the anatase crystallization and its crystal size evolution were followed by low angle X-ray diffraction. Neither the anatase–rutile transition nor the formation of other compounds was observed in the studied temperature range. Ellipsoporosimetry studies demonstrated that the thickness of the thin films did not change after calcination at 500 °C, the porosity was constant until 700 °C, the pore size increased and the specific surface area decreased with temperature. Moreover, the effect of the doping with Er 3+ and Eu 3+ was studied and a clear inhibition of the crystal growth and the sintering process was detected (by transmission electron and atomic force microscopy) when the doped films are compared with the undoped ones. Finally, Eu 3+ and Er 3+ f–f transitions were detected by PL measurements. - Highlights: • Eu and Er–TiO 2 mesoporous films were prepared by evaporation induced self-assembly. • Influence of humidity on porosity and photoluminescent properties has been tested. • Influence of calcination on structural and textural properties has been also studied. • f–f transitions indicate that the thin films are active photoluminescent materials

  4. Study of Optical Humidity Sensing Properties of Sol-Gel Processed TiO2 and MgO Films

    Directory of Open Access Journals (Sweden)

    B. C. Yadav

    2007-04-01

    Full Text Available Paper reports a comparative study of humidity sensing properties of TiO2 and MgO films fabricated by Sol-gel technique using optical method. One sensing element of the optical humidity sensor presented here consists of rutile structured two-layered TiO2 thin film deposited on the base of an isosceles glass prism. The other sensing element consists of a film of MgO deposited by same technique on base of the prism. Light from He-Ne laser enters prism from one of refracting faces of the prism and gets reflected from the glass-film interface, before emerging out from its other isosceles face. This emergent beam is allowed to pass through an optical fiber. Light coming out from the optical fiber is measured with an optical power meter. Variations in the intensity of light caused by changes in humidity lying in the range 5%RH to 95%RH have been recorded. MgO film shows better sensitivity than TiO2 film.

  5. Improved thermal conductivity of TiO2-SiO2 hybrid nanofluid in ethylene glycol and water mixture

    Science.gov (United States)

    Hamid, K. A.; Azmi, W. H.; Nabil, M. F.; Mamat, R.

    2017-10-01

    The need to study hybrid nanofluid properties such as thermal conductivity has increased recently in order to provide better understanding on nanofluid thermal properties and behaviour. Due to its ability to improve heat transfer compared to conventional heat transfer fluids, nanofluids as a new coolant fluid are widely investigated. This paper presents the thermal conductivity of TiO2-SiO2 nanoparticles dispersed in ethylene glycol (EG)-water. The TiO2-SiO2 hybrid nanofluids is measured for its thermal conductivity using KD2 Pro Thermal Properties Analyzer for concentration ranging from 0.5% to 3.0% and temperature of 30, 50 and 70°C. The results show that the increasing in concentration and temperature lead to enhancement in thermal conductivity at range of concentration studied. The maximum enhancement is found to be 22.1% at concentration 3.0% and temperature 70°C. A new equation is proposed based on the experiment data and found to be in good agreement where the average deviation (AD), standard deviation (SD) and maximum deviation (MD) are 1.67%, 1.66% and 5.13%, respectively.

  6. The properties of transparent TiO2 films for Schottky photodetector

    Directory of Open Access Journals (Sweden)

    Sung-Ho Park

    2017-08-01

    Full Text Available In this data, the properties of transparent TiO2 film for Schottky photodetector are presented for the research article, entitled as “High-performing transparent photodetectors based on Schottky contacts” (Patel et al., 2017 [1]. The transparent photoelectric device was demonstrated by using various Schottky metals, such as Cu, Mo and Ni. This article mainly shows the optical transmittance of the Ni-transparent Schottky photodetector, analyzed by the energy dispersive spectroscopy and interfacial TEM images for transparency to observe the interface between NiO and TiO2 film. The observation and analyses clearly show that no pinhole formation in the TiO2 film by Ni diffusion. The rapid thermal process is an effective way to form the quality TiO2 film formation without degradation, such as pinholes (Qiu et al., 2015 [2]. This thermal process may apply to form functional metal oxide layers for solar cells and photodetectors.

  7. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    Science.gov (United States)

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  8. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  9. Development of CIGS2 thin film solar cells

    International Nuclear Information System (INIS)

    Dhere, Neelkanth G.; Gade, Vivek S.; Kadam, Ankur A.; Jahagirdar, Anant H.; Kulkarni, Sachin S.; Bet, Sachin M.

    2005-01-01

    Research and development of CuIn 1-x Ga x Se 2-y S y (CIGSS) thin-film solar cells on ultralightweight flexible metallic foil substrates is being carried out at FSEC PV Materials Lab for space applications. Earlier, the substrate size was limited to 3 cm x 2.5 cm. Large-area sputtering systems and scrubber for hydrogen selenide and sulfide have been designed and constructed for preparation of CIGSS thin-films on large (15 cm x 10 cm) substrates. A selenization/sulfurization furnace donated by Shell (formerly Siemens) Solar has also been refurbished and upgraded. The sputtering target assembly design was modified for proper clamping of targets and effective cooling. A new design of the magnetic assembly for large-area magnetron sputtering sources was implemented so as to achieve uniform deposition on large area. Lightweight stainless steel foil and ultralightweight titanium foil substrates were utilized to increase the specific power of solar cells. Sol-gel derived SiO 2 layers were coated on titanium foil by dip coating method. Deposition parameters for the preparation of molybdenum back contact layers were optimized so as to minimize the residual stress as well as reaction with H 2 S. Presently large (15 cm x 10 cm) CuIn 1-x Ga x S 2 (CIGS2) thin film solar cells are being prepared on Mo-coated titanium and stainless steel foil by sulfurization of CuGa/In metallic precursors in diluted Ar:H 2 S(4%). Heterojunction partner CdS layers are deposited by chemical bath deposition. The regeneration sequence of ZnO/ZnO:Al targets was optimized for obtaining consistently good-quality, transparent and conducting ZnO/ZnO:Al bilayer by RF magnetron-sputter deposition. Excellent facilities at FSEC PV Materials Lab are one of its kinds and could serve as a nucleus of a small pilot plant for CIGSS thin film solar cell fabrication

  10. Glass-ceramic enamels derived from the Li2O-Na2O-Al2O3-TiO2-SiO2 system

    Directory of Open Access Journals (Sweden)

    SNEZANA R. GRUJIC

    2002-02-01

    Full Text Available The results of research on the conditions for obtaining model glass-ceramic enamels, derived from the basic Li2O-Na2O-Al2O3-TiO2-SiO2 system, by varying the initial composition and thermal treatment conditions, are presented in this paper. Segregation of the crystal phases in the glassy-matrix was carried out during subsequent thermal treatment. The formation of different crystal phases was evidenced through the results of differential-thermal analysis and X-ray powder diffraction analysis.

  11. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  12. Films of brookite TiO2 nanorods/nanoparticles deposited by matrix-assisted pulsed laser evaporation as NO2 gas-sensing layers

    Science.gov (United States)

    Caricato, A. P.; Buonsanti, R.; Catalano, M.; Cesaria, M.; Cozzoli, P. D.; Luches, A.; Manera, M. G.; Martino, M.; Taurino, A.; Rella, R.

    2011-09-01

    Titanium dioxide (TiO2) nanorods in the brookite phase, with average dimensions of 3-4 nm × 20-50 nm, were synthesized by a wet-chemical aminolysis route and used as precursors for thin films that were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. A nanorod solution in toluene (0.016 wt% TiO2) was frozen at the liquid-nitrogen temperature and irradiated with a KrF excimer laser at a fluence of 350 mJ/cm2 and repetition rate of 10 Hz. Single-crystal Si wafers, silica slides, carbon-coated Cu grids and alumina interdigitated slabs were used as substrates to allow performing different characterizations. Films fabricated with 6000 laser pulses had an average thickness of ˜150 nm, and a complete coverage of the selected substrate as achieved. High-resolution scanning and transmission electron microscopy investigations evidenced the formation of quite rough films incorporating individually distinguishable TiO2 nanorods and crystalline spherical nanoparticles with an average diameter of ˜13 nm. Spectrophotometric analysis showed high transparency through the UV-Vis spectral range. Promising resistive sensing responses to 1 ppm of NO2 mixed in dry air were obtained.

  13. Compositional dependence of absorption coefficient and band-gap for Nb2O5-SiO2 mixture thin films

    International Nuclear Information System (INIS)

    Sancho-Parramon, Jordi; Janicki, Vesna; Zorc, Hrvoje

    2008-01-01

    The absorption coefficient of composite films consisting of niobia (Nb 2 O 5 ) and silica (SiO 2 ) mixtures is studied for photon energies around the band gap. The films were deposited by co-evaporation and their composition was varied by changing the ratio of deposition rates of the two materials. Both, as-deposited and thermally annealed films were characterized by different techniques: the absorption coefficient was determined by spectrophotometric measurements and the structural properties were investigated using infrared spectroscopy, transmission electron microscopy and X-ray diffraction. The correlation between the variations of absorption properties and film composition and structure is established. The absorption coefficients determined experimentally are compared with the results derived from effective medium theories in order to evaluate the suitability of these theories for the studied composites

  14. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    Science.gov (United States)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  15. Microporous TiO2-WO3/TiO2 films with visible-light photocatalytic activity synthesized by micro arc oxidation and DC magnetron sputtering

    International Nuclear Information System (INIS)

    Wu, Kee-Rong; Hung, Chung-Hsuang; Yeh, Chung-Wei; Wu, Jiing-Kae

    2012-01-01

    Highlights: ► A simple MAO is used to prepare porous WO 3 /TiO 2 layer on Ti sheet as a visible-light enabled catalyst. ► The photocatalytic activity of the WO 3 /TiO 2 is enhanced by sputtering over an N,C-TiO 2 layer. ► This is ascribed to the synergetic effect of hybrid sample prepared by two-step method. - Abstract: This study reports the preparation of microporous TiO 2 -WO 3 /TiO 2 films with a high surface area using a two-step approach. A porous WO 3 /TiO 2 template was synthesized by oxidizing a titanium sheet using a micro arc oxidation (MAO) process. This sheet was subsequently overlaid with a visible light (Vis)-enabled TiO 2 (N,C-TiO 2 ) film, which was deposited by codoping nitrogen (N) and carbon (C) ions into a TiO 2 lattice using direct current magnetron sputtering. The resulting microporous TiO 2 -WO 3 /TiO 2 film with a 0.38-μm-thick N,C-TiO 2 top-layer exhibited high photocatalytic activity in methylene blue (MB) degradation among samples under ultraviolet (UV) and Vis irradiation. This is attributable to the synergetic effect of two-step preparation method, which provides a highly porous microstructure and the well-crystallized N,C-TiO 2 top-layer. This is because a higher surface area with high crystallinity favors the adsorption of more MB molecules and more photocatalytic active areas. Thus, the microporous TiO 2 -WO 3 /TiO 2 film has promising applications in the photocatalytic degradation of dye solution under UV and Vis irradiation. These results imply that the microporous WO 3 /TiO 2 can be used as a template of hybrid electrode because it enables rapid fabrication.

  16. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  17. Synthesis of Ag-TiO2 composite nano thin film for antimicrobial application

    Science.gov (United States)

    Yu, Binyu; Leung, Kar Man; Guo, Qiuquan; Lau, Woon Ming; Yang, Jun

    2011-03-01

    TiO2 photocatalysts have been found to kill cancer cells, bacteria and viruses under mild UV illumination, which offers numerous potential applications. On the other hand, Ag has long been proved as a good antibacterial material as well. The advantage of Ag-TiO2 nanocomposite is to expand the nanomaterial's antibacterial function to a broader range of working conditions. In this study neat TiO2 and Ag-TiO2 composite nanofilms were successfully prepared on silicon wafer via the sol-gel method by the spin-coating technique. The as-prepared composite Ag-TiO2 and TiO2 films with different silver content were characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS) to determine the topologies, microstructures and chemical compositions, respectively. It was found that the silver nanoparticles were uniformly distributed and strongly attached to the mesoporous TiO2 matrix. The morphology of the composite film could be controlled by simply tuning the molar ratio of the silver nitrate aqueous solution. XPS results confirmed that the Ag was in the Ag0 state. The antimicrobial effect of the synthesized nanofilms was carried out against gram-negative bacteria (Escherichia coli ATCC 29425) by using an 8 W UV lamp with a constant relative intensity of 0.6 mW cm - 2 and in the dark respectively. The synthesized Ag-TiO2 thin films showed enhanced bactericidal activities compared to the neat TiO2 nanofilm both in the dark and under UV illumination.

  18. Synthesis of Ag-TiO2 composite nano thin film for antimicrobial application

    International Nuclear Information System (INIS)

    Yu Binyu; Guo Qiuquan; Yang Jun; Leung, Kar Man; Lau, Woon Ming

    2011-01-01

    TiO 2 photocatalysts have been found to kill cancer cells, bacteria and viruses under mild UV illumination, which offers numerous potential applications. On the other hand, Ag has long been proved as a good antibacterial material as well. The advantage of Ag-TiO 2 nanocomposite is to expand the nanomaterial's antibacterial function to a broader range of working conditions. In this study neat TiO 2 and Ag-TiO 2 composite nanofilms were successfully prepared on silicon wafer via the sol-gel method by the spin-coating technique. The as-prepared composite Ag-TiO 2 and TiO 2 films with different silver content were characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS) to determine the topologies, microstructures and chemical compositions, respectively. It was found that the silver nanoparticles were uniformly distributed and strongly attached to the mesoporous TiO 2 matrix. The morphology of the composite film could be controlled by simply tuning the molar ratio of the silver nitrate aqueous solution. XPS results confirmed that the Ag was in the Ag 0 state. The antimicrobial effect of the synthesized nanofilms was carried out against gram-negative bacteria (Escherichia coli ATCC 29425) by using an 8 W UV lamp with a constant relative intensity of 0.6 mW cm -2 and in the dark respectively. The synthesized Ag-TiO 2 thin films showed enhanced bactericidal activities compared to the neat TiO 2 nanofilm both in the dark and under UV illumination.

  19. Photocorrosion Mechanism of TiO2-Coated Photoanodes

    Directory of Open Access Journals (Sweden)

    Arjen Didden

    2015-01-01

    Full Text Available Atomic layer deposition was used to coat CdS photoanodes with 7 nm thick TiO2 films to protect them from photocorrosion during photoelectrochemical water splitting. Photoelectrochemical measurements indicate that the TiO2 coating does not provide full protection against photocorrosion. The degradation of the film initiates from small pinholes and shows oscillatory behavior that can be explained by an Avrami-type model for photocorrosion that is halfway between 2D and 3D etching. XPS analysis of corroded films indicates that a thin layer of CdS remains present on the surface of the corroded photoanode that is more resilient towards photocorrosion.

  20. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  1. Hysteretic current-voltage characteristics in RF-sputtered nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Villafuerte, Manuel; Juarez, Gabriel; Heluani, Silvia P. de; Comedi, David

    2007-01-01

    We have measured the current-voltage characteristics at room temperature of a nanocrystalline TiO 2 thin film fabricated by reactive RF-sputtering deposition and sandwiched between ITO (indium-tin-oxide)-buffered glass substrate and an indium top electrode. The I-V characteristics are ohmic for low voltages and become non-linear, hysteretic and asymmetric as the voltage is increased. The system is shown to be well represented by two distinct resistance states in the non-ohmic region. Current transient evolutions were also measured for constant voltage excitations. The resistance is stable in time for voltages in the ohmic regime. In contrast, for voltages in the non-ohmic regime, the resistance has a small variation for a short period of time (order of tens seconds) and then increases with time. For those transients, long characteristic times (on the order of tens of minutes up to hours) were found. The behavior of the system is discussed on the basis of experimental results reported in the literature for similar systems and existing models for electric-field induced resistive switching

  2. Single-Nanoparticle Photoelectrochemistry at a Nanoparticulate TiO2 -Filmed Ultramicroelectrode.

    Science.gov (United States)

    Peng, Yue-Yi; Ma, Hui; Ma, Wei; Long, Yi-Tao; Tian, He

    2018-03-26

    An ultrasensitive photoelectrochemical method for achieving real-time detection of single nanoparticle collision events is presented. Using a micrometer-thick nanoparticulate TiO 2 -filmed Au ultra-microelectrode (TiO 2 @Au UME), a sub-millisecond photocurrent transient was observed for an individual N719-tagged TiO 2 (N719@TiO 2 ) nanoparticle and is due to the instantaneous collision process. Owing to a trap-limited electron diffusion process as the rate-limiting step, a random three-dimensional diffusion model was developed to simulate electron transport dynamics in TiO 2 film. The combination of theoretical simulation and high-resolution photocurrent measurement allow electron-transfer information of a single N719@TiO 2 nanoparticle to be quantified at single-molecule accuracy and the electron diffusivity and the electron-collection efficiency of TiO 2 @Au UME to be estimated. This method provides a test for studies of photoinduced electron transfer at the single-nanoparticle level. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. The Recovery of a Magnetically Dead Layer on the Surface of an Anatase (Ti,CoO2 Thin Film via an Ultrathin TiO2 Capping Layer

    Directory of Open Access Journals (Sweden)

    Thantip S. Krasienapibal

    2017-03-01

    Full Text Available The effect of an ultrathin TiO2 capping layer on an anatase Ti0.95Co0.05O2−δ (001 epitaxial thin film on magnetism at 300 K was investigated. Films with a capping layer showed increased magnetization mainly caused by enhanced out-of-plane magnetization. In addition, the ultrathin capping layer was useful in prolonging the magnetization lifetime by more than two years. The thickness dependence of the magnetic domain structure at room temperature indicated the preservation of magnetic domain structure even for a 13 nm thick film covered with a capping layer. Taking into account nearly unchanged electric conductivity irrespective of the capping layer’s thickness, the main role of the capping layer is to prevent surface oxidation, which reduces electron carriers on the surface.

  4. Nitrogen and europium doped TiO2 anodized films with applications in photocatalysis

    International Nuclear Information System (INIS)

    Chi, Choong-Soo; Choi, Jinwook; Jeong, Yongsoo; Lee, Oh Yeon; Oh, Han-Jun

    2011-01-01

    Micro-arc oxidation method is a useful process for mesoporous titanium dioxide films. In order to improve the photocatalytic activity of the TiO 2 film, N-Eu co-doped titania catalyst was synthesized by micro-arc oxidation in the H 2 SO 4 /Eu(NO 3 ) 3 mixture solution. The specific surface area and the roughness of the anodic titania film fabricated in the H 2 SO 4 /Eu(NO 3 ) 3 electrolyte, were increased compared to that of the anodic TiO 2 film prepared in H 2 SO 4 solution. The absorbance response of N-Eu titania film shows a higher adsorption onset toward visible light region, and the incorporated N and Eu ions during anodization as a dopant in the anodic TiO 2 film significantly enhanced the photocatalytic activity for dye degradation. After dye decomposition test for 3 h, dye removal rates for the anodic TiO 2 film were 60.7% and 90.1% for the N-Eu doped titania film. The improvement of the photocatalytic activity was ascribed to the synergistic effects of the surface enlargement and the new electronic state of the TiO 2 band gap by N and Eu co-doping.

  5. Photoluminescence study of trap-state defect on TiO2 thin films at different substrate temperature via RF magnetron sputtering

    Science.gov (United States)

    Abdullah, S. A.; Sahdan, M. Z.; Nafarizal, N.; Saim, H.; Bakri, A. S.; Cik Rohaida, C. H.; Adriyanto, F.; Sari, Y.

    2018-04-01

    This paper highlights the defect levels using photoluminescence spectroscopy of TiO2 thin films. The TiO2 were deposited by Magnetron Sputtering system with 200, 300, 400, and 500 °C substrate temperature on microscope glass substrate. The PL result shows profound effect of various substrate temperatures to defect levels of oxygen vacancies and Ti3+ at titanium interstitial site. Increasing temperature would minimize the oxygen vacancy defect, however Ti3+ shows otherwise. Green region of PL consist of trapped hole for oxygen vacancy, while red region of PL is trapped electron associated to structural defect Ti3+. Green PL is dominant peak at temperature 200 °C, indicating that oxygen vacancy is the main defect at this temperature. However, PL peak shows slightly same value for others samples indicating that the temperature did not give high influence to other level of defect after 200 °C.

  6. Enhanced electrochromic properties of TiO2 nanoporous film prepared based on an assistance of polyethylene glycol

    Science.gov (United States)

    Xu, Shunjian; Luo, Xiaorui; Xiao, Zonghu; Luo, Yongping; Zhong, Wei; Ou, Hui; Li, Yinshuai

    2017-01-01

    Polyethylene glycol (PEG) was employed as pore-forming agent to prepare TiO2 nanoporous film based on spin-coating a TiO2 nanoparticle mixed paste on fluorine doped tin oxide (FTO) glass. The electrochromic and optical properties of the obtained TiO2 film were investigated by cyclic voltammetry (CV), chronoamperometry (CA) and UV-Vis spectrophotometer. The results show that the PEG in the mixed paste endows the TiO2 film with well-developed porous structure and improves the uniformity of the TiO2 film, which are helpful for the rapid intercalation and extraction of lithium ions within the TiO2 film and the strengthening of the diffuse reflection of visible light in the TiO2 film. As a result, the TiO2 film derived from the mixed paste with PEG displays higher electrochemical activity and more excellent electrochromic performances compared with the TiO2 film derived from the mixed paste without PEG. The switching times of coloration/bleaching are respectively 10.16/5.65 and 12.77/6.13 s for the TiO2 films with PEG and without PEG. The maximum value of the optical contrast of the TiO2 film with PEG is 21.2% while that of the optical contrast of the TiO2 film without PEG is 14.9%. Furthermore, the TiO2 film with PEG has better stability of the colored state than the TiO2 film without PEG.

  7. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  8. Photocatalytic degradation of mixed gaseous carbonyl compounds at low level on adsorptive TiO2/SiO2 photocatalyst using a fluidized bed reactor.

    Science.gov (United States)

    Zhang, Maolin; An, Taicheng; Fu, Jiamo; Sheng, Guoying; Wang, Xinming; Hu, Xiaohong; Ding, Xuejun

    2006-06-01

    An adsorptive silica-supported titania photocatalyst TiO(2)/SiO(2) was prepared by using nanosized titania (anatase) immobilized on silica gel by the sol-gel technique with the titanium tetra isopropoxide as the main raw material and acetic acid as the acid catalyst. Meanwhile the structure and properties of the TiO(2)/SiO(2) photocatalyst were studied by means of many modern analysis techniques such as TEM, XRD, and BET. Gas-solid heterogeneous photocatalytic decomposition of four carbonyl compounds mixture at low concentration levels over ultraviolet irradiated TiO(2)/SiO(2) photocatalyst were carried out with high degradation efficiencies in a coaxial triple-cylinder-type fluidized bed photocatalytic reactor, which provided efficient continuous contact of ultraviolet photons, silica-supported titania photocatalyst, and gaseous reactants. Experimental results showed that the photocatalyst had a high adsorption performance and a good photocatalytic activity for four carbonyl compounds mixture. Some factors influencing the photocatalytic decomposition of the mixed carbonyl compounds, i.e. the gas flowrate, relative humidity, concentration of oxygen, and illumination time, were discussed in detail. It is found that the photocatalytic reaction rate of four carbonyl compounds decreased in this order: propionaldehyde, acetone, acetaldehyde and formaldehyde.

  9. Genotoxic and cytotoxic effects of ZnO nanoparticles for Dunaliella tertiolecta and comparison with SiO2 and TiO2 effects at population growth inhibition levels.

    Science.gov (United States)

    Schiavo, S; Oliviero, M; Miglietta, M; Rametta, G; Manzo, S

    2016-04-15

    The increasing use of oxide nanoparticles (NPs) in commercial products has intensified the potential release into the aquatic environment where algae represent the basis of the trophic chain. NP effects upon algae population growth were indeed already reported in literature, but the concurrent effects at cellular and genomic levels are still largely unexplored. Our work investigates the genotoxic (by COMET assay) and cytotoxic effects (by qualitative ROS production and cell viability) of ZnO nanoparticles toward marine microalgae Dunaliella tertiolecta. A comparison at defined population growth inhibition levels (i.e. 50% Effect Concentration, EC50, and No Observed Effect Concentration, NOEC) with SiO2 and TiO2 genotoxic effects and previously investigated cytotoxic effects (Manzo et al., 2015) was performed in order to elucidate the possible diverse mechanisms leading to algae growth inhibition. After 72h exposure, ZnO particles act firstly at the level of cell division inhibition (EC50: 2mg Zn/L) while the genotoxic action is evident only starting from 5mg Zn/L. This outcome could be ascribable mainly to the release of toxic ions from the aggregate of ZnO particle in the proximity of cell membrane. In the main, at EC50 and NOEC values for ZnO NPs showed the lowest cytotoxic and genotoxic effect with respect to TiO2 and SiO2. Based on Mutagenic Index (MI) the rank of toxicity is actually: TiO2>SiO2>ZnO with TiO2 and SiO2 that showed similar MI values at both NOEC and EC50 concentrations. The results presented herein suggest that up to TiO2 NOEC (7.5mg/L), the algae DNA repair mechanism is efficient and the DNA damage does not result in an evident algae population growth inhibition. A similar trend for SiO2, although at lower effect level with respect to TiO2, is observable. The comparison among all the tested nanomaterial toxicity patterns highlighted that the algae population growth inhibition occurred through pathways specific for each NP also related to their

  10. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  11. Effects of post-deposition oxygen annealing on tuning properties of Ba0.8Sr0.2TiO3 thin-film capacitors for microwave integrated circuits

    International Nuclear Information System (INIS)

    Liu, Y.R.; Lai, P.T.; Li, G.Q.; Li, B.; Peng, J.B.; Lo, H.B.

    2005-01-01

    Barium strontium titanate (BST) thin-films deposited on a SiO 2 /Si substrate by argon ion-beam sputtering technique were annealed at 400, 500 and 600 deg. C in oxygen for 30 min, respectively, and were used to fabricate integrated parallel-plate capacitors by standard integrated-circuit technology. These capacitors can achieve tunability greater than 60% at an applied dc voltage of 2 V and a frequency of 100 kHz at room temperature. Considering tunability, loss factor and hysteresis effect, the BST thin-film annealed at 500 deg. C is superior for making tunable microwave integrated capacitors. The effects of annealing treatment in oxygen on the tuning properties of the thin-film capacitors are analyzed, and the results indicate that the tunability is strongly dependent on both oxygen vacancies and negatively charged oxygen, trapped at the grain boundary and/or at the electrode/dielectric interface

  12. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  13. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  14. Influence of different TiO2 blocking films on the photovoltaic performance of perovskite solar cells

    Science.gov (United States)

    Zhang, Chenxi; Luo, Yudan; Chen, Xiaohong; Ou-Yang, Wei; Chen, Yiwei; Sun, Zhuo; Huang, Sumei

    2016-12-01

    Organolead trihalide perovskite materials have been successfully used as light absorbers in efficient photovoltaic (PV) cells. Cell structures based on mesoscopic metal oxides and planar heterojunctions have already demonstrated very impressive and brisk advances, holding great potential to grow into a mature PV technology. High power conversion efficiency (PCE) values have been obtained from the mesoscopic configuration in which a few hundred nano-meter thick mesoporous scaffold (e.g. TiO2 or Al2O3) infiltrated by perovskite absorber was sandwiched between the electron and hole transport layers. A uniform and compact hole-blocking layer is necessary for high efficient perovskite-based thin film solar cells. In this study, we investigated the characteristics of TiO2 compact layer using various methods and its effects on the PV performance of perovskite solar cells. TiO2 compact layer was prepared by a sol-gel method based on titanium isopropoxide and HCl, spin-coating of titanium diisopropoxide bis (acetylacetonate), screen-printing of Dyesol's bocking layer titania paste, and a chemical bath deposition (CBD) technique via hydrolysis of TiCl4, respectively. The morphological and micro-structural properties of the formed compact TiO2 layers were characterized by scanning electronic microscopy and X-ray diffraction. The analyses of devices performance characteristics showed that surface morphologies of TiO2 compact films played a critical role in affecting the efficiencies. The nanocrystalline TiO2 film deposited via the CBD route acts as the most efficient hole-blocking layer and achieves the best performance in perovskite solar cells. The CBD-based TiO2 compact and dense layer offers a small series resistance and a large recombination resistance inside the device, and makes it possible to achieve a high power conversion efficiency of 12.80%.

  15. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  16. Fabrication and characterization of Er+3 doped SiO2/SnO2 glass-ceramic thin films for planar waveguide applications

    Science.gov (United States)

    Guddala, S.; Chiappini, A.; Armellini, C.; Turell, S.; Righini, G. C.; Ferrari, M.; Narayana Rao, D.

    2015-02-01

    Glass-ceramics are a kind of two-phase materials constituted by nanocrystals embedded in a glass matrix and the respective volume fractions of crystalline and amorphous phase determine the properties of the glass-ceramics. Among these properties transparency is crucial in particular when confined structures, such as, dielectric optical waveguides, are considered. Moreover, the segregation of dopant rare-earth ions, like erbium, in low phonon energy crystalline medium makes these structures more promising in the development of waveguide amplifiers. Here we are proposing a new class of low phonon energy tin oxide semiconductor medium doped silicate based planar waveguides. Er3+ doped (100-x) SiO2-xSnO2 (x= 10, 20, 25 and 30mol%), glass-ceramic planar waveguide thin films were fabricated by a simple sol-gel processing and dip coating technique. XRD and HRTEM studies indicates the glass-ceramic phase of the film and the dispersion of ~4nm diameter of tin oxide nanocrystals in the amorphous phase of silica. The spectroscopic assessment indicates the distribution of the dopant erbium ions in the crystalline medium of tin oxide. The observed low losses, 0.5±0.2 dB/cm, at 1.54 μm communication wavelength makes them a quite promising material for the development of high gain integrated optical amplifiers.

  17. Multi-Layered TiO2 Films towards Enhancement of Escherichia coli Inactivation

    Directory of Open Access Journals (Sweden)

    Sorachon Yoriya

    2016-09-01

    Full Text Available Crystalline TiO2 has shown its great photocatalytic properties in bacterial inactivation. This work presents a design fabrication of low-cost, layered TiO2 films assembled reactors and a study of their performance for a better understanding to elucidate the photocatalytic effect on inactivation of E. coli in water. The ability to reduce the number of bacteria in water samples for the layered TiO2 composing reactors has been investigated as a function of time, while varying the parameters of light sources, initial concentration of bacteria, and ratios of TiO2 film area and volume of water. Herein, the layered TiO2 films have been fabricated on the glass plates by thermal spray coating prior to screen printing, allowing a good adhesion of the films. Surface topology and crystallographic phase of TiO2 for the screen-printed active layer have been characterized, resulting in the ratio of anatase:rutile being 80:20. Under exposure to sunlight and a given condition employed in this study, the optimized film area:water volume of 1:2.62 has shown a significant ability to reduce the E. coli cells in water samples. The ratio of surface area of photocatalytic active base to volume of water medium is believed to play a predominant role facilitating the cells inactivation. The kinetic rate of inactivation and its behavior are also described in terms of adsorption of reaction species at different contact times.

  18. Antimicrobial Activity of TiO2 Nanoparticle-Coated Film for Potential Food Packaging Applications

    Directory of Open Access Journals (Sweden)

    Siti Hajar Othman

    2014-01-01

    Full Text Available Recent uses of titanium dioxide (TiO2 have involved various applications which include the food industry. This study aims to develop TiO2 nanoparticle-coated film for potential food packaging applications due to the photocatalytic antimicrobial property of TiO2. The TiO2 nanoparticles with varying concentrations (0–0.11 g/ 100 mL organic solvent were coated on food packaging film, particularly low density polyethylene (LDPE film. The antimicrobial activity of the films was investigated by their capability to inactivate Escherichia coli (E. coli in an actual food packaging application test under various conditions, including types of light (fluorescent and ultraviolet (UV and the length of time the film was exposed to light (one–three days. The antimicrobial activity of the TiO2 nanoparticle-coated films exposed under both types of lighting was found to increase with an increase in the TiO2 nanoparticle concentration and the light exposure time. It was also found that the antimicrobial activity of the films exposed under UV light was higher than that under fluorescent light. The developed film has the potential to be used as a food packaging film that can extend the shelf life, maintain the quality, and assure the safety of food.

  19. Hybrid Solar Cell with TiO2 Film: BBOT Polymer and Copper Phthalocyanine as Sensitizer

    Directory of Open Access Journals (Sweden)

    Saptadip Saha

    2016-01-01

    Full Text Available An organic-inorganic hybrid solar cell was fabricated using Titanium dioxide (TiO2: 2,5-bis(5-tert-butyl-2-benzoxazolyl thiophene (BBOT film and Copper Phthalocyanine (CuPc as a sensitizer. BBOT was used in photodetector in other reported research works, but as per best of our knowledge, it was not implemented in solar cells till date. The blend of TiO2: BBOT blend was used to fabricate the film on ITO-coated glass and further a thin layer of CuPc was coated on the film. This was acted as photoanode and another ITO coated glass with a platinum coating was used as a counter electrode (cathode. An optimal blend of acetonitrile (solvent (50-100%, 1,3-dimethylimidazolium iodide (10-25%, iodine (2.5-10% and lithium iodide, pyridine derivative and thiocyanate was used as electrolytes in the hybrid solar cell. The different structural, optical and electrical characteristics were measured. The Hybrid solar cell showed a maximum conversion efficiency of 6.51%.

  20. Evolution of structural and magnetic properties of Co-doped TiO2 thin films irradiated with 100 MeV Ag7+ ions

    International Nuclear Information System (INIS)

    Mohanty, P; Singh, V P; Rath, Chandana; Mishra, N C; Ojha, S; Kanjilal, D

    2014-01-01

    In continuation to our earlier studies where we have shown room temperature ferromagnetism observed in TiO 2 and Co-doped TiO 2 (CTO) thin films independent of their phase (Mohanty et al 2012 J. Phys. D: Appl. Phys. 45 325301), here the modifications in structure and magnetic properties in CTO thin films using 100 MeV Ag 7+ ion irradiation are reported. Owing to the important role of defects in tailoring the magnetic properties of the material, we vary the ion fluence from 5 × 10 11 to 1 × 10 12  ions cm −2 to create post-deposition defects. While the film deposited under 0.1 mTorr oxygen partial pressure retains its crystallinity showing radiation-resistant behaviour even at a fluence of 1 × 10 12  ions cm −2 , films deposited under 1 to 300 mTorr oxygen partial pressure becomes almost amorphous at the same fluence. Using Poisson's law, the diameter of the amorphized region surrounding the ion path is calculated to be ∼4.2 nm from the x-ray diffraction peak intensity ((1 1 0) for rutile phase) as a function of ion fluence. The saturation magnetization (M s ) decreases exponentially similar to the decrease in x-ray peak intensity with fluence, indicating magnetic disordered region surrounding the ion path. The diameter of the magnetic disordered region is found to be ∼6.6 nm which is larger than the diameter of the amorphized latent track. Therefore, it is confirmed that swift heavy ion irradiation induces a more significant magnetic disorder than the structural disorder. (paper)

  1. Design and fabrication of ZnO/TiO2-based thin-film inverter circuits using solution processing techniques

    International Nuclear Information System (INIS)

    Liau, Leo Chau-Kuang; Kuo, Juo-Wei; Chiang, Hsin-Ni

    2012-01-01

    Novel and cost-effective ceramic-based thin-film inverter circuits, based on two layers of TiO 2 and ZnO films to construct junction field-effect transistors (FETs), were designed and fabricated by solution coating techniques. The double layers of the sol–gel ZnO and TiO 2 films were coated and characterized as a diode according to the current–voltage performance. Two types of FETs, the p-channel (p-FET) and the n-channel (n-FET) devices, were produced using different coating sequences of ZnO and TiO 2 layers. Both of the transistor performances were evaluated by analyzing the source–drain current versus voltage (I ds –V ds ) data with the control of the gate voltage (V g ). The ZnO/TiO 2 -based inverter circuits, such as the complementary-FET device, were further fabricated using the integration of the p-FET and the n-FET. The voltage transfer characteristics of the inverters were estimated by the tests of the input voltage (V in ) versus the output voltage (V out ) for the thin-film inverter circuits. (paper)

  2. Soft chemistry routes to transparent metal oxide thin films. The case of sol–gel synthesis and structural characterization of Ta2O5 thin films from tantalum chloromethoxide

    International Nuclear Information System (INIS)

    Epifani, Mauro; Zamani, Reza; Arbiol, Jordi; Fabrega, Cristian; Andreu, Teresa; Pace, Giovanni Battista; Siciliano, Pietro; Morante, Joan R.

    2014-01-01

    Ta 2 O 5 thin films were prepared by spin-coating methanol solutions of Ta chloromethoxide. It was prepared by reacting TaCl 5 with methanol, followed by water addition (H 2 O: Ta molar ratio was 16). Thin films were deposited by spin-coating onto SiO 2 /Si substrates, followed by drying at 90 °C and heat-treatment up to 700 °C. The films were characterized by X-ray diffraction, transmission electron microscopy and field emission scanning electron microscopy. Crystallization was obtained only after heating at 700 °C, in the Ta 2 O 5 orthorhombic phase. The resulting films had a thickness of 100 nm. Their structure was constituted by porous crystals with size up to 50 nm, while the pores had a size of about 10 nm. The results demonstrated that TaCl 5 is very convenient precursor for the wet chemical synthesis of Ta 2 O 5 thin films. - Highlights: • Development of convenient solution synthesis of Ta 2 O 5 thin films; • The precursor chemistry was established and related to the synthesis process; • Uniform films crystallized in the most stable orthorombic Ta 2 O 5 phase;

  3. Improved performance of dye-sensitized solar cell based on TiO_2 photoanode with FTO glass and film both treated by TiCl_4

    International Nuclear Information System (INIS)

    Li, Jinlun; Zhang, Haiyan; Wang, Wenguang; Qian, Yannan; Li, Zhenghui

    2016-01-01

    The dye-sensitized solar cell (DSSC) based on TiO_2 photoanode with FTO glass and TiO_2 film co-treated by TiCl_4 were fabricated. The effects of TiCl_4 treatment on the photovoltaic performance of the DSSCs were investigated. TiCl_4 treatment of the FTO glass resulted in the formation of a compact TiO_2 thin layer on its surface, which could increase the electron collection efficiency. Meanwhile, TiCl_4 treatment of the TiO_2 film could fill gaps between nanoparticles in the TiO_2 film, leading to better electron transfer. These advantages make the DSSC exhibit a highest conversion efficiency of 3.34% under a simulated solar irradiation with an intensity of 100 mW/cm"2 (1 sun), increased by 38% compared with that of the untreated DSSC.

  4. Atomic force microscopy measurements of topography and friction on dotriacontane films adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Trogisch, S.; Simpson, M.J.; Taub, H.

    2005-01-01

    We report comprehensive atomic force microscopy (AFM) measurements at room temperature of the nanoscale topography and lateral friction on the surface of thin solid films of an intermediate-length normal alkane, dotriacontane (n-C32H66), adsorbed onto a SiO2 surface. Our topographic and frictional...

  5. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  6. Fabrication of a TiO2-P25/(TiO2-P25+TiO2 nanotubes junction for dye sensitized solar cells

    Directory of Open Access Journals (Sweden)

    Nguyen Huy Hao

    2016-08-01

    Full Text Available The dye sensitized solar cell (DSSC, which converts solar light into electric energy, is expected to be a promising renewable energy source for today's world. In this work, dye sensitized solar cells, one containing a single layer and one containing a double layer, were fabricated. In the double layer DSSC structure, the under-layer was TiO2-P25 film, and the top layer consisted of a mixture of TiO2-P25 and TiO2 nanotubes. The results indicated that the efficiency of the DSSC with the double layer structure was a significant improvement in comparison to the DSSC consisting of only a single film layer. The addition of TiO2-P25 in the top layer caused an improvement in the adsorption of dye molecules on the film rather than on the TiO2 nanotubes only. The presence of the TiO2 nanotubes together with TiO2-P25 in the top layer revealed the enhancement in harvesting the incident light and an improvement of electron transport through the film.

  7. Photocathodic Protection of 304 Stainless Steel by Bi2S3/TiO2 Nanotube Films Under Visible Light.

    Science.gov (United States)

    Li, Hong; Wang, Xiutong; Wei, Qinyi; Hou, Baorong

    2017-12-01

    We report the preparation of TiO 2 nanotubes coupled with a narrow bandgap semiconductor, i.e., Bi 2 S 3 , to improve the photocathodic protection property of TiO 2 for metals under visible light. Bi 2 S 3 /TiO 2 nanotube films were successfully synthesized using the successive ionic layer adsorption and reaction (SILAR) method. The morphology and structure of the composite films were studied by scanning electron microscopy and X-ray diffraction, respectively. UV-visible diffuse reflectance spectra were recorded to analyze the optical absorption property of the composite films. In addition, the influence of Bi 2 S 3 deposition cycles on the photoelectrochemical and photocathodic protection properties of the composite films was also studied. Results revealed that the heterostructure comprised crystalline anatase TiO 2 and orthorhombic Bi 2 S 3 and exhibited a high visible light response. The photocurrent density of Bi 2 S 3 /TiO 2 was significantly higher than that of pure TiO 2 under visible light. The sensitization of Bi 2 S 3 enhanced the separation efficiency of the photogenerated charges and photocathodic protection properties of TiO 2 . The Bi 2 S 3 /TiO 2 nanotubes prepared by SILAR deposition with 20 cycles exhibited the optimal photogenerated cathodic protection performance on the 304 stainless steel under visible light.

  8. Photocathodic Protection of 304 Stainless Steel by Bi2S3/TiO2 Nanotube Films Under Visible Light

    Science.gov (United States)

    Li, Hong; Wang, Xiutong; Wei, Qinyi; Hou, Baorong

    2017-01-01

    We report the preparation of TiO2 nanotubes coupled with a narrow bandgap semiconductor, i.e., Bi2S3, to improve the photocathodic protection property of TiO2 for metals under visible light. Bi2S3/TiO2 nanotube films were successfully synthesized using the successive ionic layer adsorption and reaction (SILAR) method. The morphology and structure of the composite films were studied by scanning electron microscopy and X-ray diffraction, respectively. UV-visible diffuse reflectance spectra were recorded to analyze the optical absorption property of the composite films. In addition, the influence of Bi2S3 deposition cycles on the photoelectrochemical and photocathodic protection properties of the composite films was also studied. Results revealed that the heterostructure comprised crystalline anatase TiO2 and orthorhombic Bi2S3 and exhibited a high visible light response. The photocurrent density of Bi2S3/TiO2 was significantly higher than that of pure TiO2 under visible light. The sensitization of Bi2S3 enhanced the separation efficiency of the photogenerated charges and photocathodic protection properties of TiO2. The Bi2S3/TiO2 nanotubes prepared by SILAR deposition with 20 cycles exhibited the optimal photogenerated cathodic protection performance on the 304 stainless steel under visible light.

  9. Nb and Ta Co-Doped TiO2 Transparent Conductive Thin Films by Magnetron Sputtering: Fabrication, Structure, and Characteristics

    Science.gov (United States)

    Liu, Yang; Peng, Qian; Qiao, Yadong; Yang, Guang

    2018-06-01

    Nb and Ta co-doped anatase titanium dioxide (NTTO) nanocrystalline thin films were deposited on quartz and Si (100) substrates by RF magnetron sputtering. The influence of RF power on the growth, structure, morphology, and properties of the samples are discussed in detail. X-ray diffraction measurements show that the films are polycrystalline with anatase tetragonal structure, which is further confirmed by Raman spectroscopy analysis. Meanwhile, Raman spectroscopy results indicate that the peak width of E g(1) mode, which is directly correlated to the carrier density, changes obviously with RF power. It is found that the substitution of Nb5+ and Ta5+ at Ti site is significantly improved with the increase of RF power from 150 W to 210 W. For the sample deposited at 210 W, the optical transmittance is above 82% in the visible range and the electrical resistivity is as low as 1.3 × 10-3 Ω cm with carrier density of 1.1 × 1021 cm-3 and Hall mobility of 4.5 cm2 V-1 s-1. The optical and electrical properties of NTTO thin films can be compared to those of Nb or Ta doped anatase TiO2. However, co-doping with Nb and Ta gives a possible platform to complement the limitations of each individual dopant.

  10. Sol-gel deposition and electrical properties of laser irradiated Cu doped TiO2 multilayer thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available Multilayer thin films (3, 5 and 7 of 20% copper doped titanium dioxide (Cu:TiO2 have been deposited on glass substrates by sol-gel spin coating method. After deposition, films have been irradiated by a beam of continuous wave diode laser (532 nm for two minutes at the angle of 45°. Structural, surface morphology and electrical properties of films have been investigated by X-rays diffraction (XRD, scanning electron microscope (SEM and four point probe technique respectively. XRD shows the formation of titanium copper oxide. Surface morphology of thin films indicated that the average grain size is increased by increasing the number of layers. The average sheet resistivity of 3, 5 and 7 layers of thin films measured by four point probe technique is 2.2 × 104, 1.2 × 104 and 1.0 × 104 (Ohm-cm respectively. The present study will facilitate a cost effective and environmental friendly study for several properties of materials. Keywords: Cu:TiO2, Multilayer thin films, Diode laser

  11. TiO2 coated SnO2 nanosheet films for dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Cai Fengshi; Yuan Zhihao; Duan Yueqing; Bie Lijian

    2011-01-01

    TiO 2 -coated SnO 2 nanosheet (TiO 2 -SnO 2 NS) films about 300 nm in thickness were fabricated on fluorine-doped tin oxide glass by a two-step process with facile solution-grown approach and subsequent hydrolysis of TiCl 4 aqueous solution. The as-prepared TiO 2 -SnO 2 NSs were characterized by scanning electron microscopy and X-ray diffraction. The performances of the dye-sensitized solar cells (DSCs) with TiO 2 -SnO 2 NSs were analyzed by current-voltage measurements and electrochemical impedance spectroscopy. Experimental results show that the introduction of TiO 2 -SnO 2 NSs can provide an efficient electron transition channel along the SnO 2 nanosheets, increase the short current density, and finally improve the conversion efficiency for the DSCs from 4.52 to 5.71%.

  12. The TiO2 Refraction Film for CsI Scintillator

    OpenAIRE

    C. C. Chen; C. W. Hun; C. J. Wang; C. Y. Chen; J. S. Lin; K. J. Huang

    2015-01-01

    Cesium iodide (CsI) melt was injected into anodic aluminum oxide (AAO) template and was solidified to CsI column. The controllable AAO channel size (10~500 nm) can makes CsI column size from 10 to 500 nm in diameter. In order to have a shorter light irradiate from each singe CsI column top to bottom the AAO template was coated a TiO2 nano-film. The TiO2 film acts a refraction film and makes X-ray has a shorter irradiation path in the CsI crystal making a stronger the photo-electron signal. Wh...

  13. Preparation of Nanoporous TiO2 Electrodes for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Hsiue-Hsyan Wang

    2011-01-01

    Full Text Available Nano-porous TiO2 thin films have been widely used as the working electrodes in dye-sensitized solar cells (DSSCs. In this work, the phase-pure anatase TiO2 (a-TiO2 and rutile TiO2 (r-TiO2 have been prepared using hydrothermal processes. The investigation of photo-to-electron conversion efficiency of DSSCs fabricated from mixed-TiO2 with a-TiO2 and r-TiO2 ratio of 80 : 20 (A8R2 was performed and compared to that from commercial TiO2 (DP-25. The results showed higher efficiency of DSSC for A8R2 cells with same dependence of cell efficiency on the film thickness for both A8R2 and DP-25 cells. The best efficiency obtained in this work is 5.2% from A8R2 cell with TiO2 film thickness of 12.0 μm. The correlation between the TiO2 films thickness and photoelectron chemical properties of DSSCs fabricated from A8R2 and DP-25 was compared and discussed.

  14. Seebeck coefficient of synthesized Titanium Dioxide thin film on FTO glass substrate

    Science.gov (United States)

    Usop, R.; Hamed, N. K. A.; Megat Hasnan, M. M. I.; Ikeda, H.; Sabri, M. F. M.; Ahmad, M. K.; Said, S. M.; Salleh, F.

    2018-04-01

    In order to fabricate a thermoelectric device on glass substrate for harvesting waste heat energy through house appliances, the Seebeck coefficient of translucent TiO2 thin film was investigated. The TiO2 thin film was synthesized by using hydrothermal method with F-SnO2 coated glass as substrate. From scanning electron microscopy analysis, the synthesized TiO2 thin film was found to be in nanometer-scale rod structure with a thickness of 4 µm. The Seebeck coefficient was measured in the temperature range of 300 – 400 K. The Seebeck coefficient is found to be in negative value which shows that synthesized film is an n-type semiconductor material, and is lower than the value of bulk-size material. This reduction in Seebeck coefficient of TiO2 thin film is likely due to the low dimensional effect and the difference of carrier concentration.

  15. Suppressing Structural Colors of Photocatalytic Optical Coatings on Glass: The Critical Role of SiO2.

    Science.gov (United States)

    Li, Ronghua; Boudot, Mickael; Boissière, Cédric; Grosso, David; Faustini, Marco

    2017-04-26

    The appearance of structural colors on coated-glass is a critical esthetical drawback toward industrialization of photocatalytic coatings on windows for architecture or automobile. Herein we describe a rational approach to suppress the structural color of mesoporous TiO 2 -based coatings preserving photoactivity and mechanical stiffness. Addition of SiO 2 as third component is discussed. Ti x Si (1-x) O 2 mesoporous coatings were fabricated by one-step liquid deposition process through the evaporation induced self-assembling and characterized by GI-SAXS, GI-WAXS, electron microscopies, and in situ Environmental Ellipsometry Porosimetry. Guided by optical simulation, we investigated the critical role of SiO 2 on the optical responses of the films but also on the structural, mechanical, and photocatalytic properties, important requirements to go toward real applications. We demonstrate that adding SiO 2 to porous TiO 2 allows tuning and suppression of structural colors through refractive index matching and up to 160% increase in mechanical stiffening of the films. This study leads us to demonstrate an example of "invisible" coating, in which the light reflection is angle- and thickness-independent, and exhibiting high porosity, mechanical stiffness, and photoactivity.

  16. Photocatalytic activity of self-assembled porous TiO2 nano-columns array fabricated by oblique angle sputter deposition

    Science.gov (United States)

    Shi, Pengjun; Li, Xibo; Zhang, Qiuju; Yi, Zao; Luo, Jiangshan

    2018-04-01

    A well-separated and oriented TiO2 nano-columns arrays with porous structure were fabricated by the oblique angle sputter deposition technique and subsequently annealing at 450 °C in Ar/O2 mixed atmosphere. The deposited substrate was firstly modified by a template of self-assembled close-packed arrays of 500 nm-diameter silica (SiO2) spheres. Scanning electronic microscopic (SEM) images show that the porous columnar nanostructure is formed as a result of the geometric shadowing effect and surface diffusion of the adatoms in oblique angle deposition (OAD). X-ray diffraction (XRD) measurements reveal that the physically OAD film with annealing treatment are generally mixed phase of rutile and anatase TiO2 polymorphic forms. The morphology induced absorbance and band gap tuning by different substrates was demonstrated by the UV–vis spectroscopy. The well-separated one-dimensional (1D) nano-columns array with specific large porous surface area is beneficial for charge separation in photocatalytic degradation. Compared with compact thin film, such self-assembled porous TiO2 nano-columns array fabricated by oblique angle sputter deposition performed an enhanced visible light induced photocatalytic activity by decomposing methyl orange (MO) solution. The well-designed periodic array-structured porous TiO2 films by using modified patterned substrates has been demonstrated significantly increased absorption edge in the UV-visible light region with a narrower optical band gap, which are expected to be favorable for application in photovoltaic, lithium-ion insertion and photocatalytic, etc.

  17. Light-emitting Si films formed by neutral cluster deposition in a thin O2 gas

    International Nuclear Information System (INIS)

    Honda, Y.; Takei, M.; Ohno, H.; Shida, S.; Goda, K.

    2005-01-01

    We have fabricated the light-emitting Si-rich and oxygen-rich amorphous SiO 2 (a-SiO 2 ) films using the neutral cluster deposition (NCD) method without and with oxygen gas admitted, respectively, and demonstrate for the first time that these films show a photoluminescent feature. The Si thin films were observed by atomic force microscopy and high-resolution transmission electron microscopy, and analyzed by means of X-ray photoelectron spectroscopy, photoluminescence (PL) and FTIR-attenuated total reflection measurements. All of the PL spectra show mountainous distribution with a peak around 620 nm. It is found that the increase in the oxygen termination in the a-SiO 2 films evidently makes the PL intensity increase. It is demonstrated that NCD technique is one of the hopeful methods to fabricate light-emitting Si thin films

  18. Antimicrobial and Barrier Properties of Bovine Gelatin Films Reinforced by Nano TiO2

    Directory of Open Access Journals (Sweden)

    R. Nassiri

    2013-11-01

    Full Text Available The effects of nano titanium dioxide incorporation were investigated on the water vaporpermeability, oxygen permeability, and antimicrobial properties of bovine gelatin films. The nano TiO2 (TiO2-N was homogenized by sonication and incorporated into bovine gelatin solutions at different concentrations(e.g. 1, 2, 3, and 5% w/w of dried gelatin. The permeability of the films to water vapor and oxygen wassignificantly decreased by incorporating of low concentration TiO2-N to gelatin solutions. TiO2-N gelatin filmsshowed an excellent antimicrobial activity against Staphylococcus aureus and Escherichia coli. Theseproperties suggest that TiO2-N has the potential as filler in gelatin-based films for using as an active packagingmaterials in pharmaceutical and food packaging industries.

  19. Investigations of structural, morphological and optical properties of Cu:ZnO/TiO2/ZnO and Cu:TiO2/ZnO/TiO2 thin films prepared by spray pyrolysis technique

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available The aim of this research work is presented a comparison study of Cu:ZnO/TiO2/ZnO (Cu:ZTZ and Cu:TiO2/ZnO/TiO2 (Cu:TZT thin films deposited by spray pyrolysis technique on FTO substrates. After deposition, these films are annealed at 500 °C. XRD confirms the anatase phase of TiO2 and Hexagonal wurtzite phase of ZnO. SEM shows that Cu:TZT has more porous surface than Cu:ZTZ and also the root mean square (RMS roughness of Cu:TZT film is 48.96 and Cu:ZTZ film is 32.69. The calculated optical band gaps of Cu:TZT and Cu:ZTZ thin films are 2.65 eV and 2.6 eV respectively, measured by UV–Vis spectrophotometer. This work provides an environment friendly and low cost use of an abundant material for highly efficient dye sensitized solar cells (DSSCs. Keywords: Multilayer films, ZnO, TiO2, Cu

  20. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  1. Interfacial characteristics and dielectric properties of Ba0.65Sr0.35TiO3 thin films

    International Nuclear Information System (INIS)

    Quan Zuci; Zhang Baishun; Zhang Tianjin; Zhao Xingzhong; Pan Ruikun; Ma Zhijun; Jiang Juan

    2008-01-01

    Ba 0.65 Sr 0.35 TiO 3 (BST) thin films were deposited on Pt/Ti/SiO 2 /Si substrates by radio frequency magnetron sputtering technique. X-ray photoelectron spectroscopy (XPS) depth profiling data show that each element component of the BST film possesses a uniform distribution from the outermost surface to subsurface, but obvious Ti-rich is present to BST/Pt interface because Ti 4+ cations are partially reduced to form amorphous oxides such as TiO x (x -7 A/cm 2 at 1.23 V and lower than 5.66 x 10 -6 A/cm 2 at 2.05 V as well as breakdown strength is above 3.01 x 10 5 V/cm

  2. Nano-structure TiO2 film coating on 316L stainless steel via sol-gel technique for blood compatibility improvement

    Directory of Open Access Journals (Sweden)

    Mohammadreza Foruzanmehr

    2014-04-01

    Full Text Available   Objective(s: Titanium oxides are known to be appropriate hemocompatible materials which are suggested as coatings for blood-contacting devices. Little is known about the influence of nanometric crystal structure, layer thickness, and semiconducting characteristics of TiO2 on blood hemostasis.   Materials and Methods: Having used sol-gel dip coating method in this study, TiO2 thin films were deposited on nano-scale electro-polished stainless steel 316L with 1 to 5 nano-sized layers. Surface morphology and structure of the film were studied with X-ray diffraction and atomic force microscopy. Blood compatibility was also determined by measuring the platelet activation (CD62P expression, platelet adhesion (Scanning Electron Microscopy, and the blood clotting time on these samples. Results: The films were compact and smooth and existed mainly in the form of anatase. By increasing the number of TiO2 thin layer, clotting time greatly extended, and the population of activated platelet and P-selectine expression changed according to the surface characteristics of each layer. Conclusion: The findings revealed that stainless steel 316L coated with nano-structured TiO2 layer improved blood compatibility, in terms of both blood platelet activity and coagulation cascade, which can decrease the thrombogenicity of blood contacting devices which were made from stainless steel.

  3. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  4. Characterization of electron beam deposited thin films of HfO2 and binary thin films of (HfO2:SiO2) by XRD and EXAFS measurements

    International Nuclear Information System (INIS)

    Das, N.C.; Sahoo, N.K.; Bhattacharyya, D.; Thakur, S.; Kamble, N.M.; Nanda, D.; Hazra, S.; Bal, J.K.; Lee, J.F.; Tai, Y.L.; Hsieh, C.A.

    2009-10-01

    In this report, we have discussed the microstructure and the local structure of composite thin films having varying hafnia and silica compositions and prepared by reactive electron beam evaporation. XRD and EXAFS studies have confirmed that the pure hafnium oxide thin film has crystalline microstructure whereas the films with finite hafnia and silica composition are amorphous. The result of EXAFS analysis has shown that the bond lengths as well as coordination numbers around hafnium atom change with the variation of hafnia and silica compositions in the thin film. Finally, change of bond lengths has been correlated with change of refractive index and band gap of the composite thin films. (author)

  5. A weak-light-responsive TiO2/g-C3N4 composite film: photocatalytic activity under low-intensity light irradiation.

    Science.gov (United States)

    Wang, Peifang; Guo, Xiang; Rao, Lei; Wang, Chao; Guo, Yong; Zhang, Lixin

    2018-05-10

    A TiO 2 /g-C 3 N 4 composite photocatalytic film was prepared by in situ synthesis method and its photocatalytic capability under weak-visible-light condition was studied. The co-precursor with different ratio of melamine and TiO 2 sol-gel precursor were treated using ultrasonic mixing, physical deposition, and co-sintering method to form the smooth, white-yellow, and compact TiO 2 /g-C 3 N 4 composite films. The prepared TiO 2 /g-C 3 N 4 materials were characterized by SEM, TEM, EDS, XRD, BET, VBXPS, and UV-vis diffuse reflectance spectra. The results of composite showed that TiO 2 and g-C 3 N 4 have close interfacial connections which are favorable to charge transfer between these two semiconductors with suitable band structure, g-C 3 N 4 retard the anatase-to-rutile phase transition of TiO 2 significantly, the specific surface area were increased with g-C 3 N 4 ratio raised. Under weak-light irradiation, composite films photocatalytic experiments exhibited RhB removal efficiency approaching 90% after three recycles. Powders suspension degradation experiments revealed the removal efficiency of TiO 2 /g-C 3 N 4 (90.8%) was higher than pure TiO 2 (52.1%) and slightly lower than pure g-C 3 N 4 (96.6%). By control experiment, the enhanced photocatalysis is ascribed to the combination of TiO 2 and g-C 3 N 4 , which not only produced thin films with greater stability but also formed heterojunctions that can be favorable to charge transfer between these two semiconductors with suitable band structure. This study presents the potential application of photocatalytic film in the wastewater treatment under weak-light situation.

  6. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers

    International Nuclear Information System (INIS)

    Wang Bin; Zhang Hongchao; Qin Yuan; Wang Xi; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO 2 film components with platinum high-absorptance inclusions was established. The temperature rises of TiO 2 films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations.

  7. Polymer Photovoltaic Cell Using TiO2/G-PEDOT Nanocomplex Film as Electrode

    Directory of Open Access Journals (Sweden)

    F. X. Xie

    2008-01-01

    Full Text Available Using TiO2/G-PEDOT (PEDOT/PSS doped with glycerol nanocomplex film as a substitute for metal electrode in organic photovoltaic cell is described. Indium tin oxide (ITO worked as cathode and TiO2/G-PEDOT nanocomplex works as anode. The thickness of TiO2 layer in nanocomplex greatly affects the act of this nonmetallic electrode of the device. To enhance its performance, this inverted organic photovoltaic cell uses another TiO2 layer as electron selective layer contacted to ITO coated glass substrates. All films made by solution processing techniques are coated on the transparent substrate (glass with a conducting film ITO. The efficiency of this solar cell is compared with the conventional device using Al as electrode.

  8. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values

    Science.gov (United States)

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-01

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  9. Microstructural, photocatalysis and electrochemical investigations on CeTi2O6 thin films

    International Nuclear Information System (INIS)

    Verma, Amita; Goyal, Anshu; Sharma, R.K.

    2008-01-01

    The properties of sol-gel derived CeTi 2 O 6 thin films deposited using a solution of cerium chloride heptahydrate and titanium propoxide in ethanol are discussed. The effect of annealing temperature on structural, optical, photoluminescence, photocatalysis and electrochemical characteristics has been examined. Lowest annealing temperature for the formation of crystalline CeTi 2 O 6 phase in these samples is identified as 580 deg. C. The optical transmittance of the films is observed to be independent of the annealing temperature. The optical energy bandgap of the 600 deg. C annealed film for indirect transition is influenced by the presence of anatase phase of TiO 2 in its structure. Fourier transform infrared spectroscopy investigations have evidenced increased bond strength of the Ti-O-Ti network in the films as a function of annealing temperature. The photoluminescence intensity of the films has shown dependence on the annealing temperature with the films fired at 450 deg. C exhibiting the maximum photoluminescence activity. The decomposition of methyl orange and eosin (yellow) under UV-visible light irradiation in the presence of crystalline CeTi 2 O 6 films shows the presence of photoactivity in these films. The photocatalytic response of CeTi 2 O 6 films is found to be superior to the TiO 2 films. In comparison to crystalline films, the amorphous films have shown superior electrochemical characteristics. The 500 deg. C annealed amorphous films have exhibited the most appropriate properties for incorporation in electrochromic devices comprising tungsten oxide as the primary electrochromic electrode

  10. Fe-N co-doped SiO2@TiO2 yolk-shell hollow nanospheres with enhanced visible light photocatalytic degradation

    Science.gov (United States)

    Wan, Hengcheng; Yao, Weitang; Zhu, Wenkun; Tang, Yi; Ge, Huilin; Shi, Xiaozhong; Duan, Tao

    2018-06-01

    SiO2@TiO2 yolk@shell hollow nanospheres (STNSs) is considered as an outstanding photocatalyst due to its tunable structure and composition. Based on this point, we present an unprecedentedly excellent photocatalytic property of STNSs toward tannic acid via a Fe-N co-doped strategy. Their morphologies, compositions, structure and properties are characterized. The Fe-N co-doped STNSs formed good hollow yolk@shell structure. The results show that the energy gap of the composites can be downgraded to 2.82 eV (pure TiO2 = 3.2 eV). Photocatalytic degradation of tannic acid (TA, 30 mg L-1) under visible light (380 nm TiO2 nanospheres, non-doped STNSs and N-doped STNSs, the Fe-N co-doped STNSs exhibits the highest activity, which can degrade 99.5% TA into CO2 and H2O in 80 min. The probable degradation mechanism of the composites is simultaneously proposed, the band gap of STNSs becomes narrow by co-doping Fe-N, so that the TiO2 shell can stimulate electrons under visible light exposure, generate the ions of radOH and radO2- with a strong oxidizing property. Therefore this approach works is much desired for radioactive organic wastewater photocatalytic degradation.

  11. Enhanced interfacial contact between PbS and TiO2 layers in quantum dot solar cells using 2D-arrayed TiO2 hemisphere nanostructures

    Science.gov (United States)

    Lee, Wonseok; Ryu, Ilhwan; Lee, Haein; Yim, Sanggyu

    2018-02-01

    Two-dimensionally (2D) arrayed hemispherical nanostructures of TiO2 thin films were successfully fabricated using a simple procedure of spin-coating or dip-coating TiO2 nanoparticles onto 2D close-packed polystyrene (PS) nanospheres, followed by PS extraction. The nanostructured TiO2 film was then used as an n-type layer in a lead sulfide (PbS) colloidal quantum dot solar cell. The TiO2 nanostructure could provide significantly increased contacts with subsequently deposited PbS quantum dot layer. In addition, the periodically arrayed nanostructure could enhance optical absorption of the cell by redirecting the path of the incident light and increasing the path length passing though the active layer. As a result, the power conversion efficiency (PCE) reached 5.13%, which is approximately a 1.7-fold increase over that of the control cell without nanostructuring, 3.02%. This PCE enhancement can mainly be attributed to the increase of the short-circuit current density from 19.6 mA/cm2 to 30.6 mA/cm2, whereas the open-circuit voltage and fill factor values did not vary significantly.

  12. Modification of physicochemical and thermal properties of starch films by incorporation of TiO2 nanoparticles.

    Science.gov (United States)

    Oleyaei, Seyed Amir; Zahedi, Younes; Ghanbarzadeh, Babak; Moayedi, Ali Akbar

    2016-08-01

    In this research, potato starch and TiO2 nanoparticles (0.5, 1 and 2wt%) films were developed. Influences of different concentrations of TiO2 on the functional properties of nanocomposite films (water-related properties, mechanical characteristics, and UV transmittance) were investigated. XRD, FTIR, and DSC analyses were used to characterize the morphology and thermal properties of the films. The results revealed that TiO2 nanoparticles dramatically decreased the values of water-related properties (water vapor permeability: 11-34%; water solubility: 1.88-9.26%; moisture uptake: 2.15-11.18%). Incorporation of TiO2 led to a slight increment of contact angle and tensile strength, and a decrease in elongation at break of the films. TiO2 successfully blocked more than 90% of UV light, while opacity and white index of the films were enhanced. Glass transition temperature and melting point of the films were positively affected by the addition of TiO2 nanoparticles. The result of XRD study exhibited that due to a limited agglomeration of TiO2 nanoparticles, the mean crystal size of TiO2 increased. Formation of new hydrogen bonds between the hydroxyl groups of starch and nanoparticles was confirmed by FTIR spectroscopy. In conclusion, TiO2 nanoparticles improved the functional properties of potato starch film and extended the potential for food packaging applications. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Liquid phase deposition of WO3/TiO2 heterojunction films with high photoelectrocatalytic activity under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Man; Yang, Changzhu; Pu, Wenhong; Tan, Yuanbin; Yang, Kun; Zhang, Jingdong

    2014-01-01

    Highlights: • Liquid phase deposition is developed for preparing WO 3 /TiO 2 heterojunction films. • TiO 2 film provides an excellent platform for WO 3 deposition. • WO 3 expands the absorption band edge of TiO 2 film to visible light region. • WO 3 /TiO 2 heterojunction film shows high photoelectrocatalytic activity. - ABSTRACT: The heterojunction films of WO 3 /TiO 2 were prepared by liquid phase deposition (LPD) method via two-step processes. The scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopic analysis indicated that flower-like WO 3 film was successfully deposited on TiO 2 film with the LPD processes. The TiO 2 film provided an excellent platform for WO 3 deposition while WO 3 obviously expanded the absorption of TiO 2 film to visible light. As the result, the heterojunction film of WO 3 /TiO 2 exhibited higher photocurrent response to visible light illumination than pure TiO 2 or WO 3 film. The photoelectrocatalytic (PEC) activity of WO 3 /TiO 2 film was evaluated by degrading Rhodamin B (RhB) and 4-chlorophenol (4-CP) under visible light irradiation. The results showed that the LPD WO 3 /TiO 2 film possessed high PEC activity for efficient removal of various refractory organic pollutants

  14. Influence of substrate temperature and silver-doping on the structural and optical properties of TiO_2 films

    International Nuclear Information System (INIS)

    Fischer, Dieter

    2016-01-01

    Evaporation of titanium together with activated oxygen is used to grow TiO_2 films and simultaneously with silver to grow Ag–TiO_2 films (5 at.% Ag) onto sapphire substrates at three different substrate temperatures: − 190, 30, and 200 °C. The obtained films were characterized by X-ray powder diffraction, Raman, X-ray photoelectron, ultraviolet–visible spectroscopy, and transmission electron microscope investigations. The properties of TiO_2 films varied with the substrate temperature. Amorphous, transparent TiO_2 films were grown at − 190 °C and opaque, polycrystalline films at 200 °C, respectively. Surprisingly, at room temperature black, amorphous TiO_2 films are obtained which transform at 350 °C into a mixture of the anatase and brookite polymorph. In the amorphous state of the TiO_2 films a predefined rutile arrangement is suggested by Raman investigations, and the contraction of the lattice constant c of anatase phases (tetragonal, space group I 4_1/amd) depending on the substrate temperature is experimentally observed. The silver-doped TiO_2 films deposited at − 190 and 30 °C contain Ag-particles with 2 nm in size inside the TiO_2 matrix, which after annealing segregate under increasing particle sizes. The silver-doping stabilizes the anatase polymorph and yields to reduced titanium species in the films especially during deposition at 30 °C. The Ag–TiO_2 films deposited at − 190 °C are transparent up to 350 °C. In the undoped as well as silver-doped TiO_2 films the rutile polymorph is directly formed at 200 °C as main phase. - Highlights: • At room temperature black, amorphous TiO_2 films are obtained. • A predefined rutile arrangement is suggested in amorphous TiO_2 films. • Annealed TiO_2 films crystallize to a mixture of the anatase and brookite polymorph. • In TiO_2 and Ag-doped TiO_2 films the rutile polymorph is directly formed at 200 °C. • Ag-doped TiO_2 films stabilize the anatase polymorph and reduced titanium

  15. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  16. Morphological study of electrophoretically deposited TiO2 film for DSSC application

    Science.gov (United States)

    Patel, Alkesh B.; Patel, K. D.; Soni, S. S.; Sonigara, K. K.

    2018-05-01

    In the immerging field of eco-friendly and low cost photovoltaic devices, dye sensitized solar cell (DSSC) [1] has been investigated as promising alternative to the conventional silicon-based solar cells. In the DSSC device, photoanode is crucial component that take charge of holding sensitizer on it and inject the electrons from the sensitizer to current collector. Nanoporous TiO2 is the most relevant candidate for the preparation of photoanode in DSSCs. Surface properties, morphology, porosity and thickness of TiO2 film as well as preparation technique determine the performance of device. In the present work we have report the study of an effect of nanoporous anatase titanium dioxide (TiO2) film thickness on DSSC performance. Photoanode TiO2 (P25) film was deposited on conducting substrate by electrophoresis technique (EPD) and film thickness was controlled during deposition by applying different current density for a constant time interval. Thickness and surface morphology of prepared films was studied by SEM and transmittance analysis. The same set of photoanode was utilized in DSSC devices using metal free organic dye sensitizer to evaluate the photovoltaic performance. Devices were characterized through Current-Voltage (I-V) characteristic, electrochemical impedance spectroscopy (EIS) and open circuit voltage decay curves. Dependency of device performance corresponding to TiO2 film thickness is investigated through the lifetime kinetics of electron charge transfer mechanism trough impedance fitting. It is concluded that appropriate thickness along with uniformity and porosity are required to align the dye molecules to respond efficiently the incident light photons.

  17. Polaron variable range hopping in TiO2-δ(-0.04=<δ=<0.2) thin films

    International Nuclear Information System (INIS)

    Heluani, S.P.; Comedi, D.; Villafuerte, M.; Juarez, G.

    2007-01-01

    The mechanisms of electrical conduction in TiO 2-δ (-0.04= 2 +Ar gas atmospheres where changes in δ and film structure had been achieved by varying the O 2 flow rate and the substrate temperature. The electrical transport properties of these samples were investigated by measuring the conductivity as a function of temperature between 17K and room temperature. At the temperature range between 200 and 290K the best fit to the experimental data was obtained assuming a dependence characteristic of adiabatic variable range hopping. At lower temperature the activation energy for the conductivity tends to zero. The results suggest that the conduction mechanism is adiabatic small polaron hopping, which switches to conduction in a polaron band at low temperatures

  18. Design and Synthesis of Hierarchical SiO2@C/TiO2 Hollow Spheres for High-Performance Supercapacitors.

    Science.gov (United States)

    Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin

    2017-09-06

    TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.

  19. SAXS Studies of TiO2 Nanoparticles in Polymer Electrolytes and in Nanostructured Films

    Directory of Open Access Journals (Sweden)

    Sigrid Bernstorff

    2010-11-01

    Full Text Available Polymer electrolytes as nanostructured materials are very attractive components for batteries and opto-electronic devices. (PEO8ZnCl2 polymer electrolytes were prepared from PEO and ZnCl2. The nanocomposites (PEO8ZnCl2/TiO2 themselves contained TiO2 nanograins. In this work, the influence of the TiO2 nanograins on the morphology and ionic conductivity of the nanocomposite was systematically studied by transmission small-angle X-ray scattering (SAXS simultaneously recorded with wide-angle X-ray diffraction (WAXD and differential scanning calorimetry (DSC at the synchrotron ELETTRA. Films containing nanosized grains of titanium dioxide (TiO2 are widely used in the research of optical and photovoltaic devices. The TiO2 films, prepared by chemical vapor deposition and e-beam epitaxy, were annealed in hydrogen atmospheres in the temperature range between 20 °C and 900 °C in order to study anatase-rutile phase transition at 740 °C. Also, grazing-incidence small angle X-ray scattering (GISAXS spectra for each TiO2 film were measured in reflection geometry at different grazing incident angles. Environmentally friendly galvanic cells, as well as solar cells of the second generation, are to be constructed with TiO2 film as working electrode, and nanocomposite polymer as electrolyte.

  20. Electrodeposition of flake-like Cu_2O on vertically aligned two-dimensional TiO_2 nanosheet array films for enhanced photoelectrochemical properties

    International Nuclear Information System (INIS)

    Yang, Lei; Zhang, Miao; Zhu, Kerong; Lv, Jianguo; He, Gang; Sun, Zhaoqi

    2017-01-01

    Highlights: • Flake-like Cu_2O/TNS with exposed {001} facets constructed p-n heterostructure. • The TNS arrays were used as starting substrates for Cu_2O growth. • The Cu_2O/TNS prepared at −0.4 V exhibits the best photoelectrochemical property. - Abstract: A novel Cu_2O/TNS composite structure of single crystal TiO_2 nanosheet (TNS) arrays decorated with flake-like Cu_2O were synthesized by a facile hydrothermal reaction followed by the electrodeposition process. The effects of deposition potential on the microstructure, morphology, and optical property of the thin films were investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and UV–vis spectrophotometer. When the deposition potential is higher than −0.4 V, peaks corresponding to Cu appear, meanwhile, flake-like Cu_2O become agglomerating, and transform into dense Cu_2O particles. Additionally, photoelectrochemical experiments indicate that the films deposited at −0.4 V show the lowest resistivity and highest exciton separation efficiency. This enhanced photoelectrochemical properties can be explained by synergistic effect of p-type flake-like Cu_2O and n-type TiO_2 heterojunctions combined with two-dimensional TiO_2 nanosheet with exposed highly reactive {001} facets.

  1. Anatase phase stability and doping concentration dependent refractivity in codoped transparent conducting TiO2 films

    International Nuclear Information System (INIS)

    Chen, T L; Furubayashi, Y; Hirose, Y; Hitosugi, T; Shimada, T; Hasegawa, T

    2007-01-01

    Nb 0.06 Sn x Ti 0.94-x O 2 (x ≤ 0.3) thin films were grown by a pulsed-laser deposition method with varying Sn concentration. Through a combinatorial technique, we find that Sn concentration can reach a maximum of about x = 0.3 while maintaining the stable anatase phase and epitaxy. A doping concentration dependence of the refractivity is revealed, in which refractivity reduction at a wavelength of λ = 500 nm is estimated to be 12.4% for Nb 0.06 Sn 0.3 Ti 0.64 O 2 thin film. Sn doping induced band-gap blue shift can be contributed to the mixing of extended Sn 5s orbitals with the conduction band of TiO 2 . Low resistivity on the order of 10 -4 Ω cm at room temperature and high internal transmittance of more than 95% in the visible light region are exhibited for Nb 0.06 Sn x Ti 0.94-x O 2 thin films (x ≤ 0.2). Optical and transport analyses demonstrate that doping Sn into Nb 0.06 Ti 0.94 O 2 can reduce the refractivity while maintaining low resistivity and high transparency

  2. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  3. Effect of chemisorbed surface species on the photocatalytic activity of TiO2 nanoparticulate films

    International Nuclear Information System (INIS)

    Cao Yaan; Yang Wensheng; Chen Yongmei; Du Hui; Yue, Polock

    2004-01-01

    TiO 2 sols prepared in acidic and basic medium were deposited into films by a spin coating method. Photodegradation experiments showed that photocatalytic activity of the films prepared from acidic sol was much higher than that from basic sol. It is identified that there are more chemisorbed species of CO 2 on the surface of the TiO 2 films from the basic sol than on the surface of the TiO 2 films from the acidic sol. The chemisorbed species of CO 2 reduce the concentration of active species such as hydroxyl group and bridging oxygen on surface of the TiO 2 film and contribute to the formation of surface electron traps in the band gap which are detrimental to charge separation, thus lowering the photocatalytic activity

  4. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  5. Photocatalytic sterilization of TiO2 films coated on Al fiber

    International Nuclear Information System (INIS)

    Luo Li; Miao Lei; Tanemura, Sakae; Tanemura, Masaki

    2008-01-01

    Photocatalytic TiO 2 films were coated on Al fiber by sol-gel dip-coating method, and then annealed. The crystal structure and morphology of the films were performed by XRD, TEM and SEM. Photocatalytic sterilization of the films was investigated in O 2 atmosphere through purifying the aqueous solution with facultative aerobe (Bacillus cereus), aerobe (Pseudomonas aeruginosa) and anaerobe (Staphylococcus aureus, Enterococcus faecalis and Escherichia coli). In the presence of O 2 , it benefits to generate O 2 · - and ·OH at the first stage of the photocatalytic reaction, while the excess O 2 restrains the anaerobe from reproducing and accelerates the reproducing for the aerobe at the second stage of reaction. As a result, it was found that the crystal of TiO 2 films is anatase phase and the films have excellent sterilization effect against facultative aerobe and anaerobe. Nevertheless, it only decreased the bioactivity against aerobe in a short time

  6. Synthesis And Characterization Of SiO2, SnO2 And TiO2 Metal Oxide Shells Covering Cu2O Particles

    Directory of Open Access Journals (Sweden)

    Yu Ri

    2015-06-01

    Full Text Available In this work is described a means of improving the chemical stability of Cu2O@SiO2, Cu2O@SnO2 and Cu2O@TiO2 materials. The SiO2, SnO2 and TiO2 coated samples were stable from pH 3 to pH 10 for up to seven days. To determine the stability of the coated nanoparticles, and their colloidal solutions under acidic and basic conditions, colloidal nanoparticle solutions with various pH values were prepared and monitored over time. Details of the effect of variations in pH on the phase stability of core-shell type Cu2O were characterized using transmission electron microscopy and X-ray diffraction.

  7. The influence of metal interlayers on the structural and optical properties of nano-crystalline TiO 2 films

    KAUST Repository

    Yang, Yong

    2012-03-01

    TiO 2-M-TiO 2 (M = W, Co and Ag) multilayer films have been deposited on glass substrates using reactive magnetron sputtering, then annealed in air for 2 h at 500°C. The structure, surface morphology and optical properties of the films have been studied using X-ray diffraction, Raman spectroscopy, atomic force microscopy and UV-vis spectroscopy. The TiO 2-W-TiO 2 and TiO 2-Co-TiO 2 films showed crystalline phases, whereas the TiO 2-Ag-TiO 2 films remained in the amorphous state. The crystallization temperature for the TiO 2-M-TiO 2 films decreased significantly compared with pure TiO 2 film deposited on quartz. Detailed analysis of the Raman spectra suggested that the crystallization of TiO 2-M-TiO 2 films was associated with the large structural deformation imposed by the oxidation of intermediate metal layers. Moreover, the optical band gap of the films narrowed due to the appearance of impurity levels as the metal ions migrated into the TiO 2 matrix. These results indicate that the insertion of intermediate metal layers provides a feasible access to improve the structural and optical properties of anatase TiO 2 films, leading to promising applications in the field of photocatalysis. © 2011 Elsevier B.V. All rights reserved.

  8. TiO2 film/Cu2O microgrid heterojunction with photocatalytic activity under solar light irradiation.

    Science.gov (United States)

    Zhang, Junying; Zhu, Hailing; Zheng, Shukai; Pan, Feng; Wang, Tianmin

    2009-10-01

    Coupling a narrow-band-gap semiconductor with TiO(2) is an effective method to produce photocatalysts that work under UV-vis light irradiation. Usually photocatalytic coupled-semiconductors exist mainly as powders, and photocatalytic activity is only favored when a small loading amount of narrow-band-gap semiconductor is used. Here we propose a heavy-loading photocatalyst configuration in which 51% of the surface of the TiO(2) film is covered by a Cu(2)O microgrid. The coupled system shows higher photocatalytic activity under solar light irradiation than TiO(2) and Cu(2)O films. This improved performance is due to the efficient charge transfer between the two phases and the similar opportunity each has to be exposed to irradiation and adsorbates.

  9. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers.

    Science.gov (United States)

    Wang, Bin; Zhang, Hongchao; Qin, Yuan; Wang, Xi; Ni, Xiaowu; Shen, Zhonghua; Lu, Jian

    2011-07-10

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO(2) film components with platinum high-absorptance inclusions was established. The temperature rises of TiO(2) films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations. © 2011 Optical Society of America

  10. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    Science.gov (United States)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  11. Initial deposition and electron paramagnetic resonance defects characterization of TiO2 films prepared using successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Wu Yiyong; Shi Yaping; Xu Xianbin; Sun Chengyue

    2012-01-01

    Successive ionic layer adsorption and reaction (SILAR) technique was considered promisingly to deposit ultra thin titanium dioxide (TiO 2 ) films under ambient condition. In this paper, the growth process, structures and paramagnetic defects of the films were characterized by complementary techniques of atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and electron paramagnetic resonance spectroscopy. The results indicate that on glass substrate the SILAR TiO 2 film nucleates in an island mode within the initial five deposition cycles but grows in a layer-by-layer mode afterwards. The growth rate was measured as 4.6 Å/cycle. In the as-deposited films, a kind of paramagnetic defects is detected at g (2.0029) and it can be attributed to oxygen vacancies. These as-received oxygen vacancies could be annealed out at 473 K. Ultraviolet irradiation on the as-deposited films can also decrease the density of the defects. The relative mechanisms on the phenomenon were discussed in this paper. - Highlights: ► TiO 2 films are deposited on glass at 25 °C by successive ionic layer adsorption and reaction method with a rate of 4.6 Å/cycle. ► The films nucleate in an island mode initially but grow in a layer mode afterwards. ► The SILAR TiO 2 films nucleation period is five cycles. ► Electron paramagnetic resonance spectroscopy shows that TiO 2 films paramagnetic defects are attributed to oxygen vacancies. ► They will decrease by anneal or ultraviolet radiation and form hydroxyl or superoxide radicals.

  12. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  13. TiO2 effect on crystallization mechanism and physical properties of nano glass-ceramics of MgO-Al2O3-SiO2 glass system.

    Science.gov (United States)

    Jo, Sinae; Kang, Seunggu

    2013-05-01

    The effect of TiO2 on the degree of crystallization, thermal properties and microstructure for MgO-Al2O3-SiO2 glass-ceramics system containing 0-13 wt% TiO2 and 0-1.5 wt% B2O3 in which the cordierite is the main phase was studied. Using Kissinger and Augis-Bennett equations, the activation energy, 510 kJ/mol and Avrami constant, 1.8 were calculated showing the surface-oriented crystallization would be preferred. The alpha-cordierite phase was generated in the glass-ceramics of containing TiO2 of 0-5.6 wt%. However, for the glass-ceramics of TiO2 content above 7 wt%, an alpha-cordierite disappeared and micro-cordierite phase was formed. The glass-ceramics of no TiO2 added had spherical crystals of few tens nanometer size spread in the matrix. As TiO2 content increased up to 5.6 wt%, a lump of dendrite was formed. In the glass-ceramics containing TiO2 7-13 wt%, in which the main phase is micro-cordierite, the dendrite crystal disappeared and a few hundred nanometer sized crystal particles hold tightly each other were generated. The thermal conductivity of glass-ceramics of both a-cordierite and micro-cordierite base decreased with TiO2 contend added. The thermal conductivity of glass-ceramics of 1.5 wt% TiO2 added was 3.4 W/mK which is 36% higher than that of glass-ceramics of no TiO2 added. The sintering temperature for 1.5 wt% TiO2 glass-ceramics was 965 degrees C which could be concluded as to apply to LTCC process for LED packaging.

  14. TiO2/SiO2 prepared via facile sol-gel method as an ideal support for green synthesis of Ag nanoparticles using Oenothera biennis extract and their excellent catalytic performance in the reduction of 4-nitrophenol

    Directory of Open Access Journals (Sweden)

    Bahar Khodadadi

    2017-01-01

    Full Text Available In the present study, the extract of the plant of Oenothera biennis was used to green synthesis of silver nanoparticles (Ag NPs as an environmentally friendly, simple and low cost method. And Additionally, TiO2/SiO2 was prepared via facile sol-gel method using starch as an important, naturally abundant organic polymer as an ideal support. The Ag NPs/TiO2/SiO2 as an effective catalyst was prepared through reduction of Ag+ ions using Oenothera biennis extract as the reducing and stabilizing agent and Ag NPs immobilization on TiO2/SiO2 surface in the absence of any stabilizer or surfactant. Several techniques such as FT-IR spectroscopy, UV-Vis spectroscopy, X-ray Diffraction (XRD, sScanning eElectron mMicroscopy (FE-SEM, Eenergy dDispersive X-ray sSpectroscopy (EDS, and Ttransmission Eelectron Mmicroscopy (TEM were used to characterize TiO2/SiO2, silver nanoparticles (Ag NPs, and Ag NPs/TiO2/SiO2. Moreover, the catalytic activity of the Ag NPs/ TiO2/SiO2 was investigated in the reduction of 4-nitrophenol (4-NP at room temperature. On the basis of the results, the Ag NPs/TiO2/SiO2 was found to be high catalytic activity highly active catalyst according to the experimental results in this study. In addition, Ag NPs/TiO2/SiO2 can be recovered and reused several times in the reduction of 4-NP with no significant loss of catalytic activity.

  15. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    Science.gov (United States)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  16. Synthesis and characterization of thin films of Pd/TiO2 with possible applications in photo catalysis

    International Nuclear Information System (INIS)

    Tirado G, S.; Valenzuela Z, M. A.

    2015-10-01

    In this paper the synthesis and study of thin films of titanium oxide is reported, as well as those that were surface modified with palladium nanoparticles Pd/TiO 2 . First, the TiO 2 films are grown on substrates of soda-lime glass using chemical sol-gel route and the repeated immersion procedure. The salt precursor titanium oxy-acetylacetonate to 0.2 M, in the solvent 2-methoxyethanol and monoethanolamine was used as stabilizer. The number of used immersions gave an average thickness estimate for these films of 172.8 nm. Second, the series of Pd/TiO 2 films surface modified were obtained from a solution of palladium nitrate dehydrate at low concentration, with the same procedure. The films grown TiO 2 and those surface-modified films were characterized in its structure by X-ray diffraction, morphology by scanning electron microscopy, the topography with atomic force microscopy, optical properties by UV-Vis, among others. Photoluminescence properties and/or possible applications in photo catalysis are reported in this paper. (Author)

  17. Physics properties of TiO_2 films produced by dip-coating technique

    International Nuclear Information System (INIS)

    Teloeken, A.C.; Alves, A.K.; Berutti, F.A.; Tabarelli, A.; Bergmann, C.P.

    2014-01-01

    The use of titanium dioxide (TiO_2) as a photocatalyst to produce hydrogen has been of great interest because of their chemical stability, low cost and non-toxicity. TiO_2 occurs in three different crystal forms: rutile, anatase and brokita. Among these, the anatase phase generally exhibits the best photocatalytic behavior, while the rutile phase is the most stable. Among the various techniques of deposition, dip-coating technique produces films with good photocatalytic properties, using simple and inexpensive equipment. In this work TiO_2 films were obtained by dip-coating. The films were characterized using X-ray diffraction, scanning electron microscopy, profilometry, contact angle measurements and photocurrent. The microstructure and physical properties were evaluated in relation of the temperature and the addition of an additive. (author)

  18. Colorimetric gas detection by the varying thickness of a thin film of ultrasmall PTSA-coated TiO2 nanoparticles on a Si substrate

    Directory of Open Access Journals (Sweden)

    Urmas Joost

    2017-01-01

    Full Text Available Colorimetric gas sensing is demonstrated by thin films based on ultrasmall TiO2 nanoparticles (NPs on Si substrates. The NPs are bound into the film by p-toluenesulfonic acid (PTSA and the film is made to absorb volatile organic compounds (VOCs. Since the color of the sensing element depends on the interference of reflected light from the surface of the film and from the film/silicon substrate interface, colorimetric detection is possible by the varying thickness of the NP-based film. Indeed, VOC absorption causes significant swelling of the film. Thus, the optical path length is increased, interference wavelengths are shifted and the refractive index of the film is decreased. This causes a change of color of the sensor element visible by the naked eye. The color response is rapid and changes reversibly within seconds of exposure. The sensing element is extremely simple and cheap, and can be fabricated by common coating processes.

  19. SiO2 sol-gel films after ammonia and heat two-step treatments

    International Nuclear Information System (INIS)

    Zhang Chunlai; Wang Biyi; Tian Dongbin; Yin Wei; Jiang Xiaodong; Yuan Xiaodong; Yan Lianghong; Zhang Hongliang; Zhao Songnan; Lv Haibing

    2008-01-01

    SiO 2 thin films were deposited using tetraethoxylsilane as precursor, ammonia as catalyst on K9 glass by sol-gel method. These films were post-treated by ammonia and heat. The properties of the coatings were characterized by ellipsometer, UV-vis spectrophotometry, FTIR-spectroscopy, scanning probe microscope and contact angle measurement apparatus. The resuits indicate that the thickness of the films with ammonia and heat treatment tend to decrease. Both the refractive index and water contact angle increase after ammonia treatment. However, they both decrease after heat treatment. The former increases by 0.236 for the first step, then decreases by 0.202 for the second. The latter increases to 58.92 degree, then decreases to 38.07 degree. The transmittance of the coatings turn to be better and continuously shift to short wave by UV-vis spectrophotometry. The surface becomes smoother by AFM after the two-step treatment. (authors)

  20. Solid-state compound phase formation of TiSi2 thin films under stress

    Directory of Open Access Journals (Sweden)

    C. Theron

    2010-02-01

    Full Text Available Different stress situations were created on an Si(100 wafer by depositing either Si3N4 or SiO2 thin films on the back side. Si3N4 has a different thermal expansion coefficient from that of SiO2. A thin Ti film was then deposited on the front side of the Si wafer. The structures were then annealed at various high temperatures for different periods of time. Real-time Rutherford backscattering spectrometry, as well as sample curvature measurements, were used to characterise the samples. Different reaction rates were found between Si3N4-deposited samples and SiO2-deposited samples.

  1. Increased photocatalytic activity induced by TiO2/Pt/SnO2 heterostructured films

    Science.gov (United States)

    Testoni, Glaucio O.; Amoresi, Rafael A. C.; Lustosa, Glauco M. M. M.; Costa, João P. C.; Nogueira, Marcelo V.; Ruiz, Miguel; Zaghete, Maria A.; Perazolli, Leinig A.

    2018-02-01

    In this work, a high photocatalytic activity was attained by intercalating a Pt layer between SnO2 and TiO2 semiconductors, which yielded a TiO2/Pt/SnO2 - type heterostructure used in the discoloration of blue methylene (MB) solution. The porous films and platinum layer were obtained by electrophoretic deposition and DC Sputtering, respectively, and were both characterized morphologically and structurally by FE-SEM and XRD. The films with the Pt interlayer were evaluated by photocatalytic activity through exposure to UV light. An increase in efficiency of 22% was obtained for these films compared to those without platinum deposition. Studies on the reutilization of the films pointed out high efficiency and recovery of the photocatalyst, rendering the methodology favorable for the construction of fixed bed photocatalytic reactors. A proposal associated with the mechanism is discussed in this work in terms of the difference in Schottky barrier between the semiconductors and the electrons transfer and trapping cycle. These are fundamental factors for boosting photocatalytic efficiency.

  2. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  3. Photocatalytic Graphene-TiO2 Thin Films Fabricated by Low-Temperature Ultrasonic Vibration-Assisted Spin and Spray Coating in a Sol-Gel Process

    Directory of Open Access Journals (Sweden)

    Fatemeh Zabihi

    2017-05-01

    Full Text Available In this work, we communicate a facile and low temperature synthesis process for the fabrication of graphene-TiO2 photocatalytic composite thin films. A sol-gel chemical route is used to synthesize TiO2 from the precursor solutions and spin and spray coating are used to deposit the films. Excitation of the wet films during the casting process by ultrasonic vibration favorably influences both the sol-gel route and the deposition process, through the following mechanisms. The ultrasound energy imparted to the wet film breaks down the physical bonds of the gel phase. As a result, only a low-temperature post annealing process is required to eliminate the residues to complete the conversion of precursors to TiO2. In addition, ultrasonic vibration creates a nanoscale agitating motion or microstreaming in the liquid film that facilitates mixing of TiO2 and graphene nanosheets. The films made based on the above-mentioned ultrasonic vibration-assisted method and annealed at 150 °C contain both rutile and anatase phases of TiO2, which is the most favorable configuration for photocatalytic applications. The photoinduced and photocatalytic experiments demonstrate effective photocurrent generation and elimination of pollutants by graphene-TiO2 composite thin films fabricated via scalable spray coating and mild temperature processing, the results of which are comparable with those made using lab-scale and energy-intensive processes.

  4. Evaluation method of TiO2-SiO2 ultra-low-expansion glasses with periodic striae using the LFB ultrasonic material characterization system.

    Science.gov (United States)

    Kushibiki, Jun-ichi; Arakawa, Mototaka; Ohashi, Yuji; Suzuki, Kouji

    2006-09-01

    Experimental procedures and standard specimens for characterizing and evaluating TiO2-SiO2 ultra-low expansion glasses with periodic striae using the line-focus-beam (LFB) ultrasonic material characterization system are discussed. Two types of specimens were prepared, with specimen surfaces parallel and perpendicular to the striae plane using two different grades of glass ingots. The inhomogeneities of each of the specimens were evaluated at 225 MHz. It was clarified that parallel specimens are useful for accurately measuring velocity variations of leaky surface acoustic waves (LSAWs) excited on a water-loaded specimen surface associated with the striae. Perpendicular specimens are useful for obtaining periodicities in the striae for LSAW propagation perpendicular to the striae plane on a surface and for precisely measuring averaged velocities for LSAW propagation parallel to the striae plane. The standard velocity of Rayleigh-type LSAWs traveling parallel to the striae plane for the perpendicular specimens was numerically calculated using the measured velocities of longitudinal and shear waves and density. Consequently, a reliable standard specimen with an LSAW velocity of 3308.18 +/- 0.35 m/s at 23 degrees C and its temperature coefficient of 0.39 (m/s)/degrees C was obtained for a TiO2-SiO2 glass with a TiO2 concentration of 7.09 wt%. A basis for the striae analysis using this ultrasonic method was established.

  5. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  6. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  7. Efficient catalytic ozonation by ruthenium nanoparticles supported on SiO2 or TiO2: Towards the use of a non-woven fiber paper as original support

    KAUST Repository

    Biard, Pierre-François

    2015-12-24

    This work focuses on the use of Ru(0) nanoparticles as heterogeneous catalyst for ozone decomposition and radical production. In a first set of experiments, the nanoparticles have been deposited on two inorganic supports (TiO2 or SiO2) by a wet impregnation approach. This study confirmed the high potential of Ru nanoparticles as active species for ozone decomposition at pH 3, since the ozone half-life time decreases by a factor 20-25, compared to the reference experiment carried out without any catalyst. The enhancement of the ozone decomposition kinetics provided an improved radical production and a higher transient radical concentration in a shorten ozone exposure. Consequently, lower oxidant dosage and contact time would be necessary. Thus, very significant atrazine consumption kinetics enhancements were measured. In a second set of experiments, a non-woven fiber paper composed of a TiO2/SiO2/zeolite mixture has been evaluated as an original support for ruthenium nanoparticles. Even if lower ozone decomposition kinetics was observed compared to TiO2 or SiO2, this support would be a promising alternative to inorganic powders to avoid the catalyst recovery step and to design reactors such as tubular reactors. A new numerical procedure is presented for the evaluation of the transient HO° concentration and of the Rct.

  8. Solar control on irradiated Ta2O2 thin films

    International Nuclear Information System (INIS)

    Baydogan, N. D.; Zayim, E. Oe.

    2007-01-01

    Thin films consisting of Ta 2 O 5 have been used in industry in applications related to thin-film capacitors, optical waveguides, and antireflection coatings on solar cells. Ta 2 O 5 films are used for several special applications as highly refractive material and show different optical properties depending on the deposition methods. Sol-gel technique has been used for the preparation of Ta 2 O 5 thin films. Ta 2 O 5 thin films were prepared by sol-gel proses on glass substrates to obtain good quality films. These films were exposed to gamma radiation from Co-60 radioisotope. Ta 2 O 5 coated thin films were placed against the source and irradiated for 8 different gamma doses; between 0.35 and 21.00 kGy at room temperature. Energetic gamma ray can affect the samples and change its colour. On the other hand some of the Ta 2 O 5 coated thin films were irradiated with beta radiation from Sr-90 radioisotope. The effect of gamma irradiation on the solar properties of Ta 2 O 5 films is compared with that of beta irradiation. The solar properties of the irradiated thin films differ significantly from those of the unirradiated ones. After the irradiation of the samples transmittance and reflectance are measured for solar light between 300 and 2100 nm, by using Perkin Elmer Lambda 9 UV/VIS/NIR Spectrophotometer. Change in the direct solar transmittance, reflectance and absorptance with absorbed dose are determined. Using the optical properties, the redistribution of the absorbed component of the solar radiation and the shading coefficient (SC) are calculated as a function of the convective heat-transfer coefficient. Solar parameters are important for the determination of the shading coefficient. When the secondary internal heat transfer factor (qi), direct solar transmittance (□ e ), and solar factor (g) are known, it is possible to determine shading coefficient via the dose rates. The shading coefficient changes as the dose rate is increased. In this study, the shading

  9. Nanocrystalline TiO2 Composite Films for the Photodegradation of Formaldehyde and Oxytetracycline under Visible Light Irradiation

    Directory of Open Access Journals (Sweden)

    Min Wei

    2017-06-01

    Full Text Available In order to effectively photodegradate organic pollutants, ZnO composite and Co-B codoped TiO2 films were successfully deposited on glass substrates via a modified sol-gel method and a controllable dip-coating technique. Combining with UV–Vis diffuse reflectance spectroscopy (DRS and photoluminescence spectra (PL analyses, the multi-modification could not only extend the optical response of TiO2 to visible light region but also decrease the recombination rate of electron-hole pairs. XRD results revealed that the multi-modified TiO2 film had an anatase-brookite biphase heterostructure. FE-SEM results indicated that the multi-modified TiO2 film without cracks was composed of smaller round-like nanoparticles compared to pure TiO2. BET surface area results showed that the specific surface area of pure TiO2 and the multi-modified TiO2 sample was 47.8 and 115.8 m2/g, respectively. By degradation of formaldehyde and oxytetracycline, experimental results showed that the multi-modified TiO2 film had excellent photodegradation performance under visible light irradiation.

  10. SiO2-TiO2-P2O5 meso porous coatings for proton exchange membranes fuel cells

    International Nuclear Information System (INIS)

    Castro, Y.; Mosa, J.; Duran, A.

    2014-01-01

    The article describes the preparation of meso porous SiO 2 -TiO 2 -P 2 O 5 coatings by Sol-Gel process combined to EISA method for using as proton exchange membranes fuel cells. Tetraethyl orthosilicate (TEOS), methyl triethoxysilane (MTES), titanium tetrachloride (TiCl 4 ) and phosphorus trichloride (PCl 3 ) have used as precursors and cetyl trimethylammonium bromide (CTAB) as porous generator agent. Films were deposited by immersion technique controlling the relative humidity at 40 and 20-70% and treated at 400 to 500 degree centigrade for 15, 30, 45 and 60 min. The variation of the refractive index and thickness have studied as a function of temperature and sintering time as well as the pore volume and density of the coatings by spectroscopic ellipsometry. Moreover, the hydrophobic/hydrophilic character of the coatings has been studied by Transform Infrared Spectroscopy (FTIR) and by contact angle measurements, following the loss of methyl groups with the temperature and sintering time. The results show that these parameters are crucial to obtain coatings with high porosity and low contact angle, important to obtain high proton conductivity conditions. The sintering conditions were fixed to 400 degree centigrade/60 min. Conductivity measurements at four points show high proton conductivity, 0,16 and 0,85 S/cm, up and down ramp, respectively, at 80 degree centigrade and 80 % of humidity. These coatings are good candidates for PEMFC membranes, if they are deposited onto electrodes. (Author)

  11. Ferroelectric properties of sandwich structured (Bi, La)4T3O12/Pb(Zr, Ti)O3/ (Bi, La)4Ti3O12 thin films on Pt/Ti/SiO2/Si substrates

    International Nuclear Information System (INIS)

    Bao Dinghua; Wakiya, Naoki; Shinozaki, Kazuo; Mizutani, Nobuyasu

    2002-01-01

    Sandwich structured (Bi, La) 4 Ti 3 O 12 /Pb(Zr, Ti)O 3 /(Bi, La) 4 Ti 3 O 12 thin films were fabricated on Pt/Ti/SiO 2 /Si substrates, with the intention of simultaneously utilizing the advantages of both (Bi, La) 4 Ti 3 O 12 (BLT) and Pb(Zr, Ti)O 3 (PZT) thin films such as non-fatigue behaviours of BLT and good ferroelectric properties of PZT. Both BLT and PZT layers were prepared by a chemical solution deposition technique. The experiments demonstrated that the sandwich structure showed fatigue-free characteristics at least up to 10 10 switching bipolar pulse cycles under 8 V and excellent retention properties. The sandwich structured thin films also exhibited well-defined hysteresis loops with a remanent polarization (2P r ) of 8.8 μC cm -2 and a coercive field (E c ) of 47 kV cm -1 . The room-temperature dielectric constant and dissipation factor were 210 and 0.031, respectively, at a frequency of 100 kHz. These results suggest that this sandwich structure is a promising material combination for ferroelectric memory applications. (author)

  12. Comparative Studies on Thermal Performance of Conic Cut Twist Tape Inserts with SiO2 and TiO2 Nanofluids

    Directory of Open Access Journals (Sweden)

    Sami D. Salman

    2015-01-01

    Full Text Available This paper presents a comparison study on thermal performance conic cut twist tape inserts in laminar flow of nanofluids through a constant heat fluxed tube. Three tape configurations, namely, quadrant cut twisted tape (QCT, parabolic half cut twisted tape (PCT, and triangular cut twisted (VCT of twist ratio y = 2.93 and cut depth de = 0.5 cm were used with 1% and 2% volume concentration of SiO2/water and TiO2/water nanofluids. Typical twist tape with twist ratio of y = 2.93 was used for comparison. The results show that the heat transfer was enhanced by increasing of Reynolds number and nanoparticles concentration of nanofluid. The results have also revealed that the use of twist tape enhanced the heat transfer coefficient significantly and maximum heat transfer enhancement was achieved by the presence of triangular cut twist tape insert with 2% volume concentration of SiO2 nanofluid. Over the range investigated, the maximum thermal performance factor of 5.13 is found with the simultaneous use of the SiO2 nanofluid at 2% volume concentration VCT at Reynolds number of 220. Furthermore, new empirical correlations for Nusselt number, friction factor, and thermal performance factor are developed and reported.

  13. Visible light active TiO2 films prepared by electron beam deposition of noble metals

    International Nuclear Information System (INIS)

    Hou Xinggang; Ma Jun; Liu Andong; Li Dejun; Huang Meidong; Deng Xiangyun

    2010-01-01

    TiO 2 films prepared by sol-gel method were modified by electron beam deposition of noble metals (Pt, Pd, and Ag). Effects of noble metals on the chemical and surface characteristics of the films were studied using XPS, TEM and UV-Vis spectroscopy techniques. Photocatalytic activity of modified TiO 2 films was evaluated by studying the degradation of methyl orange dye solution under visible light UV irradiation. The result of TEM reveals that most of the surface area of TiO 2 is covered by tiny particles of noble metals with diameter less than 1 nm. Broad red shift of UV-Visible absorption band of modified photocatalysts was observed. The catalytic degradation of methyl orange in aqueous solutions under visible light illumination demonstrates a significant enhancement of photocatalytic activity of these films compared with the un-loaded films. The photocatalytic efficiency of modified TiO 2 films by this method is affected by the concentration of impregnating solution.

  14. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    OpenAIRE

    Rafieian, Damon; Ogieglo, Wojciech; Savenije, T.J.; Lammertink, Rob G H

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx), obtained by sputtering at relatively low oxygen concentration, formed rutile upon annealing in air, ...

  15. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  16. Interface formation and defect structures in epitaxial La2Zr2O7 thin films on (111) Si

    International Nuclear Information System (INIS)

    Seo, J.W.; Fompeyrine, J.; Guiller, A.; Norga, G.; Marchiori, C.; Siegwart, H.; Locquet, J.-P.

    2003-01-01

    We have studied the growth of epitaxial La 2 Zr 2 O 7 thin films on (111) Si. Although the interface structure can be strongly affected by the Si oxidation during the deposition process, epitaxial growth of La 2 Zr 2 O 7 was obtained. A detailed study by means of transmission electron microscopy reveals two types of structures (pyrochlore and fluorite) with the same average chemical composition but strong differences in reactivity and interface formation. The structural complexity of the ordered pyrochlore structure seems to prevent excess oxygen diffusion and interfacial SiO 2 formation

  17. Effect of electrode type in the resistive switching behaviour of TiO2 thin films

    International Nuclear Information System (INIS)

    Hernández-Rodríguez, E; Zapata-Torres, M; Márquez-Herrera, A; Zaleta-Alejandre, E; Meléndez-Lira, M; Cruz, W de la

    2013-01-01

    The influence of the electrode/active layer on the electric-field-induced resistance-switching phenomena of TiO 2 -based metal-oxide-metal devices (MOM) is studied. TiO 2 active layers were fabricated by the reactive rf-sputtering technique and devices were made by sandwiching between several metal electrodes. Three different MOM devices were made, according with the junction type formed between the electrode and the TiO 2 active layer, those where Ohmic-Ohmic, Ohmic-Schottky and Schottky-Schottky. The junction type was tested by electrical I-V measurements. It was found that MOM devices made with the Ohmic-Ohmic combination did not show any resistive switching behaviour in contrast with devices made with Ohmic-Schottky and Schottky-Schottky combinations. From a detailed analysis of the I-V curves it was found that transport characteristics are Ohmic for the low-resistance state for all the contacts combinations of the MOM devices, whereas in the high-resistance state it depends on contact combinations and can be identified as Ohmic, Schottky and Poole-Frenkel type. These conduction mechanisms in the low- and high-resistance states suggest that formation and rupture of conducting filaments through the film oxide is the mechanism responsible for the resistance switching.

  18. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  19. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  20. Study on Gas Sensing Performance of TiO2 Screen Printed Thick Films

    Directory of Open Access Journals (Sweden)

    C. G. DIGHAVKAR

    2009-02-01

    Full Text Available Titanium dioxide (TiO2 thick films were prepared on alumina substrate by using screen printing technique. After preparation, the films were fired at temperature range 600 -1000 ºC for two hour. Morphological, compositional and structural properties of the film samples were performed by means of several techniques, including scanning electron microscopy (SEM, Energy dispersive spectroscopy (EDS, X-ray diffraction techniques. We explore the various gases to study the sensing performance of the TiO2 thick films. The maximum response was reported to film fired at 800 0C for LPG gas at 350 0C operating temperature.

  1. Adsorption Equilibrium and Kinetics of Gardenia Blue on TiO2 Photoelectrode for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Tae-Young Kim

    2014-01-01

    Full Text Available Nanostructured porous TiO2 paste was deposited on the FTO conductive glass using squeeze printing technique in order to obtain a TiO2 thin film with a thickness of 10 μm and an area of 4 cm2. Gardenia blue (GB extracted from Gardenia jasminode Ellis was employed as the natural dye for a dye-sensitized solar cell (DSSC. Adsorption studies indicated that the maximum adsorption capacity of GB on the surface of TiO2 thin film was approximately 417 mg GB/g TiO2 photoelectrode. The commercial and natural dyes, N-719 and GB, respectively, were employed to measure the adsorption kinetic data, which were analyzed by pseudo-first-order and pseudo-second-order models. The energy conversion efficiency of the TiO2 electrode with successive adsorptions of GB dye was about 0.2%.

  2. Asymmetric photoelectric property of transparent TiO2 nanotube films loaded with Au nanoparticles

    International Nuclear Information System (INIS)

    Wang, Hui; Liang, Wei; Liu, Yiming; Zhang, Wanggang; Zhou, Diaoyu; Wen, Jing

    2016-01-01

    Highlights: • Highly transparent films of TiO 2 nanotube arrays were directly fabricated on FTO glasses. • Semitransparent TNT-Au composite films were obtained and exhibited excellent photoelectrocatalytic ability. • Back-side of TNT-Au composite films was firstly irradiated and tested to compare with front-side of films. - Abstract: Semitransparent composite films of Au loaded TiO 2 nanotubes (TNT-Au) were prepared by sputtering Au nanoparticles on highly transparent TiO 2 nanotubes films, which were fabricated directly on FTO glasses by anodizing the Ti film sputtered on the FTO glasses. Compared with pure TNT films, the prepared TNT-Au films possessed excellent absorption ability and high photocurrent response and improved photocatalytic activity under visible-light irradiation. It could be concluded that Au nanoparticles played important roles in improving the photoelectrochemical performance of TNT-Au films. Moreover, in this work, both sides of TNT-Au films were researched and compared owing to theirs semitransparency. It was firstly found that the photoelectric activity of TNT-Au composite films with back-side illumination was obviously superior to front-side illumination.

  3. Post-deposition annealing temperature dependence TiO_2-based EGFET pH sensor sensitivity

    International Nuclear Information System (INIS)

    Zulkefle, M. A.; Rahman, R. A.; Yusoff, K. A.; Abdullah, W. F. H.; Rusop, M.; Herman, S. H.

    2016-01-01

    EGFET pH sensor is one type of pH sensor that is used to measure and determine pH of a solution. The sensing membrane of EGFET pH sensor plays vital role in the overall performance of the sensor. This paper studies the effects of different annealing temperature of the TiO_2 sensing membranes towards sensitivity of EGFET pH sensor. Sol-gel spin coating was chosen as TiO_2 deposition techniques since it is cost-effective and produces thin film with uniform thickness. Deposited TiO_2 thin films were then annealed at different annealing temperatures and then were connected to the gate of MOSFET as a part of the EGFET pH sensor structure. The thin films now act as sensing membranes of the EGFET pH sensor and sensitivity of each sensing membrane towards pH was measured. From the results it was determined that sensing membrane annealed at 300 °C gave the highest sensitivity followed by sample annealed at 400 °C and 500 °C.

  4. Studies of surface morphology and optical properties of ZnO nanostructures grown on different molarities of TiO_2 seed layer

    International Nuclear Information System (INIS)

    Asib, N. A. M.; Afaah, A. N.; Aadila, A.; Khusaimi, Z.; Rusop, M.

    2016-01-01

    Titanium dioxide (TiO_2) seed layer was prepared by using sol-gel spin-coating technique, followed by growth of 0.01 M of Zinc oxide (ZnO) nanostructures by solution-immersion. The molarities of TiO_2 seed layer were varied from 1.1 M to 0.100 M on glass substrates. The nanostructures thin films were characterized by Field Emission Scanning Electrons Microscope (FESEM), Photoluminescence (PL) spectroscopy and Ultraviolet-Visible (UV-Vis) spectroscopy. FESEM images demonstrate that needle-like ZnO nanostructures are formed on all TiO_2 seed layer. The smallest diameter of needle-like ZnO nanostructures (90.3 nm) were deposited on TiO_2 seed layer of 0.100 M. PL spectra of the TiO_2: ZnO nanostructures thin films show the blue shifted emissions in the UV regions compared to the ZnO thin film. Meanwhile, UV-vis spectra of films display high absorption in the UV region and high trasparency in the visible region. The highest absorbance at UV region was recorded for sample which has 0.100 M of TiO_2 seed layer.

  5. Superior environment resistance of quartz crystal microbalance with anatase TiO2/ZnO nanorod composite films

    International Nuclear Information System (INIS)

    Qiang, Wei; Wei, Li; Shaodan, Wang; Yu, Bai

    2015-01-01

    Graphical abstract: ZnO nanorod array being prepared by an in situ method on the QCM coated with Au film via hydrothermal process and surface modification with coated TiO 2 by sol–gel methods to form a superhydrophobic TiO 2 /ZnO composite film the anatase TiO 2 /ZnO nanorod composite film with a sharp, pencil-like structure exhibiting excellent superhydrophobicity (water contact angle of 155°), non-sticking water properties, and an autonomous cleaning property under UV irradiation. The anatase TiO 2 /ZnO nanorod composite film facilitates the precise measurement and extended lifetime of the QCM for the detection of organic gas molecules. - Highlights: • This work combines, for the first time, the advantage of the TiO 2 /ZnO composite film on photocatalysis and reversible super-hydrophobic and super-hydrophilic transition, and puts forward a solution to satisfy weatherability of quartz crystal microbalance in long-term application. • The anatase TiO 2 /ZnO nanorod composite film with pencil structure exhibit excellent super-hydrophobicity (water contact angle can reach 155°), no-sticking water properties and self-cleaning property under UV irradiation. • The photocatalysis and reversible super-hydrophobic and super-hydrophilic transition of the TiO 2 /ZnO nanorod composite film is stable in long-term application. - Abstract: The precise measurement of quartz crystal microbalance (QCM) in the detection and weighing of organic gas molecules is achieved due to excellent superhydrophobicity of a deposited film composite. Photocatalysis is utilized as a method for the self-cleaning of organic molecules on the QCM for extended long-term stability in the precision of the instrument. In this paper, ZnO nanorod array is prepared via in situ methods on the QCM coated with Au film via hydrothermal process. Subsequently, a TiO 2 /ZnO composite film is synthesized by surface modification with TiO 2 via sol–gel methods. Results show the anatase TiO 2 /ZnO nanorod

  6. Hydrogenated TiO2 Thin Film for Accelerating Electron Transport in Highly Efficient Planar Perovskite Solar Cells.

    Science.gov (United States)

    Yao, Xin; Liang, Junhui; Li, Yuelong; Luo, Jingshan; Shi, Biao; Wei, Changchun; Zhang, Dekun; Li, Baozhang; Ding, Yi; Zhao, Ying; Zhang, Xiaodan

    2017-10-01

    Intensive studies on low-temperature deposited electron transport materials have been performed to improve the efficiency of n-i-p type planar perovskite solar cells to extend their application on plastic and multijunction device architectures. Here, a TiO 2 film with enhanced conductivity and tailored band edge is prepared by magnetron sputtering at room temperature by hydrogen doping (HTO), which accelerates the electron extraction from perovskite photoabsorber and reduces charge transfer resistance, resulting in an improved short circuit current density and fill factor. The HTO film with upward shifted Fermi level guarantees a smaller loss on V OC and facilitates the growth of high-quality absorber with much larger grains and more uniform size, leading to devices with negligible hysteresis. In comparison with the pristine TiO 2 prepared without hydrogen doping, the HTO-based device exhibits a substantial performance enhancement leading to an efficiency of 19.30% and more stabilized photovoltaic performance maintaining 93% of its initial value after 300 min continuous illumination in the glove box. These properties permit the room-temperature magnetron sputtered HTO film as a promising electron transport material for flexible and tandem perovskite solar cell in the future.

  7. Ultrahigh broadband photoresponse of SnO2 nanoparticle thin film/SiO2/p-Si heterojunction.

    Science.gov (United States)

    Ling, Cuicui; Guo, Tianchao; Lu, Wenbo; Xiong, Ya; Zhu, Lei; Xue, Qingzhong

    2017-06-29

    The SnO 2 /Si heterojunction possesses a large band offset and it is easy to control the transportation of carriers in the SnO 2 /Si heterojunction to realize high-response broadband detection. Therefore, we investigated the potential of the SnO 2 nanoparticle thin film/SiO 2 /p-Si heterojunction for photodetectors. It is demonstrated that this heterojunction shows a stable, repeatable and broadband photoresponse from 365 nm to 980 nm. Meanwhile, the responsivity of the device approaches a high value in the range of 0.285-0.355 A W -1 with the outstanding detectivity of ∼2.66 × 10 12 cm H 1/2 W -1 and excellent sensitivity of ∼1.8 × 10 6 cm 2 W -1 , and its response and recovery times are extremely short (oxide or oxide/Si based photodetectors. In fact, the photosensitivity and detectivity of this heterojunction are an order of magnitude higher than that of 2D material based heterojunctions such as (Bi 2 Te 3 )/Si and MoS 2 /graphene (photosensitivity of 7.5 × 10 5 cm 2 W -1 and detectivity of ∼2.5 × 10 11 cm H 1/2 W -1 ). The excellent device performance is attributed to the large Fermi energy difference between the SnO 2 nanoparticle thin film and Si, SnO 2 nanostructure, oxygen vacancy defects and thin SiO 2 layer. Consequently, practical highly-responsive broadband PDs may be actualized in the future.

  8. Reaction of silanes in supercritical CO2 with TiO2 and Al2O3.

    Science.gov (United States)

    Gu, Wei; Tripp, Carl P

    2006-06-20

    Infrared spectroscopy was used to investigate the reaction of silanes with TiO2 and Al2O3 using supercritical CO2 (Sc-CO2) as a solvent. It was found that contact of Sc-CO2 with TiO2 leads to partial removal of the water layer and to the formation of carbonate, bicarbonate, and carboxylate species on the surface. Although these carbonate species are weakly bound to the TiO2 surface and can be removed by a N2 purge, they poison the surface, resulting in a lower level of reaction of silanes with TiO2. Specifically, the amount of hexamethyldisilazane adsorbed on TiO2 is about 10% of the value obtained when the reaction is performed from the gas phase. This is not unique to TiO2, as the formation of carbonate species also occurs upon contact of Al2O3 with Sc-CO2 and this leads to a lower level of reaction with hexamethyldisilazane. This is in contrast to reactions of silanes on SiO2 where Sc-CO2 has several advantages over conventional gaseous or nonaqueous methods. As a result, caution needs to be applied when using Sc-CO2 as a solvent for silanization reactions on oxides other than SiO2.

  9. Optimization of charge transfer and transport processes at the CdSe quantum dots/TiO2 nanorod interface by TiO2 interlayer passivation

    International Nuclear Information System (INIS)

    Jaramillo-Quintero, O A; Rincon, M E; Triana, M A

    2017-01-01

    Surface trap states hinder charge transfer and transport properties in TiO 2 nanorods (NRs), limiting its application on optoelectronic devices. Here, we study the interfacial processes between rutile TiO 2 NR and CdSe quantum dots (QDs) using TiO 2 interlayer passivation treatments. Anatase or rutile TiO 2 thin layers were deposited on an NR surface by two wet-chemical deposition treatments. Reduced interfacial charge recombination between NRs and CdSe QDs was observed by electrochemical impedance spectroscopy with the introduction of TiO 2 thin film interlayers compared to bare TiO 2 NRs. These results can be ascribed to in-gap trap state passivation of the TiO 2 NR surface, which led to an increase in open circuit voltage. Moreover, the rutile thin layer was more efficient than anatase to promote a higher photo-excited electron transfer from CdSe QDs to TiO 2 NRs due to a large driving force for charge injection, as confirmed by surface photovoltage spectroscopy. (paper)

  10. Magneto-optical spectroscopy of diluted magnetic oxides TiO2-δ: Co

    International Nuclear Information System (INIS)

    Gan'shina, E.A.; Granovsky, A.B.; Orlov, A.F.; Perov, N.S.; Vashuk, M.V.

    2009-01-01

    We report an experimental study on transversal Kerr effect (TKE) in magnetic oxide semiconductors TiO 2-δ :Co. The TiO 2-δ : Co thin films were deposited on LaAlO 3 (0 0 1) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2x10 -6 -2x10 -4 Torr. It was obtained that TKE spectra in ferromagnetic samples are extremely sensitive to the Co-volume fraction, the crystalline structure, and technology parameters. The observed well-pronounced peaks in TKE spectra for anatase Co-doped TiO 2films at low Co ( 2-δ matrix that indicates on intrinsic ferromagnetism in these samples. With increase of Co-volume fraction up to 5-8% the fine structure of TKE spectra disappears and magneto-optical response in reflection mode becomes larger than that for thick Co films

  11. Unraveling the charge transfer/electron transport in mesoporous semiconductive TiO2 films by voltabsorptometry.

    Science.gov (United States)

    Renault, Christophe; Nicole, Lionel; Sanchez, Clément; Costentin, Cyrille; Balland, Véronique; Limoges, Benoît

    2015-04-28

    In this work, we demonstrate that chronoabsorptometry and more specifically cyclic voltabsorptometry are particularly well suited techniques for acquiring a comprehensive understanding of the dynamics of electron transfer/charge transport within a transparent mesoporous semiconductive metal oxide film loaded with a redox-active dye. This is illustrated with the quantitative analysis of the spectroelectrochemical responses of two distinct heme-based redox probes adsorbed in highly-ordered mesoporous TiO2 thin films (prepared from evaporation-induced self-assembly, EISA). On the basis of a finite linear diffusion-reaction model as well as the establishment of the analytical expressions governing the limiting cases, it was possible to quantitatively analyse, predict and interpret the unusual voltabsorptometric responses of the adsorbed redox species as a function of the potential applied to the semiconductive film (i.e., as a function of the transition from an insulating to a conductive state or vice versa). In particular, we were able to accurately determine the interfacial charge transfer rates between the adsorbed redox species and the porous semiconductor. Another important and unexpected finding, inferred from the voltabsorptograms, is an interfacial electron transfer process predominantly governed by the extended conduction band states of the EISA TiO2 film and not by the localized traps in the bandgap. This is a significant result that contrasts those previously observed for dye-sensitized solar cells formed of randomly sintered TiO2 nanoparticles, a behaviour that was ascribed to a particularly low density of localized surface states in EISA TiO2. The present methodology also provides a unique and straightforward access to an activation-driving force relationship according to the Marcus theory, thus opening new opportunities not only to investigate the driving-force effects on electron recombination dynamics in dye-sensitized solar cells but also to study the

  12. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    International Nuclear Information System (INIS)

    Balvay, A; Bencsik, A; Thieriet, N; Lakhdar, L

    2013-01-01

    Titanium and silicon dioxide nanoparticles (TiO 2 and SiO 2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO 2 and SiO 2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO 2 and SiO 2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO 2 and SiO 2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO 2 and SiO 2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO 2 and SiO 2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better

  13. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    Science.gov (United States)

    Balvay, A.; Thieriet, N.; Lakhdar, L.; Bencsik, A.

    2013-04-01

    Titanium and silicon dioxide nanoparticles (TiO2 and SiO2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO2 and SiO2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO2 and SiO2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO2 and SiO2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO2 and SiO2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO2 and SiO2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better characterize TiO2

  14. Enhancement of photoelectric catalytic activity of TiO2 film via Polyaniline hybridization

    International Nuclear Information System (INIS)

    Wang Yajun; Xu Jing; Zong Weizheng; Zhu Yongfa

    2011-01-01

    A Polyaniline (PANI)/TiO 2 film coated on titanium foil was successfully prepared using the sol-gel method followed by a facile chemisorption. Compared with pristine TiO 2 , the photocatalytic (PC) and photoelectrocatalytic (PEC) degradation rates of 2,4-dichlorophenol (2,4-DCP) with the PANI/TiO 2 film were enhanced by 22.2% and 57.5%, respectively. 2,4-DCP can be mineralized more effectively in the presence of PANI/TiO 2 film. The best PEC degradation efficiency of 2,4-DCP with the PANI/TiO 2 film was acquired at an external potential of 1.5 V with a layer of 1 nm thick PANI. The PANI/TiO 2 film was characterized by Raman spectra, Fourier transform infrared spectra (FT-IR), Auger electron spectroscopy (AES), and electrochemical analysis. These results indicated that there was a chemical interaction on the interface of PANI and TiO 2 . This interaction may be of significance to promote the migration efficiency of carriers and induce a synergetic effect to enhance the PC and PEC activities. - Graphical abstract: The effect of PANI content on 2,4-DCP degradation with initial concentration of 50 mg/L, external potential=1.5 V. Inset: degradation rate constants of various PANI/TiO 2 films. Highlights: → Polyaniline/TiO 2 film was prepared using the sol-gel method followed by chemisorption. → Photoelectrocatalytic degradation rate of 2,4-dichlorophenol was enhanced by 57.5%. → The modification of Polyaniline to TiO 2 film caused a rapid charge separation. → Best degradation efficiency was acquired at 1.5 V with 1 nm thick PANI.

  15. Thermal Annealing Effect on Optical Properties of Binary TiO2-SiO2 Sol-Gel Coatings

    Directory of Open Access Journals (Sweden)

    Xiaodong Wang

    2012-12-01

    Full Text Available TiO2-SiO2 binary coatings were deposited by a sol-gel dip-coating method using tetrabutyl titanate and tetraethyl orthosilicate as precursors. The structure and chemical composition of the coatings annealed at different temperatures were analyzed by Raman spectroscopy and Fourier Transform Infrared (FTIR spectroscopy. The refractive indices of the coatings were calculated from the measured transmittance and reflectance spectra. An increase in refractive index with the high temperature thermal annealing process was observed. The Raman and FTIR results indicate that the refractive index variation is due to changes in the removal of the organic component, phase separation and the crystal structure of the binary coatings.

  16. Photocatalytic properties of P25-doped TiO2 composite film synthesized via sol-gel method on cement substrate.

    Science.gov (United States)

    Guo, Xiang; Rao, Lei; Wang, Peifang; Wang, Chao; Ao, Yanhui; Jiang, Tao; Wang, Wanzhong

    2018-04-01

    TiO 2 films have received increasing attention for the removal of organic pollutants via photocatalysis. To develop a simple and effective method for improving the photodegradation efficiency of pollutants in surface water, we herein examined the preparation of a P25-TiO 2 composite film on a cement substrate via a sol-gel method. In this case, Rhodamine B (RhB) was employed as the target organic pollutant. The self-generated TiO 2 film and the P25-TiO 2 composite film were characterized by X-ray diffraction (XRD), N 2 adsorption/desorption measurements, scanning electron microscopy (SEM), transmission electron microscopy (TEM), and diffuse reflectance spectroscopy (DRS). The photodegradation efficiencies of the two films were studied by RhB removal in water under UV (ultraviolet) irradiation. Over 4day exposure, the P25-TiO 2 composite film exhibited higher photocatalytic performance than the self-generated TiO 2 film. The photodegradation rate indicated that the efficiency of the P25-TiO 2 composite film was enhanced by the addition of the rutile phase Degussa P25 powder. As such, cooperation between the anatase TiO 2 and rutile P25 nanoparticles was beneficial for separation of the photo-induced electrons and holes. In addition, the influence of P25 doping on the P25-TiO 2 composite films was evaluated. We found that up to a certain saturation point, increased doping enhanced the photodegradation ability of the composite film. Thus, we herein demonstrated that the doping of P25 powders is a simple but effective strategy to prepare a P25-TiO 2 composite film on a cement substrate, and the resulting film exhibits excellent removal efficiency in the degradation of organic pollutants. Copyright © 2017. Published by Elsevier B.V.

  17. Co3O4/TiO2 films obtained by laser ablation and sol-gel for the reaction of oxygen liberation in alkaline medium

    International Nuclear Information System (INIS)

    Perez A, J.; Fernandez V, S. M.; Escobar A, L.; Jimenez B, J.

    2008-01-01

    The laser ablation technique known as Pulsed Laser Deposition (PLD) is used for obtaining thin films of TiO 2 /SnO 2 , which was later modified with Co 3 O 4 by PLD or by sol-gel technique. The films were characterized by X-ray diffraction, ultraviolet Vis and Raman spectroscopies, scanning electron microscopy and energy analysis of the dispersed X-rays produced by Auger decay. The anatase phase with particles of nano metric size was obtained by depositing the titanium dioxide in argon atmosphere. The Co 3 O 4 films obtained by PLD on the TiO 2 showed the same morphology. The electrocatalytic activity of the films that were used as photo anodes for the reaction of oxygen liberation was carried out in the darkness, with environment light and the light emitted by a xenon lamp. The current density was higher for films of Co 3 O 4 /TiO 2 /SnO 2 obtained by PLD that for cobalt dioxide of mixed valence obtained by sol-gel. (Author)

  18. Comparative analysis of Dye-Sensitized Solar Cells (DSSC) having different nanocrystalline TiO2 layer structures

    International Nuclear Information System (INIS)

    Forcade, Fresnel; Gonzalez, Bernardo; Vigil, Elena; Jennings, James R.; Duna, Halina; Wang, Hongxia; Peter, Laurence M.

    2009-01-01

    Full text: Dye-sensitized solar cells (DSSC) are very prospective because of their low cost and comparatively not so low efficiency. This represents an advantage together with the innocuous character of the constituent materials. We study different types of DSSC. The procedure for making them has been the same except for the TiO 2 layer structure. This layer must be porous and nanocrystalline in order to increase light absorption by the sensitizer. On the other hand, this condition causes that the electrolyte contacts the transparent conducting oxide (TCO) underneath the TiO 2 originating undesired recombinations. Also the electrical contact of the Tio to the TCO depends on the technology used to deposit the TiO 2 . In order to avoid possible leakage currents caused by recombinations from the TCO to the electrolyte and improve TiO 2 -TCO electrical contact, a thin TiO 2 film is placed in between the porous TiO 2 layer and the TCO. Different structures are obtained using different technologies to obtain the thin TiO 2 film. These structures are analyzed from their volt-amperic characteristic, external quantum efficiency spectra and voltage decay observed when light is suppressed. Results obtained allow making recommendations regarding nanocrystalline TiO 2 structure to be used in DSSC. (author)

  19. Photoelectrolchemical performance of PbS/CdS quantum dots co-sensitized TiO2 nanosheets array film photoelectrodes

    International Nuclear Information System (INIS)

    Yao, Huizhen; Li, Xue; Liu, Li; Niu, Jiasheng; Ding, Dong; Mu, Yannan; Su, Pengyu; Wang, Guangxia; Fu, Wuyou; Yang, Haibin

    2015-01-01

    Herein, PbS/CdS quantum dots (QDs) co-sensitized titanium dioxide nanosheets array (TiO 2 NSs) films were reported for the first time. The TiO 2 NSs films exposed {001} facets were vertically grown on transparent conductive fluorine-doped tin oxide (FTO) glass substrates by a facile hydrothermal method. The PbS/CdS QDs were assembled on TiO 2 NSs photoelectrode by successive ionic layer adsorption and reaction (SILAR). The X-ray diffraction pattern (XRD) and transmission electron microscopy (TEM) verified that QDs with a diameter less than 20 nm were uniformly anchored on the surface of the TiO 2 NSs films. The QDs co-sensitization can significantly extend the absorption range and increase the absorption property of the photoelectrode by UV–vis absorption spectra. The optimal photoelectrolchemical (PEC) performance of PbS/CdS QDs co-sensitization TiO 2 NSs was with photocurrent density of 6.12 mA cm −2 under an illumination of AM 1.5 G, indicating the TiO 2 NSs films co-sensitized by PbS/CdS QDs have potential applications in solar cells. - Highlights: • TiO 2 nanosheets films were fabricated by a simple hydrothermal. • TiO 2 nanosheets film exposed high energy facets was with gaps. • PbS/CdS co-sensitized TiO 2 nanosheets film was obtained for the first time. • Photocurrent intensity of the novel photoelectrode increased to 6.12 mA cm −2

  20. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  1. Influence of ionic strength in the adsorption and during photocatalysis of reactive black 5 azo dye on TiO2 coated on non woven paper with SiO2 as a binder

    International Nuclear Information System (INIS)

    Aguedach, Abdelkahhar; Brosillon, Stephan; Morvan, Jean; Lhadi, El Kbir

    2008-01-01

    Reactive black 5 (RB5), an azo dye, was degraded by using UV-irradiated TiO 2 coated on non woven paper with SiO 2 as a binder. The adsorption capacity of the photocatalyst was studied at natural pH, superior to pH pzc of the binder, for various ionic strengths. Different salts such as NaCl, KCl, CaCl 2 , LiCl, Ca(NO 3 ) 2 were used to increase the ionic strength. The presence of salt increased the adsorption capacity. The electrostatic interactions between dye and oxide surface charges (TiO 2 /SiO 2 ) is very important in the adsorption phenomena. The effect of the ionic strength of the solution on photocatalyst degradation was studied. The rate of degradation was increased by the presence of salts in the range of the experimental conditions. The increase of the initial decolorization rate was observed in the following order: Ca 2+ > K + > Na + > Li + . Experiments with different anions (Cl - , NO 3 - ) had shown that nitrate was an indifferent electrolyte for the adsorption and photodegradation of the dye on SiO 2 /TiO 2

  2. Materiais SiO2-TiO2 para a degradação fotocatalítica de diuron

    Directory of Open Access Journals (Sweden)

    Arthur Alaím Bernardes

    2011-01-01

    Full Text Available SiO2-TiO2 materials prepared by sol-gel method were evaluated in the photocatalytic degradation of diuron. The materials were prepared with and without surfactant cetyltrimethylammonium chloride at different temperatures (25, 50 and 100 ºC. The samples were characterized by N2 adsorption-desorption measurements, scanning electron microscopy, X-ray diffraction, ultraviolet-visible diffuse reflectance spectroscopy and infrared diffuse reflectance spectroscopy. The results showed that the materials synthesized with the surfactant had higher surface areas and band-gap values similar to anatase. All materials were more active than the commercial catalyst P-25 and better performance was achieved using the surfactant in the material synthesis.

  3. Low-temperature preparation and microwave photocatalytic activity study of TiO2-mounted activated carbon

    International Nuclear Information System (INIS)

    Liu Yazi; Yang Shaogui; Hong Jun; Sun Cheng

    2007-01-01

    TiO 2 thin films were deposited on granular activated carbon by a dip-coating method at low temperature (373 K), using microwave radiation to enhance the crystallization of titania nanoparticles. Uniform and continuous anatase titania films were deposited on the surface of activated carbon. BET surface area of TiO 2 -mounted activated carbon (TiO 2 /AC) decreased a little in comparison with activated carbon. TiO 2 /AC possessed strong optical absorption capacity with a band gap absorption edge around 360 nm. The photocatalytic activity did not increase when the as-synthesized TiO 2 /AC was thermally treated, but was much higher than commercial P-25 in degradation of phenol by irradiation of electrodeless discharge lamps (EDLs)

  4. MAPLE deposition and characterization of SnO2 colloidal nanoparticle thin films

    International Nuclear Information System (INIS)

    Caricato, A P; Martino, M; Romano, F; Tunno, T; Valerini, D; Epifani, M; Rella, R; Taurino, A

    2009-01-01

    In this paper we report on the deposition and characterization of tin oxide (SnO 2 ) nanoparticle thin films. The films were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. SnO 2 colloidal nanoparticles with a trioctylphosphine capping layer were diluted in toluene with a concentration of 0.2 wt% and frozen at liquid nitrogen temperature. The frozen target was irradiated with a KrF (248 nm, τ = 20 ns) excimer laser (6000 pulses at 10 Hz). The nanoparticles were deposited on silica (SiO 2 ) and (1 0 0) Si substrates and submitted to morphological (high resolution scanning electron microscopy (SEM)), structural Fourier transform infrared spectroscopy (FTIR) and optical (UV-Vis transmission) characterizations. SEM and FTIR analyses showed that trioctylphosphine was the main component in the as-deposited films. The trioctylphosphine was removed after an annealing in vacuum at 400 0 C, thus allowing to get uniform SnO 2 nanoparticle films in which the starting nanoparticle dimensions were preserved. The energy gap value, determined by optical characterizations, was 4.2 eV, higher than the bulk SnO 2 energy gap (3.6 eV), due to quantum confinement effects.

  5. Photoactive TiO2 Films Formation by Drain Coating for Endosulfan Degradation

    Directory of Open Access Journals (Sweden)

    Natalia Tapia-Orozco

    2013-01-01

    Full Text Available Heterogeneous photocatalysis is an advanced oxidation process in which a photoactive catalyst, such as TiO2, is attached to a support to produce free radical species known as reactive oxygen species (ROS that can be used to break down toxic organic compounds. In this study, the draining time, annealing temperature, and draining/annealing cycles for TiO2 films grown by the drain coating method were evaluated using a 23 factorial experimental design to determine the photoactivity of the films via endosulfan degradation. The TiO2 films prepared with a large number of draining/annealing cycles at high temperatures enhanced (P>0.05 endosulfan degradation and superoxide radical generation after 30 minutes of illumination with UV light. We demonstrated a negative correlation (R2=0.69; P>0.01 between endosulfan degradation and superoxide radical generation. The endosulfan degradation rates were the highest at 30 minutes with the F6 film. In addition, films prepared using conditions F1, F4, and F8 underwent an adsorption/desorption process. The kinetic reaction constants, Kapp (min−1, were 0.0101, 0.0080, 0.0055, 0.0048, and 0.0035 for F6, F2, F5, F3, and F1, respectively. The endosulfan metabolites alcohol, ether, and lactone were detected and quantified at varying levels in all photocatalytic assays.

  6. Tailoring of TiO2 films by H2SO4 treatment and UV irradiation to improve anticoagulant ability and endothelial cell compatibility.

    Science.gov (United States)

    Liao, Yuzhen; Li, Linhua; Chen, Jiang; Yang, Ping; Zhao, Ansha; Sun, Hong; Huang, Nan

    2017-07-01

    Surfaces with dual functions that simultaneously exhibit good anticoagulant ability and endothelial cell (EC) compatibility are desirable for blood contact materials. However, these dual functions have rarely been achieved by inorganic materials. In this study, titanium dioxide (TiO 2 ) films were treated by sulphuric acid (H 2 SO 4 ) and ultraviolet (UV) irradiation successively (TiO 2 H 2 SO 4 -UV), resulting in good anticoagulant ability and EC compatibility simultaneously. We found that UV irradiation improved the anticoagulant ability of TiO 2 films significantly while enhancing EC compatibility, though not significantly. The enhanced anticoagulant ability could be related to the oxidation of surface-adsorbed hydrocarbons and increased hydrophilicity. The H 2 SO 4 treatment improved the anticoagulant ability of TiO 2 films slightly, while UV irradiation improved the anticoagulant ability strongly. The enhanced EC compatibility could be related to the increased surface roughness and positive charges on the surface of the TiO 2 films. Furthermore, the time-dependent degradation of the enhanced EC compatibility and anticoagulant ability of TiO 2 H 2 SO 4 -UV was observed. In summary, TiO 2 H 2 SO 4 -UV expressed both excellent anticoagulant ability and good EC compatibility at the same time, which could be desirable for blood contact materials. However, the compatibility of TiO 2 H 2 SO 4 -UV with smooth muscle cells (SMCs) and macrophages was also improved. More effort is still needed to selectively improve EC compatibility on TiO 2 films for better re-endothelialization. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Variable range hopping in TiO2 insulating layers for oxide electronic devices

    Directory of Open Access Journals (Sweden)

    Y. L. Zhao

    2012-03-01

    Full Text Available TiO2 thin films are of importance in oxide electronics, e.g., Pt/TiO2/Pt for memristors and Co-TiO2/TiO2/Co-TiO2 for spin tunneling devices. When such structures are deposited at a variety of oxygen pressures, how does TiO2 behave as an insulator? We report the discovery of an anomalous resistivity minimum in a TiO2 film at low pressure (not strongly dependent on deposition temperature. Hall measurements rule out band transport and in most of the pressure range the transport is variable range hopping (VRH though below 20 K it was difficult to differentiate between Mott and Efros-Shklovskii's (ES mechanism. Magnetoresistance (MR of the sample with lowest resistivity was positive at low temperature (for VRH but negative above 10 K indicating quantum interference effects.

  8. Effects of oxygen partial pressure on the ferroelectric properties of pulsed laser deposited Ba0.8Sr0.2TiO3 thin films

    Science.gov (United States)

    Silva, J. P. B.; Sekhar, K. C.; Almeida, A.; Agostinho Moreira, J.; Pereira, M.; Gomes, M. J. M.

    2013-11-01

    The Ba0.8Sr0.2TiO3 thin films were grown on the Pt-Si substrate at 700 °C by using a pulsed laser deposition technique at different oxygen partial pressure (PO2) in the range of 1-20 Pa and their properties were investigated. It is observed that the PO2 during the deposition plays an important role on the tetragonal distortion ratio, surface morphology, dielectric permittivity, ferroelectric polarization, switching response, and leakage currents of the films. With an increase in PO2, the in-plane strain for the BST films changes from tensile to compressive. The films grown at 7.5 Pa show the optimum dielectric and ferroelectric properties and also exhibit the good polarization stability. It is assumed that a reasonable compressive strain, increasing the ionic displacement, and thus promotes the in-plane polarization in the field direction, could improve the dielectric permittivity. The butterfly features of the capacitance-voltage ( C- V) characteristics and the bell shape curve in polarization current were attributed to the domain reversal process. The effect of pulse amplitude on the polarization reversal behavior of the BST films grown at PO2 of 7.5 Pa was studied. The peak value of the polarization current shows exponential dependence on the electric field.

  9. TiO2 coatings via atomic layer deposition on polyurethane and polydimethylsiloxane substrates: Properties and effects on C. albicans growth and inactivation process

    Science.gov (United States)

    Pessoa, R. S.; dos Santos, V. P.; Cardoso, S. B.; Doria, A. C. O. C.; Figueira, F. R.; Rodrigues, B. V. M.; Testoni, G. E.; Fraga, M. A.; Marciano, F. R.; Lobo, A. O.; Maciel, H. S.

    2017-11-01

    Atomic layer deposition (ALD) surges as an attractive technology to deposit thin films on different substrates for many advanced biomedical applications. Herein titanium dioxide (TiO2) thin films were successful obtained on polyurethane (PU) and polydimethylsiloxane (PDMS) substrates using ALD. The effect of TiO2 films on Candida albicans growth and inactivation process were also systematic discussed. TiCl4 and H2O were used as precursors at 80 °C, while the reaction cycle number ranged from 500 to 2000. Several chemical, physical and physicochemical techniques were used to evaluate the growth kinetics, elemental composition, material structure, chemical bonds, contact angle, work of adhesion and surface morphology of the ALD TiO2 thin films grown on both substrates. For microbiological analyses, yeasts of standard strains of C. albicans were grown on non- and TiO2-coated substrates. Next, the antifungal and photocatalytic activities of the TiO2 were also investigated by counting the colony-forming units (CFU) before and after UV-light treatment. Chlorine-doped amorphous TiO2 films with varied thicknesses and Cl concentration ranging from 2 to 12% were obtained. In sum, the ALD TiO2 films suppressed the yeast-hyphal transition of C. albicans onto PU, however, a high adhesion of yeasts was observed. Conversely, for PDMS substrate, the yeast adhesion did not change, as observed in control. Comparatively to control, the TiO2-covered PDMS had a reduction in CFU up to 59.5% after UV treatment, while no modification was observed to TiO2-covered PU. These results pointed out that ALD chlorine-doped amorphous TiO2 films grown on biomedical polymeric surfaces may act as fungistatic materials. Furthermore, in case of contamination, these materials may also behave as antifungal materials under UV light exposure.

  10. Structural study of TiO2-based transparent conducting films

    International Nuclear Information System (INIS)

    Hitosugi, T.; Yamada, N.; Nakao, S.; Hatabayashi, K.; Shimada, T.; Hasegawa, T.

    2008-01-01

    We have investigated microscopic structures of sputter and pulsed laser deposited (PLD) anatase Nb-doped TiO 2 transparent conducting films, and discuss what causes the degradation of resistivity in sputter-deposited films. Cross-sectional transmission electron microscope and polarized optical microscope images show inhomogeneous intragrain structures and small grains of ∼10 μm in sputter-deposited films. From comparison with PLD films, these results suggest that homogeneous film growth is the important factor to obtain highly conducting sputter-deposited film

  11. Improving the Efficiency of Dye-Sensitized Solar Cells by Growing Longer ZnO Nanorods on TiO2 Photoanodes

    Directory of Open Access Journals (Sweden)

    Bao-gai Zhai

    2017-01-01

    Full Text Available By increasing the temperature of hydrothermal reactions from 70 to 100°C, vertically aligned ZnO nanorods were grown on the TiO2 thin film in the photoanode of dye-sensitized solar cells (DSSCs as the blocking layer to reduce the electron back recombinations at the TiO2/electrolyte interfaces. The length effects of ZnO nanorods on the photovoltaic performances of TiO2 based DSSCs were investigated by means of scanning electron microscope, X-ray diffractometer, photoluminescence spectrophotometer, and the photocurrent-voltage measurement. Under the illumination of 100 mW/cm2, the power conversion efficiency of DSSC with ZnO nanorods decorated TiO2 thin film as its photoanode can be increased nearly fourfold from 0.27% to 1.30% as the length of ZnO nanorods increases from 300 to 1600 nm. The enhanced efficiency of DSSC with ZnO nanorods decorated TiO2 thin film as the photoanode can be attributed to the larger surface area and the lower defect density in longer ZnO nanorods, which are in favor of more dye adsorption and more efficient transport in the photoanode.

  12. PHOTO-ELECTROCHEMICAL QUANTUM EFFICIENCY OF TiO2 THIN FILMS : EFFECT OF CRISTAL STRUCTURE, PLASMA HYDROGENATION AND SURFACE PHOTOETCHING

    Directory of Open Access Journals (Sweden)

    E TEYAR

    2007-12-01

    Full Text Available The use of semi-conducting materials in the photoelectrochemical detoxification of water became a very important research field. For this purpose, TiO2 nanostructures thin films with size of 18 nm to 45nm have been synthesized at low temperature. It is found by means of cyclic voltametry and coulometry measurements that the best photoelectrochemical quantum efficiency under UV monochromatic light with a wavelength of 365 nm and a solution of NaOH 0.1N is obtained in the case of thermal oxidation deposition method which can reach 28% compared to ultrasonic spray and dip coating methods of which the quantum is less than 20%. The crystal structure has an influence on the photo-degradation of methanol. The crystal structure which is recommended for this task is the anatase one, especially in the dipping case when the quantum increases after addition of methanol more than twice compared to the solution of NaOH without methanol. The photoelectrochemical quantum efficiency of these films is related to the number of dips and annealing under air at 550°C during one hour. The annealing has no effect on the quantum efficiency of the films, but decreases there photocatalytic activity as showed by the measure of the photocurrent related to methanol photodegradation. The annealing has no effect on the crystal structure of the material. The impedance spectroscopy of six dips deposited films with and without methanol shows that the annealing increases the doping and weakly decreases the film quantum efficiency. This implies, the importance of surface morphology which the rough is decreasing as showed by scanning electron microscopy. The effect of the precursor concentration in ethanol have been investigated by using films, synthesized at T=550°C. The quantum efficiency increases weakly according the precursor concentration. It tends towards a saturation at great concentrations of precursor. In Na OH with methanol added, it passes by a maximum at

  13. New Cu-based catalysts supported on TiO2 films for Ullmann SnAr-type C-O coupling reactions

    NARCIS (Netherlands)

    Benaskar, F.; Engels, V.; Rebrov, E.; Patil, N.G.; Meuldijk, J.; Thuene, P.C.; Magusin, P.C.M.M.; Mezari, B.; Hessel, V.; Hulshof, L.A.; Hensen, E.J.M.; Wheatley, A.E.H.; Schouten, J.C.

    2012-01-01

    New routes for the preparation of highly active TiO2-supported Cu and CuZn catalysts have been developed for CO coupling reactions. Slurries of a titania precursor were dip-coated onto glass beads to obtain either structured mesoporous or non-porous titania thin films. The Cu and CuZn nanoparticles,

  14. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    Science.gov (United States)

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  15. Decolorization of dyeing wastewater in continuous photoreactors using tio2 coated glass tube media

    Directory of Open Access Journals (Sweden)

    Jutaporn Chanathaworn

    2014-02-01

    Full Text Available The present study deals with a decolorization development of malachite green (MG dyeing wastewater using TiO2 thin films coated glass tube media in photoreactor. The TiO2 photocatalyst was synthesized by three methods: TTIP sol-gel, TiO2 powder-modified sol, and TiO2 powder suspension coating on raschig ring glass tube media and was investigated crystallinity phase by SEM, XRD, and AFM. Degradation kinetics of the dyeing wastewater by photocatalytic was carried out under UV light irradiation. The Langmuir first-order model provided the best fit to the experimental data. The catalyst prepared by powder suspension technique and coated on glass tube had given the highest of decolorization kinetics and efficiency. Continuous photoreactor packed with the TiO2 coating media was designed and proven to be the high effectiveness for MG dyeing degradation and stable throughout the recyclability test. The light intensity, dye solution flow rate, and TiO2 loading were the most important parameters that response to decolorization efficiency. The optimum condition of photo decolorization of MG dye solution can be obtained from RSM model. Effectiveness of the synthesized TiO2 thin films using suspension technique and the continuous photoreactor design were obtained with a great potential to be proven for wastewater treatment at industrial scale.

  16. H2O2 and/or TiO2 photocatalysis under UV irradiation for the removal of antibiotic resistant bacteria and their antibiotic resistance genes

    International Nuclear Information System (INIS)

    Guo, Changsheng; Wang, Kai; Hou, Song; Wan, Li; Lv, Jiapei; Zhang, Yuan; Qu, Xiaodong; Chen, Shuyi; Xu, Jian

    2017-01-01

    Highlights: • TiO 2 thin film was successfully synthesized for treating ARB and ARGs from water. • More than 5.5 log units of ARB reduction was achieved by TiO 2 under UV irradiation. • With TiO 2 , ARGs were reduced by more than 5 log units under UV irradiation. • TiO 2 could remove both intracellular and extracellular forms of ARGs. - Abstract: Inactivating antibiotic resistant bacteria (ARB) and removing antibiotic resistance genes (ARGs) are very important to prevent their spread into the environment. Previous efforts have been taken to eliminate ARB and ARGs from aqueous solution and sludges, however, few satisfying results have been obtained. This study investigated whether photocatalysis by TiO 2 was able to reduce the two ARGs, mecA and ampC, within the host ARB, methicillin-resistant Staphylococcus aureus (MRSA) and Pseudomonas aeruginosa, respectively. The addition of H 2 O 2 and matrix effect on the removal of ARB and ARGs were also studied. TiO 2 thin films showed great effect on both ARB inactivation and ARGs removal. Approximately 4.5-5.0 and 5.5–5.8 log ARB reductions were achieved by TiO 2 under 6 and 12 mJ/cm 2 UV 254 fluence dose, respectively. For ARGs, 5.8 log mecA reduction and 4.7 log ampC reduction were achieved under 120 mJ/cm 2 UV 254 fluence dose in the presence of TiO 2 . Increasing dosage of H 2 O 2 enhanced the removal efficiencies of ARB and ARGs. The results also demonstrated that photocatalysis by TiO 2 was capable of removing both intracellular and extracellular forms of ARGs. This study provided a potential alternative method for the removal of ARB and ARGs from aqueous solution.

  17. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  18. Fabrication of doped TiO2 nanotube array films with enhanced photo-catalytic activity

    Science.gov (United States)

    Peighambardoust, Naeimeh-Sadat; Khameneh-asl, Shahin; Khademi, Adib

    2018-01-01

    In the present work, we investigate the N and Fe-doped TiO2 nanotube array film prepared by treating TiO2 nanotube array film with ammonia solution and anodizing in Fe(NO3)3 solution respectively. This method avoided the use of hazardous ammonia gas, or laborious ion implantation process. N and Fe-doped TiO2 nanotube arrays (TiO2 NTs) were prepared by electrochemical anodization process in 0.5 wt % HF aqueous solution. The anodization was performed at the conditions of 20 V and 20 min, Followed by a wet immersion in NH3.H2O (1M) for N-doping for 2 hr and annealing post-treatment at 450 °C. The morphology and structure of the nanotube films were characterized by field emission scanning electron microscope (FESEM) and EDX. UV-vis. illumination test were done to observe photo-enhanced catalysis. The effect of different annealing temperature on the structure and photo-absorption property of the TiO2-TNTs was investigated. The results showed that N-TNTs nanotubes exhibited higher photocatalytic activity compared whit the Fe-doped and pure TNTs, because doping N promoted the separation of the photogenerated electrons and holes.

  19. Surface passivation and carrier selectivity of the thermal-atomic-layer-deposited TiO2 on crystalline silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Schüler, Nadine; Shkondin, Evgeniy

    2017-01-01

    Here, we demonstrate the use of an ultrathin TiO2 film as a passivating carrier-selective contact for silicon photovoltaics. The effective lifetime, surface recombination velocity, and diode quality dependence on TiO2 deposition temperature with and without a thin tunneling oxide interlayer (SiO2...... heterojunction with optimized photovoltage, interface quality, and electron extraction to maximize the photovoltage of TiO2–Si heterojunction photovoltaic cells are formulated. Diode behaviour was analysed with the help of experimental, analytical, and simulation methods. It is predicted that TiO2 with a high...

  20. Preparation and characterization of layer-by-layer self-assembled polyelectrolyte multilayer films doped with surface-capped SiO2 nanoparticles.

    Science.gov (United States)

    Yang, Guangbin; Ma, Hongxia; Yu, Laigui; Zhang, Pingyu

    2009-05-15

    SiO(2) nanoparticles capped with gamma-aminopropyltrimethoxysilane were doped into polyelectrolyte (poly(allylamine hydrochloride), PAH, and poly(acrylic acid), PAA) multilayer films via spin-assisted layer-by-layer self-assembly. The resulting as-prepared multilayer films were heated at a proper temperature to generate cross-linked composite films with increased adhesion to substrates. The tribological behavior of the multilayer films was evaluated on a microtribometer. It was found that SiO(2)-doped composite films had better wear resistance than pure polyelectrolyte multilayers, possibly because doped SiO(2) nanoparticles were capable of enhancing load-carrying capacity and had "miniature ball bearings" effect. Moreover, heat-treatment had significant effect on the morphology of the composite films. Namely, heat-treated (SiO(2)/PAA)(9) film had a larger roughness than the as-prepared one, due to heat-treatment-induced agglomeration of SiO(2) nanoparticles and initiation of defects. However, heat-treated (PAH/PAA)(3)/(SiO(2)/PAA)(3)(PAH/PAA)(3) film had greatly reduced roughness than the as-prepared one, and it showed considerably improved wear resistance as well. This could be closely related to the "sandwich-like" structure of the composite multilayer film. Namely, the outermost strata of composite multilayer film were able to eliminate defects associated with the middle strata, allowing nanoparticles therein to maintain strength and robustness while keeping soft and fluid-like exposed surface. And the inner strata were well anchored to substrate and acted as an initial "bed" for SiO(2) nanoparticles to be inhabited, resulting in good antiwear ability.

  1. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    Science.gov (United States)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  2. The effect of TiO2 on nucleation and crystallization of a Li2O-Al2O3-SiO2 glass investigated by XANES and STEM.

    Science.gov (United States)

    Kleebusch, Enrico; Patzig, Christian; Krause, Michael; Hu, Yongfeng; Höche, Thomas; Rüssel, Christian

    2018-02-13

    Glass ceramics based on Li 2 O/Al 2 O 3 /SiO 2 are of high economic importance, as they often show very low coefficients of thermal expansion. This enables a number of challenging applications, such as cooktop panels, furnace windows or telescope mirror blanks. Usually, the crystallization of the desired LAS crystal phases within the glasses must be tailored by a careful choice of crystallization schedule and type of nucleation agents to be used. The present work describes the formation of nanocrystalline TiO 2 within an LAS base composition that contains solely TiO 2 as nucleating agent. Using a combination of scanning transmission electron microscopy as well as X-ray absorption spectroscopy, it is found that a mixture of four- and six-fold coordinated Ti 4+ ions exists already within the glass. Heating of the glass to 740 °C immediately changes this ratio towards a high content of six-fold coordinated Ti, which accumulates in liquid-liquid phase-separation droplets. During the course of thermal treatment, these droplets eventually evolve into nanocrystalline TiO 2 precipitations, in which Ti 4+ is six-fold coordinated. Thus, it is shown that the nucleation of nanocrystalline TiO 2 is initiated by a gradual re-arrangement of the Ti ions in the amorphous, glassy matrix, from a four-fold towards a six-fold coordination.

  3. Hybrid thin films based on bilayer heterojunction of titania nanocrystals/polypyrrole/natural dyes (Kappaphycus alvarezii) materials

    Science.gov (United States)

    Ghazali, Salmah Mohd; Salleh, Hasiah; Dagang, Ahmad Nazri; Ghazali, Mohd Sabri Mohd; Ali, Nik Aziz Nik; Rashid, Norlaily Abdul; Kamarulzaman, Nurul Huda; Ahmad, Wan Almaz Dhafina Che Wan

    2017-09-01

    In this research, hybrid thin films which consist of a combination of organic red seaweed (RS) (Kappaphycus alvarezii) and polypyrrole (PPy) with inorganic titania nanocrystals (TiO2 NCs) materials were fabricated. These hybrid thin films were fabricated accordingly with bilayer heterojunction of ITO/TiO2 NCs/PPy/RS via electrochemical method using Electrochemical Impedance Spectroscopy (EIS). The effect of number of scans (thickness) of titania on optical and electrical properties of hybrid thin films were studied. TiO2 NCs function as an electron acceptor and electronic conductor. Meanwhile, PPy acts as holes conductor and RS dye acts as a photosensitizer enhances the optical and electrical properties of the thin films. The UV absorption spectrum of TiO2 NCs, PPy and RS are characterized by UV-Visible spectroscopy, while the functional group of RS was characterized by Fourier transform infrared spectroscopy (FTIR). The UV-Vis spectra showed that TiO2 NCs, PPy and RS were absorbed over a wide range of light spectrum which were 200-300 nm, 300-900 nm and 250-900 nm; respectively. The FTIR spectra of the RS showed the presence of hydroxyl group which was responsible for a good sensitizer for these hybrid solar cells. The electrical conductivity of these hybrid thin films were measured by using four point probes. The electrical conductivity of ITO/ (1)TiO2 NCs/PPy/RS thin film under the radiation of 100 Wm-2 was 0.062 Scm-1, hence this hybrid thin films can be applied in solar cell application.

  4. Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites

    Science.gov (United States)

    Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren

    2018-01-01

    Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).

  5. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Science.gov (United States)

    Urbanski, Wiktor; Marycz, Krzysztof; Krzak, Justyna; Pezowicz, Celina; Dragan, Szymon Feliks

    2017-01-01

    Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL)-1, IL-6, and tumor necrosis factor-alpha (TNF-α) are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS) and titanium alloy (Ti6Al4V) biomaterials coated with titanium dioxide (TiO2) and silica (SiO2) coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software) of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues, irrespective of the material used as a substrate, that is, either Ti6Al4V or SS. This suggests lower inflammatory response, which directly points out improvement of materials’ biocompatibility. PMID:28280331

  6. Structural Properties of Nanoparticles TiO2/PVA Polymeric Films

    Directory of Open Access Journals (Sweden)

    Samara A. Madhloom

    2018-04-01

    Full Text Available In this research, X-ray diffraction of the powder (PVA polymer, titanium dioxide with two parti-cle sizes and (TiO2 (15.7 nm/PVA and TiO2 (45.7 nm/PVA films have been studied,the amount of polymer is (0.5 g and (0.01g from each particle sizes of nanoparticles will be used. Casting method is used to prepare homogeneous films on glass petri dishes. All parameters ac-counted for the X-ray diffraction; full width half maximum (FWHM, Miller indices (hkl, size of crystalline (D, Specific Surface Area (S and Dislocation Density (δ. The nature of the structural of materials and films will be investigated. The XRD pattern of PVA polymer has semi-crystalline nature and the titanium dioxide with two particle sizes have crystalline structure; ana-tase type. While the mixture between these materials led to appearing some crystalline peaks into XRD pattern of PVA polymer

  7. Effect of N_2 flow rate on the properties of N doped TiO_2 films deposited by DC coupled RF magnetron sputtering

    International Nuclear Information System (INIS)

    Peng, Shou; Yang, Yong; Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang; Cao, Xin; Wang, Yun; Xu, Genbao

    2016-01-01

    N doped TiO_2 films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO_2 ceramic target. The influences of N_2 flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N_2 flow rate. As N_2 flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO_2 lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N_2 flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO_2 films were deposited by DC coupled RF magnetron reactive sputtering. • As N_2 flow rate increases, the crystallization of the deposited films degrades. • The higher N_2 flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  8. Surface texture modification of spin-coated SiO2 xerogel thin films ...

    Indian Academy of Sciences (India)

    hydrolysis and condensation of tetraethylorthosilicate (TEOS) with ethanol as a solvent. Further, the deposited thin films were ... termed as hydrolysis and condensation that occur simultane- ously in the formation of silica films. ... rial leads to capillary tensions, which destroy the structure of silica (Naik and Ghosh 2009).

  9. Thickness determination of thin solid films by angle-resolved X-ray fluorescence spectrometry using monochromatized synchrotron radiation

    Science.gov (United States)

    Schmitt, W.; Drotbohm, P.; Rothe, J.; Hormes, J.; Ottermann, C. R.; Bange, K.

    1995-05-01

    Thickness measurements by the method of angle-resolved, self-ratio X-ray fluorescence spectrometry (AR/SR/XFS) have been carried out on thin solid films using monochromatized synchrotron radiation at the Bonn storage ring ELSA. Synchrotron radiation was monochromatized by means of a double-crystal monochromator and fluorescence radiation was detected by a Si(Li) semiconductor detector. The results for sample systems consisting of Au on Si, Cr on SiO2 and TiO2 on alkali-free glass are very satisfactory and agree well with results obtained by other methods.

  10. Optical properties of titanium di-oxide thin films prepared by dip coating method

    Science.gov (United States)

    Biswas, Sayari; Rahman, Kazi Hasibur; Kar, Asit Kumar

    2018-05-01

    Titanium dioxide (TiO2) thin films were prepared by sol-gel dip coating method on ITO coated glass substrate. The sol was synthesized by hydrothermal method at 90°C. The sol was then used to make TiO2 films by dip coating. After dip coating the rest of the sol was dried at 100°C to make TiO2 powder. Thin films were made by varying the number of dipping cycles and were annealed at 500°C. XRD study was carried out for powder samples that confirms the formation of anatase phase. Transmission spectra of thin films show sharp rise in the violet-ultraviolet transition region and a maximum transmittance of ˜60%. Band gap of the prepared films varies from 3.15 eV to 3.22 eV.

  11. Tuning the resistive switching properties of TiO2-x films

    Science.gov (United States)

    Ghenzi, N.; Rozenberg, M. J.; Llopis, R.; Levy, P.; Hueso, L. E.; Stoliar, P.

    2015-03-01

    We study the electrical characteristics of TiO2-x-based resistive switching devices fabricated with different oxygen/argon flow ratio during the oxide thin film sputtering deposition. Upon minute changes in this fabrication parameter, three qualitatively different device characteristics were accessed in the same system, namely, standard bipolar resistive switching, electroforming-free devices, and devices with multi-step breakdown. We propose that small variations in the oxygen/ argon flow ratio result in relevant changes of the oxygen vacancy concentration, which is the key parameter determining the resistive switching behavior. The coexistence of percolative or non-percolative conductive filaments is also discussed. Finally, the hypothesis is verified by means of the temperature dependence of the devices in low resistance state.

  12. Influence of interface layer on optical properties of sub-20 nm-thick TiO2 films

    Science.gov (United States)

    Shi, Yue-Jie; Zhang, Rong-Jun; Li, Da-Hai; Zhan, Yi-Qiang; Lu, Hong-Liang; Jiang, An-Quan; Chen, Xin; Liu, Juan; Zheng, Yu-Xiang; Wang, Song-You; Chen, Liang-Yao

    2018-02-01

    The sub-20 nm ultrathin titanium dioxide (TiO2) films with tunable thickness were deposited on Si substrates by atomic layer deposition (ALD). The structural and optical properties were acquired by transmission electron microscopy, atomic force microscopy and spectroscopic ellipsometry. Afterwards, a constructive and effective method of analyzing interfaces by applying two different optical models consisting of air/TiO2/Ti x Si y O2/Si and air/effective TiO2 layer/Si, respectively, was proposed to investigate the influence of interface layer (IL) on the analysis of optical constants and the determination of band gap of TiO2 ultrathin films. It was found that two factors including optical constants and changing components of the nonstoichiometric IL could contribute to the extent of the influence. Furthermore, the investigated TiO2 ultrathin films of 600 ALD cycles were selected and then annealed at the temperature range of 400-900 °C by rapid thermal annealing. Thicker IL and phase transition cause the variation of optical properties of TiO2 films after annealing and a shorter electron relaxation time reveals the strengthened electron-electron and electron-phonon interactions in the TiO2 ultrathin films at high temperature. The as-obtained results in this paper will play a role in other studies of high dielectric constants materials grown on Si substrates and in the applications of next generation metal-oxide-semiconductor devices.

  13. Versatile preparation method for mesoporous TiO2 electrodes ...

    Indian Academy of Sciences (India)

    Unknown

    cyanate into CuI layer further enhanced the efficiency up to 2⋅75% under the irradiance .... an extremely easy way to dope films with virtually any .... to see the effect of ionic liquid on CuI, 1-ethyl-3-methyl- ... This analysis showed that TiO2 electrodes were polycrys- .... thin insulating layer of Al2O3 by using dip-coating meth-.

  14. Efficient catalytic ozonation by ruthenium nanoparticles supported on SiO2 or TiO2: Towards the use of a non-woven fiber paper as original support

    KAUST Repository

    Biard, Pierre-Franç ois; Werghi, Baraa; Soutrel, Isabelle; Orhand, Romain; Couvert, Annabelle; Denicourt-Nowicki, Audrey; Roucoux, Alain

    2015-01-01

    This work focuses on the use of Ru(0) nanoparticles as heterogeneous catalyst for ozone decomposition and radical production. In a first set of experiments, the nanoparticles have been deposited on two inorganic supports (TiO2 or SiO2) by a wet

  15. Effect of SiO2, PVA and glycerol concentrations on chemical and mechanical properties of alginate-based films.

    Science.gov (United States)

    Yang, Manli; Shi, Jinsheng; Xia, Yanzhi

    2018-02-01

    Sodium alginate (SA)/polyvinyl alcohol (PVA)/SiO 2 nanocomposite films were prepared by in situ polymerization through solution casting and solvent evaporation. The effect of different SA/PVA ratios, SiO 2 , and glycerol content on the mechanical properties, water content, water solubility, and water vapor permeability were studied. The nanocomposite films were characterized by Fourier transform infrared, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and thermal stability (thermogravimetric analysis/differential thermogravimetry) analyses. The nanocomposites showed the highest values of mechanical properties, such as SA/PVA ratio, SiO 2 , and glycerol content was 7:3, 6wt.%, and 0.25g/g SA, respectively. The tensile strength and elongation at break (E%) of the nanocomposites increased by 525.7% and 90.7%, respectively, compared with those of the pure alginate film. The Fourier transform infrared spectra showed a new SiOC band formed in the SA/PVA/SiO 2 nanocomposite film. The scanning electron microscopy image revealed good adhesion between SiO 2 and SA/PVA matrix. After the incorporation of PVA and SiO 2 , the water resistance of the SA/PVA/SiO 2 nanocomposite film was markedly improved. Transparency decreased with increasing PVA content but was enhanced by adding SiO 2 . Copyright © 2017. Published by Elsevier B.V.

  16. Fabrication and characterization of anatase/rutile–TiO2 thin films by magnetron sputtering: a review

    Directory of Open Access Journals (Sweden)

    Sakae Tanemura, Lei Miao, Wilfried Wunderlich, Masaki Tanemura, Yukimasa Mori, Shoichi Toh and Kenji Kaneko

    2005-01-01

    Full Text Available This review article summarizes briefly some important achievements of our recent reserach on anatase and/or rutile TiO2 thin films, fabricated by helicon RF magnetron sputtering, with good crystal quality and high density, and gives the-state-of-the-art of the knowledge on systematic interrelationship for fabrication conditions, crystal structure, composition, optical properties, and bactericidal abilities, and on the effective surface treatment to improve the optical reactivity of the obtained films.

  17. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  18. Synthesis of TiO2-loaded Co0.85Se thin films with heterostructure and their enhanced catalytic activity for p-nitrophenol reduction and hydrazine hydrate decomposition

    International Nuclear Information System (INIS)

    Zuo, Yong; Song, Ji-Ming; Niu, He-Lin; Mao, Chang-Jie; Zhang, Sheng-Yi; Shen, Yu-Hua

    2016-01-01

    P-nitrophenol (4-NP) and hydrazine hydrate are considered to be highly toxic pollutants in wastewater, and it is of great importance to remove them. Herein, TiO 2 -loaded Co 0.85 Se thin films with heterostructure were successfully synthesized by a hydrothermal route. The as-synthesized samples were characterized by x-ray diffraction, x-ray photoelectron spectroscopy, transmission electron microscopy and selective-area electron diffraction. The results demonstrate that TiO 2 nanoparticles with a size of about 10 nm are easily loaded on the surface of graphene-like Co 0.85 Se nanofilms, and the NH 3  · H 2 O plays an important role in the generation and crystallization of TiO 2 nanoparticles. Brunauer–Emmett–Teller measurement shows that the obtained nanocomposites have a larger specific surface area (199.3 m 2 g −1 ) than that of Co 0.85 Se nanofilms (55.17 m 2 g −1 ) and TiO 2 nanoparticles (19.49 m 2 g −1 ). The catalytic tests indicate Co 0.85 Se–TiO 2 nanofilms have the highest activity for 4-NP reduction and hydrazine hydrate decomposition within 10 min and 8 min, respectively, compared with the corresponding precursor Co 0.85 Se nanofilms and TiO 2 nanoparticles. The enhanced catalytic performance can be attributed to the larger specific surface area and higher rate of interfacial charge transfer in the heterojunction than that of the single components. In addition, recycling tests show that the as-synthesized sample presents stable conversion efficiency for 4-NP reduction. (paper)

  19. Recubrimientos meso-porosos de SiO2-TiO2-P2O5 para aplicaciones en pilas de combustible de intercambio protónico

    Directory of Open Access Journals (Sweden)

    Castro, Y.

    2014-08-01

    Full Text Available The article describes the preparation of mesoporous SiO2-TiO2-P2O5 coatings by Sol-Gel process combined to EISA method for using as proton exchange membranes fuel cells. Tetraethylorthosilicate (TEOS, methyl triethoxysilane (MTES, titanium tetrachloride (TiCl4 and phosphorus trichloride (PCl3 have used as precursors and cetyl trimethylammonium bromide (CTAB as porous generator agent. Films were deposited by immersion technique controlling the relative humidity at 40 and 20-70% and treated at 400 to 500° C for 15, 30, 45 and 60 min. The variation of the refractive index and thickness have studied as a function of temperature and sintering time as well as the pore volume and density of the coatings by spectroscopic ellipsometry. Moreover, the hydrophobic/hydrophilic character of the coatings has been studied by Transform Infrared Spectroscopy (FTIR and by contact angle measurements, following the loss of methyl groups with the temperature and sintering time. The results show that these parameters are crucial to obtain coatings with high porosity and low contact angle, important to obtain high proton conductivity conditions. The sintering conditions were fixed to 400°C / 60 min. Conductivity measurements at four points show high proton conductivity, 0,16 and 0,85 S/cm, up and down ramp, respectively, at 80°C and 80 % of humidity. These coatings are good candidates for PEMFC membranes, if they are deposited onto electrodes.El artículo describe la preparación de recubrimientos mesoporosos de SiO2-TiO2-P2O5 por el método sol-gel combinado con el método EISA para su aplicación como membranas en pilas de intercambio protónico. Se han utilizado como precursores el tetraetilortosilicato (TEOS, metil-trietoxi-silano (MTES, tetracloruro de titanio (TiCl4 y el tricloruro de fosforo (PCl3 y como agente generador de porosidad el bromuro de cetil trimetilamonio (CTAB. Los recubrimientos se depositaron mediante la técnica de inmersión controlando la

  20. Foldable and Cytocompatible Sol-gel TiO2 Photonics.

    Science.gov (United States)

    Li, Lan; Zhang, Ping; Wang, Wei-Ming; Lin, Hongtao; Zerdoum, Aidan B; Geiger, Sarah J; Liu, Yangchen; Xiao, Nicholas; Zou, Yi; Ogbuu, Okechukwu; Du, Qingyang; Jia, Xinqiao; Li, Jingjing; Hu, Juejun

    2015-09-07

    Integrated photonics provides a miniaturized and potentially implantable platform to manipulate and enhance the interactions between light and biological molecules or tissues in in-vitro and in-vivo settings, and is thus being increasingly adopted in a wide cross-section of biomedical applications ranging from disease diagnosis to optogenetic neuromodulation. However, the mechanical rigidity of substrates traditionally used for photonic integration is fundamentally incompatible with soft biological tissues. Cytotoxicity of materials and chemicals used in photonic device processing imposes another constraint towards these biophotonic applications. Here we present thin film TiO2 as a viable material for biocompatible and flexible integrated photonics. Amorphous TiO2 films were deposited using a low temperature (<250 °C) sol-gel process fully compatible with monolithic integration on plastic substrates. High-index-contrast flexible optical waveguides and resonators were fabricated using the sol-gel TiO2 material, and resonator quality factors up to 20,000 were measured. Following a multi-neutral-axis mechanical design, these devices exhibit remarkable mechanical flexibility, and can sustain repeated folding without compromising their optical performance. Finally, we validated the low cytotoxicity of the sol-gel TiO2 devices through in-vitro cell culture tests. These results demonstrate the potential of sol-gel TiO2 as a promising material platform for novel biophotonic devices.