WorldWideScience

Sample records for ti ion implantation

  1. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  2. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  3. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  4. Effects of ion-implanted C on the microstructure and surface mechanical properties of Fe alloys implanted with Ti

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.; Yost, F.G.; Picraux, S.T.

    1984-01-01

    The microstructural and tribological effects of ion implanting C into Ti-implanted, Fe-based alloys are examined and compared to the influence of C introduced by vacuum carburization during Ti implantation alone. The amorphous surface alloy formed by Ti implantation of pure Fe increases in thickness when additional C is implanted at depths containing Ti but beyond the range of carburization. Pin-on-disc tests of 15-5 PH stainless steel show that implantation of both Ti and C reduces friction significantly under conditions where no reduction is obtained by Ti implantation alone; wear depths are also less when C is implanted. All available experimental results can be accounted for by consideration of the thickness and Ti concentration of the amorphous Fe-Ti-C alloy. The thicker amorphous layer on samples implanted with additional C extends tribological benefits to more severe wear regimes

  5. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Energy Technology Data Exchange (ETDEWEB)

    Rizwan, M.; Ahmad, A. [Department of Metallurgical and Materials Engineering, University of Engineering and Technology, 54890 Lahore (Pakistan); Deen, K.M. [Corrosion Control Research Cell, Department of Metallurgy and Materials Engineering, CEET, University of the Punjab, 54590 Lahore (Pakistan); Haider, W., E-mail: haiderw@utpa.edu [Mechanical Engineering Department, University of Texas Pan American, Edinburg, TX 78539 (United States)

    2014-11-30

    Highlights: • Nitrogen ions of known dosage were implanted on cp-Ti. • Increase in surface roughness with increase in ions dose was confirmed by AFM. • TiN{sub 0.3} and Ti{sub 3}N{sub 2−x} nitride phases were formed and validated by XRD. • The ions implantation reduced the corrosion rate and stabilized the passive film. • Surface roughness greatly affected the morphology and growth of Mesenchymal Stem Cells. - Abstract: Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN{sub 0.3} and Ti{sub 3}N{sub 2-x}nitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  6. 1020 steel coated with Ti/TiN by Cathodic Arc and Ion Implantation

    International Nuclear Information System (INIS)

    Bermeo, F; Quintana, J P; Kleiman, A; Márquez, A; Sequeda, F

    2017-01-01

    TiN coatings have been widely studied in order to improve mechanical properties of steels. In this work, thin Ti/TiN films were prepared by plasma based immersion ion implantation and deposition (PBII and D) with a cathodic arc on AISI 1020 steel substrates. Substrates were exposed to the discharge during 1 min in vacuum for the deposition of a Tiunderlayer with the aim of improving the adhesion to the substrate. Then, a TiN layer was deposited during 6 min in a nitrogen environment at a pressure of 3xl0 -4 mbar. Samples were obtained at room temperature and at 300 °C, and with or without ion implantation in order to analyze differences between the effects of each treatment on the tribological properties. The mechanical and tribological properties of the films were characterized. The coatings deposited by PBII and D at 300 °C presented the highest hardness and young modulus, the best wear resistance and corrosion performance. (paper)

  7. Influence of the ion implantation on the nanoscale intermetallic phases formation in Ni-Ti system

    International Nuclear Information System (INIS)

    Kalashnikov, M.P.; Kurzina, I.A.; Bozhko, I.A.; Kozlov, E.V.; Fortuna, S.V.; Sivin, D.O.; Stepanov, I.B.; Sharkeev, Yu.P.

    2005-01-01

    Full text: The ion implantation at a high intensity mode is an effective method for modification of the surface properties of metals and alloys. Improvement of mechanical and tribological properties of irradiated materials using the high intensity implantation is connected with an element composition and microstructure modification of the surface and subsurface layers. One shows a great interest in intermetallic phase's synthesis by ion implantation, because of unique physical-mechanical properties of the intermetallic compounds. The influence of the irradiation conditions on the structural state and surface properties of implanted materials is not clear enough. The study of the factors influencing on the formation of the surface ion - alloyed layers of metal targets having the high tribological and mechanical properties by high intensity ion implantation is actual. The aim of the present work is a study of the microstructure, phase composition, physical and mechanical properties of the ion-alloyed Ni surfaces formed at high intensity implantation of Ti ions. The implantation Ti ions into Ni samples at high intensity mode was realized using ion source 'Raduga - 5'. The implantation Ti ions into Ni was carried out at accelerating voltage 20 kV for 2 h. The regimes were differed in the samples temperature (580 - 700 K), the distance from the ion implanted samples to the ion source (0.43-0.93 m) and the dose of irradiated ions (0.3·10 18 -2.9·10 18 ion/cm -2 ). The element composition of the implanted samples was analyzed by the electron spectroscopy. The structural-phase state of the Ni ion-modified layers was investigated by the transmission electron microscopy and X-ray diffraction methods. Additionally, the investigation of mechanical and tribological properties of the implanted Ni samples was carried out. It was established that the maximum thickness of the ion-alloyed nickel layers at high intensity mode allows forming the nanoscale intermetallic phases (NiTi

  8. Modification of WC-Co Hard Metal by Ion Implantation with Ti+, AI+, N+, C+ and B+

    International Nuclear Information System (INIS)

    Rassoul, El.M.A.; Saleh, Z.A.; Waheed, A.F.; Abdel- Samad, S.M.; EI- Awadi, G.A.

    2010-01-01

    WC/Co hard metal was implanted by Ti + , AI + , N + , C + , and B + ions at a dose of 5x 10 17 ions/cm 2 at different energies ranging from 50 keV to 200 keV. The implanted layers were investigated by means of nano indentation, calotte measurements, SEM, X-ray diffraction XRD, tribometer and EDX. The maximum implanted zone was about 0.13 μm. The hardness of WC-Co was increased by a factor of 140% after its implantation by Ti, AI, and N and increased by a factor of 170 % after implantation by Ti + , AI + , C + , N + and B + ions as compared to the original value. Also friction coefficient of WC/Co was improved after ion implantation.

  9. Modification of oxide films by ion implantation: TiO2-films modified by Ti+ and O+ as example

    International Nuclear Information System (INIS)

    Schultze, J.W.; Elfenthal, L.; Leitner, K.; Meyer, O.

    1988-01-01

    Oxide films can be modified by ion implantation. Changes in the electrochemical properties of the films are due to the deposition profile of the implanted ion, ie doping and stoichiometric changes, as well as to the radiation damage. The latter is due to the formation of Frenkel defects and at high concentrations to a complete amorphization of the oxide film. TiOsub(x)-films with 1 + - and O + -ions into anodic oxide films on titanium. The electrode capacity shows always the behaviour of an n-type semiconductor with an almost constant flatband potential but a strong maximum donor concentration at about 3% Ti + concentration. Oxygen implantation, on the other hand, causes a small increase of donor concentration only at high concentration of O + . Electron transfer reactions show strong modifications of the electronic behaviour of the oxide film with a maximum again at 3% titanium. Photocurrent spectra prove the increasing amorphization and show interband states 2.6 eV above the VB or below the CB. During repassivation measurements at various potentials different defects formed by Ti + - and O + -implantation become mobile. A tentative model of the band structure is constructed which takes into account the interband states due to localised Ti + - and O + -ions. The modification of ion implanted oxide films is compared with the effects of other preparation techniques. (author)

  10. Nanostructure and Properties of Corrosion Resistance in C+Ti Multi-Ion-Implanted Steel

    Institute of Scientific and Technical Information of China (English)

    张通和; 吴瑜光; 刘安东; 张旭; 王晓妍

    2003-01-01

    The corrosion and pitting corrosion resistance of C+ Ti dual and C+Ti+C ternary implanted H13 steel were studied by using a multi-sweep cyclic voltammetry and a scanning electron microscope. The effects of phase formation on corrosion and pitting corrosion resistance were explored. The x-ray diffraction analysis shows that the nanometer-sized precipitate phases consist of compounds of Fe2 Ti, TiC, Fe2C and Fe3 C in dual implanted layer and even in ternary implanted layer. The passivation layer consists of these nanometer phases. It has been found that the corrosion and pitting corrosion resistance of dual and ternary implanted H13 steel are improved extremely. The corrosion resistance of ternary implanted layer is better than that of dual implantations and is enhanced with the increasing ion dose. When the ion dose of Ti is 6 × 1017/cm2 in the ternary implantation sample, the anodic peak current density is 95 times less than that of the H13 steel. The pitting corrosion potential of dual and ternary implantation samples is in the range from 55mV to 160mV which is much higher than that of the H13 steel. The phases against the corrosion and pitting corrosion are nanometer silkiness phases.

  11. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    International Nuclear Information System (INIS)

    Ward, L.P.; Purushotham, K.P.; Manory, R.R.

    2016-01-01

    Highlights: • Reduced surface roughness was observed after ion implantation. • W implantation increased residual stress. • Reduced friction and wear accompanied Mo implantation. • Mo implanted layer was more resistant to breakdown during wear testing. • Ion implantation effects can be complex on various implanting species properties. - Abstract: Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 10 16 ions cm −2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti 2 N phase was observed with Cr implantation.

  12. Antibacterial TiO2Coating Incorporating Silver Nanoparticles by Micro arc Oxidation and Ion Implantation

    International Nuclear Information System (INIS)

    Zhang, P.; Zhang, Z.; Li, W.

    2013-01-01

    Infection associated with titanium implants remains the most common serious complication in hard tissue replacement surgery. Since such postoperative infections are usually difficult to cure, it is critical to find optimal strategies for preventing infections. In this study, TiO 2 coating incorporating silver (Ag) nanoparticles were fabricated on pure titanium by micro arc oxidation and ion implantation. The antibacterial activity was evaluated by exposing the specimens to Staphylococcus aureus and comparing the reaction of the pathogens to Ti-MAO-Ag with Ti-MAO controls. Ti-MAO-Ag clearly inhibited bacterial colonization more than the control specimen. The coating’s antibacterial ability was enhanced by increasing the dose of silver ion implantation, and Ti-MAO-Ag 20.0 had the best antibacterial ability. In addition, cytocompatibility was assessed by culturing cell colonies on the specimens. The cells grew well on both specimens. These findings indicate that surface modification by means of this process combining MAO and silver ion implantation is useful in providing antibacterial activity and exhibits cytocompatibility with titanium implants

  13. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Science.gov (United States)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  14. Synthesis of Ag ion-implanted TiO{sub 2} thin films for antibacterial application and photocatalytic performance

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Xinggang, E-mail: hou226@mail.tjnu.edu.cn [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma, Huiyan; Liu, Feng; Deng, Jianhua; Ai, Yukai; Zhao, Xinlei; Mao, Dong; Li, Dejun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liao, Bin [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-12-15

    Highlights: • Implanted TiO{sub 2} films with excellent antibacterial and photocatalytic ability was prepared. • Bactericidal effect of released Ag ions was confirmed using VC as radical scavenger. • Excitation of TiO{sub 2} to visible region is attributed to subtitutional Ag. • Synergetic effect of Ag{sup 3+} and Ag{sup +} accounts for the enhanced ability of TiO{sub 2}. - Abstract: TiO{sub 2} thin films were deposited by spin coating method. Silver ions were implanted into the films using a Metal Vapor Vacuum Arc implanter. The antibacterial ability of implanted films was tested using Escherichia coli removal under fluorescent irradiation and in the dark. The concentration of E. coli was evaluated by plating technique. The photocatalytic efficiency of the implanted films was studied by degradation of methyl orange under fluorescent illumination. The surface free energy of the implanted TiO{sub 2} films was calculated by contact angle testing. Vitamin C was used as radical scavengers to explore the antibacterial mechanism of the films. The results supported the model that both generation of reactive oxygen species and release of silver ions played critical roles in the toxic effect of implanted films against E. coli. XPS experimental results demonstrated that a portion of the Ag(Ag{sup 3+}) ions were doped into the crystalline lattice of TiO{sub 2}. As demonstrated by density functional theory calculations, the impurity energy level of subtitutional Ag was responsible for enhanced absorption of visible light. Ag ion-implanted TiO{sub 2} films with excellent antibacterial efficiency against bacteria and decomposed ability against organic pollutants could be potent bactericidal surface in moist environment.

  15. The effect of MEVVA ion implantation on the tribological properties of PVD-TiN films

    International Nuclear Information System (INIS)

    Manory, R.; Mollica, S.

    1998-01-01

    The present work is the first study in which the effects of metal evaporation vacuum (MEVVA) implantation are studied on TiN of the PVD type which is commercially available in Australia. The MEVVA ion implanter differs from the 'conventional' type of ion implanter in the fact that it has a high throughput of metal ions which are not mass analysed and therefore has more potential for industrial non-electronic applications. TiN-coated steel samples have been implanted with two types of species - one light and one heavy - C + and W + respectively. The samples were analysed by Rutherford backscattering (RBS) and x-ray diffraction (XRD). The tribological performance was assessed by pin-on-disc and microhardness. The results show that carbon implantation was very effective in improving the friction coefficient by the formation of a carbonaceous layer on the surface. XRD also shows formation of TiC in the near surface region. W implantation does not improve the friction coefficient but improves the lifetime of the coating. Unimplanted films fail in the pin-on-disk test after 7000 cycles, whereas implanted films are still well adhered after 18000 cycles

  16. Effects of noble-metal ion implantation on corrosion inhibition and charge injection capability of surgical Ti and Ti-6Al-4V

    International Nuclear Information System (INIS)

    Lee, I.S.

    1989-01-01

    Studies are described involving effects of noble-metal ion implantation on corrosion inhibition and charge injection capabilities of surgical Ti and Ti-6Al-4V. With surgical alloys, harmful biological responses are principally due to the type and quantity of metal ions released by the corrosion process. One approach to improve long-term biological performance involves surface modifications to significantly reduce degradation rates. With regard to surface-modifications, one of the most effective methods is through ion implantation. Results are presented for ion-implanted Au, Rh, and Ir. For the static in vitro corrosion properties, the noble-metal ion implanted Ti-6Al-4V and commercially-pure (CP) Ti were investigated in non-passivating acid and passivating saline solutions. It was postulated that during the early stages of corrosion (or during a corrosion pretreatment) the implanted noble-metal would enrich at the surface and significantly reduce subsequent corrosion rates. The observed behavior for the Ir and Rh implanted materials appeared to follow the postulated mechanism, with both initial and time-dependent improvements in corrosion resistance. However, the Au implanted material yielded early benefits, but the enhanced corrosion resistance deteriorated with time. X-ray photoelectron spectroscopy (XPS) analysis indicated that the implanted Au atoms remained as pure metallic Au, while the Ir and Rh atoms were in some oxide state, which gave the good adhesion of the Ir or Rh enriched surface to the Ti substrate. For a stimulating neural electrode, the charge density should be as large as possible to provide adequate stimulation of the nervous system while allowing for miniaturization of the electrode. Activated Ir has been known as having the highest charge injection capability of any material known

  17. Nitrogen implantation of Ti and Ti+Al films deposited on tool steel

    International Nuclear Information System (INIS)

    Huang, C.-T.; Duh, J.-G.

    1995-01-01

    Titanium and aluminum thin films were deposited onto A2 steel by rf magnetron sputtering with various Al contents. The coated assembly was then implanted with nitrogen ions at 92 kV and 1 mA for 4.5 h. The thickness of the implanted Ti and Ti+Al films deposited for 1 h was around 0.4-0.5 μm. With the aid of X-ray diffraction by the grazing-incidence technique, secondary ion mass spectrum (SIMS) and X-ray photoelectron spectroscopy (XPS), the titanium oxide and titanium nitride were identified on the top and inner surface in the implanted Ti film. For Ti+Al films after nitrogen implantation, Ti 3 O 5 was formed on the top surface beneath which is a (Ti, Al) N solid solution. There was Ti 2 N compound formed in the implanted Ti film, while only a minor amount of Ti 2 N phase was observed in the inner region in the implanted Ti+Al film. The nitrogen distribution was flattened and spread in the implanted Ti film, while a concentration gradient was observed in the Ti+Al film after implantation. The measured surface hardness of implanted Ti film was higher than those of Ti+Al films and the hardness of implanted Ti+39%Al film was enhanced as compared to the Ti+50%Al film. (Author)

  18. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  19. Investigation of the stability of glass-ceramic composites containing CeTi2O6 and CaZrTi2O7 after ion implantation

    Science.gov (United States)

    Paknahad, Elham; Grosvenor, Andrew P.

    2017-12-01

    Glass-ceramic composite materials have been investigated for nuclear waste sequestration applications due to their ability to incorporate large amounts of radioactive waste elements. A key property that needs to be understood when developing nuclear waste sequestration materials is how the structure of the material responds to radioactive decay of nuclear waste elements, which can be simulated by high energy ion implantation. Borosilicate glass-ceramic composites containing brannerite-type (CeTi2O6) or zirconolite-type (CaZrTi2O7) oxides were synthesized at different annealing temperatures and investigated after being implanted with high-energy Au ions to mimic radiation induced structural damage. Backscattered electron (BSE) images were collected to investigate the interaction of the brannerite crystallites with the glass matrix before and after implantation and showed that the morphology of the crystallites in the composite materials were not affected by radiation damage. Surface sensitive Ti K-edge glancing angle XANES spectra collected from the implanted composite materials showed that the structures of the CeTi2O6 and CaZrTi2O7 ceramics were damaged as a result of implantation; however, analysis of Si L2,3-edge XANES spectra indicated that the glass matrix was not affected by ion implantation.

  20. Investigation of the stability of glass-ceramic composites containing CeTi 2 O 6 and CaZrTi 2 O 7 after ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Paknahad, Elham; Grosvenor, Andrew P.

    2017-12-01

    Glass-ceramic composite materials have been investigated for nuclear waste sequestration applications due to their ability to incorporate large amounts of radioactive waste elements. A key property that needs to be understood when developing nuclear waste sequestration materials is how the structure of the material responds to radioactive decay of nuclear waste elements, which can be simulated by high energy ion implantation. Borosilicate glass-ceramic composites containing brannerite-type (CeTi2O6) or zirconolite-type (CaZrTi2O7) oxides were synthesized at different annealing temperatures and investigated after being implanted with high-energy Au ions to mimic radiation induced structural damage. Backscattered electron (BSE) images were collected to investigate the interaction of the brannerite crystallites with the glass matrix before and after implantation and showed that the morphology of the crystallites in the composite materials were not affected by radiation damage. Surface sensitive Ti K-edge glancing angle XANES spectra collected from the implanted composite materials showed that the structures of the CeTi2O6 and CaZrTi2O7 ceramics were damaged as a result of implantation; however, analysis of Si L2,3-edge XANES spectra indicated that the glass matrix was not affected by ion implantation.

  1. Effects of Hydrogen Ion Implantation on TiC-C Coating of Stainless Steel

    Institute of Scientific and Technical Information of China (English)

    ZHANG Rui-qian; LIU Yao-guang; HUANG Ning-kang

    2008-01-01

    Titanium carbide coatings are widely used as various wear-resistant material.The hydrogen erosion resistance of TiC-C films and the effect of hydrogen participation on TiC-C films were studied.Seventy-five percent TiC-C films are prepared on stainless steel surface by using ion mixing,where TiC-C films are deposited by rf magnetron sputtering followed by argon ion bombardment.The samples are then submitted to hydrogen ion implantation at 1.2×10-3 Pa.Characterization for the 75% TiC-C films was done with SIMS,XRD,AES,and XPS.Secondary ion mass spectroscopy (SIMS) was used to analyze hydrogen concentration variation with depth,X-Ray diffraction (XRD) was used to identify the phases,and Auger electron spectra (AES) as well as X-ray photoelectron spectra (XPS) were used to check the effects of hydrogen on shifts of chemical bonding states of C and Ti in the TiC-C films.It is found that TiC-C films on stainless steel surface can prevent hydrogen from entering stainless steel.

  2. The microstructure and surface hardness of Ti6Al4V alloy implanted with nitrogen ions at an elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Vlcak, Petr, E-mail: petr.vlcak@fs.cvut.cz [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Cerny, Frantisek [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Drahokoupil, Jan [Department of Metals, Institute of Physics, AS CR, v.v.i., Na Slovance 2, 182 21 Prague (Czech Republic); Sepitka, Josef [Department of Mechanics, Biomechanics and Mechatronics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Tolde, Zdenek [Department of Materials Engineering, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic)

    2015-01-25

    Highlights: • The Ti6Al4V samples were implanted with 90 keV nitrogen ions. • The samples were annealed at 500 °C during the ion implantation process. • An elevated temperature increases the mobility of the atoms and the quantity of TiN. • The hardness showed a significant increase compared to room temperature implantation. - Abstract: The effect of an elevated temperature during nitrogen ion implantation on the microstructure and on the surface hardness of Ti6Al4V titanium alloy was examined. The implantation process was carried out at fluences of 1 ⋅ 10{sup 17}, 2.7 ⋅ 10{sup 17} and 6 ⋅ 10{sup 17} cm{sup −2} and at ion energy 90 keV. The implanted samples were annealed at 500 °C during the implantation process. X-ray diffraction analysis was performed to obtain a phase characterization and a phase quantification in the implanted sample surface. The surface hardness was investigated by nanoindentation testing, and the nitrogen depth distribution was measured by Rutherford Backscattering Spectroscopy. Elevated temperature led to increased formation of a TiN compound. It was found that a mixture of TiN and an α-Ti(+N) solid solution had a predominant amount of TiN for samples with fluence of 2.7 ⋅ 10{sup 17} cm{sup −2} or higher. Elevated temperature during ion implantation caused an increase in surface hardening more towards the depth of the substrate in comparison with room temperature implantation. The hardness showed a remarkably significant increase at a fluence of 1 ⋅ 10{sup 17} and 2.7 ⋅ 10{sup 17} cm{sup −2} compared to samples implanted at the same fluences and at room temperature. There is a discussion of such mechanisms that explain the observed hardening more towards the depth of the substrate, and the increase in hardness.

  3. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  4. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  5. Radio-frequency plasma nitriding and nitrogen plasma immersion ion implantation of Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.; Tang, B.Y.; Zeng, X.C.; Wang, X.F.; Chen, Y.B.

    1997-01-01

    Nitrogen ion implantation improves the wear resistance of Ti-6Al-4V alloys by forming a hard TiN superficial passivation layer. However, the thickness of the layer formed by traditional ion implantation is typically 100-200 nm and may not be adequate for many industrial applications. We propose to use radio-frequency (RF) plasma nitriding and nitrogen plasma immersion ion implantation (PIII) to increase the layer thickness. By using a newly designed inductively coupled RF plasma source and applying a series of negative high voltage pulses to the Ti-6Al-4V samples. RF plasma nitriding and nitrogen PIII can be achieved. Our process yields a substantially thicker modified layer exhibiting more superior wear resistance characteristics, as demonstrated by data from micro-hardness testing, pin-on-disc wear testing, scanning electron microscopy (SEM), as well as Auger electron spectroscopy (AES). The performance of our newly developed inductively coupled RF plasma source which is responsible for the success of the experiments is also described. (orig.)

  6. Improvement on corrosion resistance of NiTi orthopedic materials by carbon plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Luk, Camille M.Y.; Liu Xuanyong; Chung, Jonathan C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2006-01-01

    Nickel-titanium shape memory alloys (NiTi) have potential applications as orthopedic implants because of their unique super-elastic properties and shape memory effects. However, the problem of out-diffusion of harmful Ni ions from the alloys during prolonged use inside a human body must be overcome before they can be widely used in orthopedic implants. In this work, we enhance the corrosion resistance of NiTi using carbon plasma immersion ion implantation and deposition (PIII and D). Our corrosion and simulated body fluid tests indicate that either an ion-mixed amorphous carbon coating fabricated by PIII and D or direct carbon PIII can drastically improve the corrosion resistance and block the out-diffusion of Ni from the materials. Results of atomic force microscopy (AFM) indicate that both C 2 H 2 -PIII and D and C 2 H 2 -PIII do not roughen the original flat surface to an extent that can lead to degradation in corrosion resistance

  7. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    Science.gov (United States)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  8. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C 2 H 2 PIII is composed of mainly TiC x with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti 4+ , Ti 3+ and Ti 2+

  9. Nano-structure and tribological properties of B+ and Ti+ co-implanted silicon nitride

    International Nuclear Information System (INIS)

    Nakamura, Naoki; Noda, Katsutoshi; Yamauchi, Yukihiko

    2005-01-01

    Silicon nitride ceramics have been co-implanted with boron and titanium ions at a fluence of 2 x 10 17 ions/cm 2 and an energy of 200 keV. TEM results indicated that the boron and titanium-implanted layers were amorphized separately and titanium nitride nano-crystallites were formed in the titanium-implanted layer. XPS results indicated that the implantation profile varied a little depending on the ion implantation sequence of boron and titanium ions, with the boron implantation peak shifting to a shallower position when implanted after Ti + -implantation. Wear tests of these ion-implanted materials were carried out using a block-on-ring wear tester under non-lubricated conditions against commercially available silicon nitride materials. The specific wear rate was reduced by ion implantation and showed that the specific wear rate of Ti + -implanted sample was the lowest, followed by B + , Ti + co-implanted and B + -implanted samples

  10. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  11. Improve the corrosion and cytotoxic behavior of NiTi implants with use of the ion beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Meisner, L. L., E-mail: llm@isps.tsc.ru; Meisner, S. N. [Institute of Strength Physics and Materials Science SB RAS, 2/4 Akademichesky Ave., Tomsk, 634021 (Russian Federation); National Research Tomsk State University, 36, Lenina Avenue, Tomsk, 634050 (Russian Federation); Matveeva, V. A.; Matveev, A. L. [Institute of Chemical Biology and Fundamental Medicine, Siberian Branch,Russian Academy of Sciences, Novosibirsk, 630090 (Russian Federation)

    2015-11-17

    The corrosion resistance behavior and cytotoxicity of binary NiTi-base alloy specimens subjected to surface modification by silicon ion beams and the proliferative ability of mesenchymal stem cells (MSC) of rat marrow on an ion-implanted surface of the alloy have been studied. The silicon ion beam processing of specimen surfaces is shown to bring about a nearly two-fold improvement in the corrosion resistance of the material to attack by acqueous solutions of NaCl and human plasma and a drastic decrease in the nickel concentration after immersion of the specimens into the solutions for ∼3400 and ∼6000 h, respectively. It is found that MSC proliferation strongly depends on the surface structure, roughness and chemical condition of NiTi implants.

  12. Amorphous surface layers in Ti-implanted Fe

    International Nuclear Information System (INIS)

    Knapp, J.A.; Follstaedt, D.M.; Picraux, S.T.

    1979-01-01

    Implanting Ti into high-purity Fe results in an amorphous surface layer which is composed of not only Fe and Ti, but also C. Implantations were carried out at room temperature over the energy range 90 to 190 keV and fluence range 1 to 2 x 10 16 at/cm 2 . The Ti-implanted Fe system has been characterized using transmission electron microscopy (TEM), ion backscattering and channeling analysis, and (d,p) nuclear reaction analysis. The amorphous layer was observed to form at the surface and grow inward with increasing Ti fluence. For an implant of 1 x 10 17 Ti/cm 2 at 180 keV the layer thickness was 150 A, while the measured range of the implanted Ti was approx. 550 A. This difference is due to the incorporation of C into the amorphous alloy by C being deposited on the surface during implantation and subsequently diffusing into the solid. Our results indicate that C is an essential constituent of the amorphous phase for Ti concentrations less than or equal to 10 at. %. For the 1 x 10 17 Ti/cm 2 implant, the concentration of C in the amorphous phase was approx. 25 at. %, while that of Ti was only approx. 3 at. %. A higher fluence implant of 2 x 10 17 Ti/cm 2 produced an amorphous layer with a lower C concentration of approx. 10 at. % and a Ti concentration of approx. 20 at. %

  13. Surface modification of coronary artery stent by Ti-O/Ti-N complex film coating prepared with plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Huang, N.; Leng, Y.X.; Yang, P.

    2006-01-01

    This paper reported the work of surface coating of Ti-O/Ti-N complex films on coronary stents by means of the plasma immersion ion implantation/deposition process. The deformation behavior of the Ti-O/Ti-N coated stainless steel stents was investigated. In vivo investigation of the anticoagulation behavior of Ti-O coated coronary stents was also performed. The results of mechanical characterization of the Ti-O/Ti-N coated stents show that the film has strong binding strength, and to some extent the ability to withstand plastic deformation. The biological response behavior of the coated stent surface was significantly different from the uncoated. The results of implantation of stents into rabbit ventral aorta show no thrombus formation on the surfaces of the Ti-O coated stents, although serious coagulation had occurred on the surfaces of unmodified stents over a period of 4 weeks under conditions with no anticoagulant

  14. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  15. Improved tribological behavior of boron implanted Ti-6Al-4V

    International Nuclear Information System (INIS)

    Baker, N.P.; Walter, K.C.; Nastasi, M.

    1998-03-01

    Boron implanted of Ti6Al4V has been conducted at combinations of 32 and 40 keV to supplement that done previously at 75 keV. Shallower boron depth profiles with higher B-concentrations in the Ti64 surface have been obtained by tailoring the combinations of ion energy and dose. This work used three different ion energy and dose combinations of 4 x 10 17 B-at/cm 2 at 40 keV plus 2 x 10 17 B-at/cm 2 at 32 keV, 4 x 10 17 B-at/cm 2 at 40 keV, and 4 x 10 17 B-at/cm 2 at 32 keV plus 2 x 10 17 B-at/cm 2 at 40 keV. Comparisons are made between Ti6Al4V with a shallow implanted boron depth profile, Ti6Al4V with a deeper boron depth profile and nitrogen implanted using a plasma source ion implantation process. It has been previously shown that while boron implanted Ti64 has a ∼ 30% higher surface hardness than nitrogen implanted Ti64, the N-implantation reduced the wear coefficient of Ti64 by 25--120x, while B-implantation reduced the wear coefficient by 6.5x or less. The results show that no significant improvement is made in the wear resistance of boron implanted Ti6Al4V by increasing the concentration of boron at the surface from approximately 10% to 43%. Transmission electron microscopy (TEM) and selected area diffraction (SAD) indicated the formation of crystalline TiB in the implanted surface layer. Shallower depth profiles result in reductions of the Ti6Al4V wear coefficient by 6.5x or less which is the same result obtained earlier with the deeper boron depth profile. Surface hardness of Ti6Al4V with shallower boron depth profiles was improved approximately 10% compared to the results previously acquired with deeper boron depth profiles

  16. Tailoring the structural and optical properties of TiN thin films by Ag ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Popović, M., E-mail: majap@vinca.rs; Novaković, M.; Rakočević, Z.; Bibić, N.

    2016-12-15

    Highlights: • Changes in structural and optical properties of TiN films induced by Ag ions. • The formation of Ag metallic clusters inside of TiN layers was observed. • The SPR of Ag particles was confirmed by a broad band in the spectra. • As the Ag ions fluence increases the n also increase and k values decrease. • With increasing ion fluence the TiN film becomes more metallic. - Abstract: Titanium nitride (TiN) thin films thickness of ∼260 nm prepared by dc reactive sputtering were irradiated with 200 keV silver (Ag) ions to the fluences ranging from 5 × 10{sup 15} ions/cm{sup 2} to 20 × 10{sup 15} ions/cm{sup 2}. After implantation TiN layers were annealed 2 h at 700 °C in a vacuum. Ion irradiation-induced microstructural changes were examined by using Rutherford backscattering spectrometry, X-ray diffraction and transmission electron microscopy, while the surface topography was observed using atomic force microscopy. Spectroscopic ellipsometry was employed to get insights on the optical and electronic properties of TiN films with respect to their microstructure. The results showed that the irradiations lead to deformation of the lattice, increasing disorder and formation of new Ag phase. The optical results demonstrate the contribution of surface plasmon resonace (SPR) of Ag particles. SPR position shifted in the range of 354.3–476.9 nm when Ag ion fluence varied from 5 × 10{sup 15} ions/cm{sup 2} to 20 × 10{sup 15} ions/cm{sup 2}. Shift in peak wavelength shows dependence on Ag particles concentration, suggesting that interaction between Ag particles dominate the surface plasmon resonance effect. Presence of Ag as second metal in the layer leads to overall decrease of optical resistivity of TiN.

  17. Immobilization of calcium and phosphate ions improves the osteoconductivity of titanium implants

    International Nuclear Information System (INIS)

    Sunarso; Toita, Riki; Tsuru, Kanji; Ishikawa, Kunio

    2016-01-01

    In this work, to elevate weak osteoconductivity of titanium (Ti) implant, we prepared a Ti implant having both calcium and phosphate ions on its surface. To modify calcium and phosphate ions onto Ti, phosphate ions were first immobilized by treating the Ti with a NaH 2 PO 4 solution, followed by CaCl 2 treatment to immobilize calcium ions, which created the calcium and phosphate ions-modified Ti (Ca-P-Ti). X-ray photoelectron spectroscopy and thin-layer X-ray diffraction measurement confirmed that both phosphate and calcium ions were co-immobilized onto the Ti surface on the molecular level. Three-hour after seeding MC3T3-E1 murine pre-osteoblast cells on substrates, cell number on Ca-P-Ti was much larger than that of Ti and phosphate-modified Ti (P-Ti), but was similar to that of calcium-modified Ti (Ca-Ti). Also, MC3T3-E1 cells on Ca-P-Ti expressed larger amount of vinculin, a focal adhesion protein, than those on other substrates, probably resulting in larger cell size as well as greater cell proliferation on Ca-P-Ti than those on other substrates. Alkaline phosphatase activity of cells on Ca-P-Ti was greater than those on Ti and P-Ti, but was almost comparable to that of Ca-Ti. Moreover, the largest amount of bone-like nodule formation was observed on Ca-P-Ti. These results provide evidence that calcium and phosphate ions-co-immobilization onto Ti increased the osteoconductivity of Ti by stimulating the responses of pre-osteoblast cells. This simple modification would be promising technique for bone tissue implant including dental and orthopedic implants. - Highlights: • Phosphate and calcium ions have been successfully co-immobilize on Ti surface. • Co-immobilization of Ca and phosphate ions (Ca-P-Ti) did not alter the original surface morphology. • Ca-P-Ti significantly improved initial MC3T3-E1 cell adhesion. • Ca-P-Ti demonstrated remarkable cell proliferation, differentiation and mineralization. • Overall, Ca-P-Ti would be a promising bone

  18. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  19. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  20. Si+ ion implantation reduces the bacterial accumulation on the Ti6Al4V surface

    International Nuclear Information System (INIS)

    Gallardo-Moreno, A M; Pacha-Olivenza, M A; Perera-Nunez, J; Gonzalez-Carrasco, J L; Gonzalez-Martin, M L

    2010-01-01

    Ti6Al4V is one of the most commonly used biomaterials in orthopedic applications due to its interesting mechanical properties and reasonable biocompatibility. Nevertheless, after the implantation, microbial adhesion to its surface can provoke severe health problems associated to the development of biofilms and subsequent infectious processes. This work shows a modification of the Ti6Al4V surface by Si+ ion implantation which reduces the bacterial accumulation under shear forces. Results have shown that the number of bacteria remaining on the surface at the end of the adhesion experiments decreased for silicon-treated surface. In general, the new surface also behaved as less adhesive under in vitro flow conditions. Since no changes are observed in the electrical characteristics between the control and implanted samples, differences are likely related to small changes observed in hydrophobicity.

  1. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  2. Friction and wear study of diamond-like carbon gradient coatings on Ti6Al4V substrate prepared by plasma source ion implant-ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Jiang, Shuwen; Jiang Bin; Li Yan; Li Yanrong; Yin Guangfu; Zheng Changqiong

    2004-01-01

    DLC gradient coatings had been deposited on Ti6Al4V alloy substrate by plasma source ion implantation-ion beam enhanced deposition method and their friction and wear behavior sliding against ultra high molecular weight polyethylene counterpart were investigated. The results showed that DLC gradient coated Ti6Al4V had low friction coefficient, which reduced 24, 14 and 10% compared with non-coated Ti6Al4V alloy under dry sliding, lubrication of bovine serum and 0.9% NaCl solution, respectively. DLC gradient coated Ti6Al4V showed significantly improved wear resistance, the wear rate was about half of non-coated Ti6Al4V alloy. The wear of ultra high molecular weight polyethylene counterpart was also reduced. High adhesion to Ti6Al4V substrate of DLC gradient coatings and surface structure played important roles in improved tribological performance, serious oxidative wear was eliminated when DLC gradient coating was applied to the Ti6Al4V alloy

  3. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  4. Study of creep of Ti-6Al-4V alloy using plasma immersion ion implantation (PIII)

    International Nuclear Information System (INIS)

    Zepka, Susana; Yogi, Lucila Mayumi; Silva, Maria Margareth da; Reis, Danieli Aparecida Pereira; Moura Neto, Carlos de; Oliveira, Vinicius Souza de; Ueda, Mario

    2010-01-01

    This study aims to investigate the creep resistance of the Ti-6Al-4V alloy after surface modification by plasma immersion ion implantation (PIII). For the PIII treatment it was used nitrogen gas (ion implantation) to the formation of plasma, the material was treated for 100 minutes. After PIII treatment the samples were analyzed using the techniques of X-ray diffraction, spectrometry energy dispersive X-ray and atomic force microscopy. The creep tests were realized at 600°C, at constant load of 250 and 319 MPa. After the creep tests the samples were analyzed by optical microscopy and scanning electron microscopy. By chemical analysis by X-ray and EDS it is possible to determinate the Ti 2 N on the surface. Through the study of the creep curves it is observed an increasing in creep resistance of the alloy after PIII treatment. (author)

  5. Microstructure and mechanical properties of ion-beam-produced Fe-Ti-(N), Fe-Ti-(C), and Fe-Ti-(C,N) surface films

    Science.gov (United States)

    Hirvonen, J.-P.; Nastasi, M.; Zocco, T. G.; Jervis, T. R.

    1990-06-01

    Ion-mixed films of Fe53 Ti47 were produced by ion irradiating a Fe-Ti multilayer structure on AISI 304 stainless steel. The ion-mixed films were subsequently implanted with nitrogen, carbon, or both carbon and nitrogen. The microstructure following nitrogen implantation consisted of a bcc solid solution of iron and titanium and finely dispersed TiN precipitates. In the cases of carbon or carbon and nitrogen implantation, a two-phase structure consisting of an amorphous matrix with TiC or Ti(C,N) precipitates was found. All these films initially possessed improved tribological properties as revealed by lowered friction and increased wear resistance. However, after an extended test of 1000 wear cycles, a reduced friction was only observed for the carbon or carbon and nitrogen implanted samples. The wear track on the dual implanted surface was extremely smooth, while the surface of the nitrogen-implanted sample was partly worn through, causing the friction to increase to the level of the untreated sample. The improved tribological properties of the implanted films are attributed to an increase in surface hardness. However, the surface hardness is unable to explain differences between different implantations. In the case of the dual carbon and nitrogen implantation, improvements appear to be in part the result from an increased capability to accommodate plastic deformation. These conclusions are supported by transmission electron microscope studies of the wear tracks as well as by nanoindentation measurements.

  6. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Zhao, J.; Chu, Paul K.

    2007-01-01

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has three layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO 2 with some Mg(OH) 2 . The middle layer that is 50 nm thick comprises predominantly TiO 2 and MgO with minor contributions from MgAl 2 O 4 and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti 3 Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37±1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased β-Mg 12 Al 17 phase

  7. Wear and corrosion behaviors of Ti6Al4V alloy biomedical materials by silver plasma immersion ion implantation process

    Energy Technology Data Exchange (ETDEWEB)

    Hongxi, Liu [School of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Qian, Xu, E-mail: vipliuhx@yahoo.com.cn [Faculty of Adult Education, Kunming University of Science and Technology, Kunming 650051 (China); Xiaowei, Zhang; Chuanqi, Wang [School of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Baoyin, Tang [State Key Laboratory of Advanced Welding Production Technology, Harbin Institute of Technology, Harbin 150001 (China)

    2012-10-30

    In order to improve the wear resistance and anti-corrosion behaviors of Ti6Al4V (TC4) alloy, polished samples were implanted with silver (Ag) ions by plasma immersion ion implantation (PIII) technique. The phase composition and element concentration-depth distribution in modified layer were characterized by X-ray diffraction (XRD) and Auger electron spectrum (AES). Corrosion resistance, microhardness, friction and wear behaviors of PIII-TC4 alloy changed with the Ag ion implantation dose. XRD analysis reveals that the surface modified layer consists of Ag and a small amount of TiAg phases. AES results show that Ag atomic peak concentration is 9.88%, about 14.4 nm from the surface. The maximum nanohardness and elastic modulus of PIII-TC4 alloy increases by 62.5% and 54.5%, respectively. The lowest friction coefficient reduces from 0.78 to 0.2. The test result of potentiodynamic polarization in 3.5% NaCl saturated solution indicates that the sample of Ag ion dose at 1.0 Multiplication-Sign 10{sup 17} ions/cm{sup 2} has the best corrosion resistance with the lowest corrosion current density and the least porosity.

  8. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  9. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  10. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    Science.gov (United States)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  11. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    International Nuclear Information System (INIS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-01-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 10 17 ions/cm 2 . The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, Cr x C y phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties

  12. The local environment of cobalt in amorphous, polycrystalline and epitaxial anatase TiO{sub 2}:Co films produced by cobalt ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Yildirim, O. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Technische Universität Dresden, D-01062 Dresden (Germany); Cornelius, S.; Hübner, R.; Potzger, K. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Smekhova, A.; Zykov, G.; Gan' shina, E. A.; Granovsky, A. B. [Lomonosov Moscow State University (MSU), Faculty of Physics, 119991 Moscow (Russian Federation); Bähtz, C. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Rossendorf Beamline, European Synchrotron Radiation Facility, F-38043 Grenoble (France)

    2015-05-14

    Amorphous, polycrystalline anatase and epitaxial anatase TiO{sub 2} films have been implanted with 5 at. % Co{sup +}. The magnetic and structural properties of different microstructures of TiO{sub 2}:Co, along with the local coordination of the implanted Co atoms within the host lattice are investigated. In amorphous TiO{sub 2}:Co film, Co atoms are in the (II) oxidation state with a complex coordination and exhibit a paramagnetic response. However, for the TiO{sub 2}:Co epitaxial and polycrystalline anatase films, Co atoms have a distorted octahedral (II) oxygen coordination assigned to a substitutional environment with traces of metallic Co clusters, which gives a rise to a superparamagnetic behavior. Despite the incorporation of the implanted atoms into the host lattice, high temperature ferromagnetism is absent in the films. On the other hand, it is found that the concentration and size of the implantation-induced nanoclusters and the magnetic properties of TiO{sub 2}:Co films have a strong dependency on the initial microstructure of TiO{sub 2}. Consequently, metallic nanocluster formation within ion implantation prepared transition metal doped TiO{sub 2} can be suppressed by tuning the film microstructure.

  13. The effects of Ti implantation on corrosion and adhesion of TiN coated stainless steel

    Science.gov (United States)

    Baba, K.; Nagata, S.; Hatada, R.; Daikoku, T.; Hasaka, M.

    1993-06-01

    Thin titanium nitride (TiN) films of 40 and 70 nm in thickness were deposited on austenitic-type 304 stainless steel substrates by a rf ion plating process, and these specimens were irradiated with 70 kV titanium ions at a fluence of 1 × 10 17/cm 2 by use of MEVVA IV metallic ion source at room temperature. After that TiN films of 2 μm were deposited by the same method. The results of X-ray photoelectron spectroscopy and Auger electron spectroscopy revealed that implanted titanium penetrated into the substrate and interfacial mixing was verified. The adhesion strength was estimated by a scratch test. It was found that ion implantation can enhance the adhesion strength between the film and the substrate. The corrosion resistance of the specimens was evaluated in aqueous solutions of sulfuric acid by an electrochemical method. Titanium implantation was extremely effective in suppressing the anodic dissolution of stainless steel.

  14. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  15. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  16. Colorimetric properties of TiN coating implanted by aluminum

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Q.G. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)]. E-mail: zhouqg99@mails.tsinghua.edu.cn; Bai, X.D. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xue, X.Y. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Ling, Y.H. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Chen, X.W. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, J. [Beijing Great Wall Ti-Gold Corporation, Beijing 100095 (China); Wang, D.R. [Beijing Great Wall Ti-Gold Corporation, Beijing 100095 (China)

    2005-04-05

    TiN coating was prepared by cathodic arc deposition and implanted aluminum using a metal vacuum vapor arc ion source with doses ranging from 5 x 10{sup 16} to 2 x 10{sup 17} ions/cm{sup 2}. The purpose of this work was to determine the dependence of the colorimetric properties of TiN films on the implanting conditions, especially by the aluminum ion implantation. The colorimetry of coatings was evaluated quantitatively in terms of CIE L * a * b *. The color coordinate values L *, a *, and b * provide a numerical representation of the color of the surface. With the dose increasing, the surface color has no obvious change but the surface turns brighter, and a * as well as b * values all decline. The X-ray diffraction patterns showed that the aluminum implantation induced a slight shift of diffraction peaks. X-ray photoemission spectroscopy was employed to analyze the surface valence states. The oxygen in surface top layer does not decrease a * and b * values, it partially combined with nitrogen.

  17. Investigation of corrosion and ion release from titanium dental implant

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Mouhyi, J.; Louvette, P.; Sennerby, L.

    1997-01-01

    A thin passive titanium dioxide, in its stoichiometric form, has a very high corrosion resistance, but the same conclusion can not be made on corrosion resistance of a surface which is not stoichiometrically titanium dioxide, or even a surface which is a composition of various elements and oxides. In practice, the implants available on the market have an oxide surface contaminated with other elements. The aim of this paper is to correlate clinical observations that show the deterioration of Ti made implants after certain period of insertion in the patients, and in vitro corrosion resistance of Ti implants with surface passive oxide layer. For this purpose, surface analysis of the retrieved failed implants were performed and in vivo animal experiments with relation to ion release from implants were done. Finally, on the basis of the clinical observation, in vivo animal test, and in vitro electrochemical corrosion test, a model is proposed to explain the corrosion and ion release from the Ti implant. (author)

  18. Ti-O/TiN films synthesized by plasma immersion ion implantation and deposition on 316L: Study of deformation behavior and mechanical properties

    International Nuclear Information System (INIS)

    Wan, G.J.; Huang, N.; Yang, P.; Leng, Y.X.; Sun, H.; Chen, J.Y.; Wang, J.

    2005-01-01

    Ti-O/TiN gradient films have been synthesized on 316L stainless steel using plasma immersion ion implantation and deposition (PIII and D). The coated samples were subjected to tensile testing and observed in situ by scanning electron microscopy. No delamination, peeling or cracking was found on the film after plastic deformation of 0.16 mm residual displacement. Nanoindentation and nanoscratch tests revealed that the prepared films possess high nanohardness and good adhesion strength to the metal substrate. The mechanical properties of the synthesized Ti-O/TiN films are thought to be attributed to the good nanostructure, high density, smooth surface, slow transition from Ti-O to TiN and broad film/matrix interface achieved by the PIII-D process

  19. Analysis of nano-meter structure in Ti implanted polymers

    International Nuclear Information System (INIS)

    Zhou Gu; Wu Yuguang; Zhang Tonghe; Zhao Xinrong

    2001-01-01

    Polyethylene terephthalate (PET) is modified with Ti ion implantation to a dose of 1x10 17 to 2 x 10 17 cm -2 by using a metal vapor vacuum arc(MEVVA)source. Nano-meter structures in the implanted sample are observed by means of transmission electron microscope (TEM). The influence of ion dose on the structure is indicated. The results show that dense nano-meter phases are dispersed uniformly in the implanted layer. TEM cross section indicates that there is a three-layer structure in the implanted PET. It is found that a metallurgical surface is formed. Therefore the hardness, wear resistance and conductive properties of PET are improved after metal ion implantation. The mechanism of electrical conduction will be discussed

  20. Optical studies of cobalt implanted rutile TiO2 (110) surfaces

    International Nuclear Information System (INIS)

    Joshi, Shalik Ram; Padmanabhan, B.; Chanda, Anupama; Mishra, Indrani; Malik, V.K.; Mishra, N.C.; Kanjilal, D.; Varma, Shikha

    2016-01-01

    Highlights: • The present study displays formation of nanostructures after Co implantation on TiO 2 surfaces. • Preferential sputtering leads to the creation of oxygen vacancies on the surface. • A large enhancement in visible light absorbance (nearly 5 times compared to pristine) is observed. • Creation of self-organized nanostructures and Ti 3+ oxygen vacancies promote photoabsorption. • Formation of Co-nanoclusters and Co–Ti–O phase play concerted role in enhancing photo-absorption. - Abstract: Present study investigates the photoabsorption properties of single crystal rutile TiO 2 (110) surfaces after they have been implanted with low fluences of cobalt ions. The surfaces, after implantation, demonstrate fabrication of nanostructures and anisotropic nano-ripple patterns. Creation of oxygen vacancies (Ti 3+ states), development of cobalt nano-clusters as well as band gap modifications have also been observed. Results presented here demonstrate that fabrication of self organized nanostructures, upon implantation, along with the development of oxygen vacancies and ligand field transitions of cobalt ion promote the enhancement of photo-absorbance in both UV (∼2 times) and visible (∼5 times) regimes. These investigations on nanostructured TiO 2 surfaces can be important for photo-catalysis.

  1. Permeation of deuterium implanted into V-15Cr-5Ti

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Struttmann, D.A.

    1987-01-01

    Permeation and reemission of deuterium for the vanadium alloy, V-15Cr-5Ti, was investigated using 3 keV, D 3 + ion beams from a small accelerator. The experiments consisted of measurement of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5 mm thick specimens heated to temperatures from 623 K to 823 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). For the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This is approximately 1000 times that seen in the austenitic stainless steel, PCA, and 200 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates that D = 1.4x10 -8 exp(-0.11 eV/kT)(m 2 /s), over the temperature range 723 K to 823 K. (orig.)

  2. Permeation of deuterium implanted into V-15Cr-5Ti

    Science.gov (United States)

    Anderl, R. A.; Longhurst, G. R.; Struttmann, D. A.

    1987-02-01

    Permeation and reemission of deuterium for the vanadium alloy, V-15Cr-5Ti, was investigated using 3 keV, D 3+ ion beams from a small accelerator. The experiments consisted of measurement of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5 mm thick specimens heated to temperatures from 623 K to 823 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). For the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This is approximately 1000 times that seen in the austenitic stainless steel, PCA, and 200 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates that D = 1.4 × 10 -8 exp( -0.11 eV/ kT) (m 2/s), over the temperature range 723 K to 823 K.

  3. Permeation of deuterium implanted into V-15Cr-5Ti

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A.; Longhurst, G.R.; Struttmann, D.A.

    1987-02-01

    Permeation and reemission of deuterium for the vanadium alloy, V-15Cr-5Ti, was investigated using 3 keV, D/sub 3//sup +/ ion beams from a small accelerator. The experiments consisted of measurement of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5 mm thick specimens heated to temperatures from 623 K to 823 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). For the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This is approximately 1000 times that seen in the austenitic stainless steel, PCA, and 200 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates that D = 1.4x10/sup -8/ exp(-0.11 eVkT)(m/sup 2/s), over the temperature range 723 K to 823 K.

  4. Systemic levels of metallic ions released from orthodontic mini-implants.

    Science.gov (United States)

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  5. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    International Nuclear Information System (INIS)

    Xu Juan; Ding Gang; Li Jinlu; Yang Shenhui; Fang Bisong; Sun Hongchen; Zhou Yanmin

    2010-01-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased (p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  6. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    Energy Technology Data Exchange (ETDEWEB)

    Xu Juan, E-mail: doctorxue@126.com [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China) and Stomatological Hospital, Urumqi, Xinjiang (China); Ding Gang [Department of Stomatology, Yidu Central Hospital, Weifang, Shandong (China); Capital Medical University School of Stomatology, Beijing (China); Li Jinlu; Yang Shenhui; Fang Bisong [Capital Medical University School of Stomatology, Beijing (China); Sun Hongchen, E-mail: hcsun@jlu.edu.cn [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China); Zhou Yanmin, E-mail: zhouym62@126.com [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China)

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased (p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  7. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  8. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  9. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  10. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  11. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  12. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  13. Application of ion implantation RBS to the study of electrocatalysis

    International Nuclear Information System (INIS)

    Kelly, E.J.; Vallet, C.E.; White, C.W.

    1990-01-01

    Ir-implanted titanium near-surface alloys were prepared by ion implantation, characterized (Ir concentration/depth profiles) by Rutherford backscattering (RBS), and subsequently anodically oxidized to form electrocatalytically active Ir x Ti 1-x O 2 /Ti electrodes. The electrochemical behavior of the metallic-like Ir 4 Ti 1-x O 2 /Ti electrodes in acidic chloride, sulfate, and perchlorate solutions was investigated, and the results compared with those previously obtained with similarly prepared Ru x Ti 1-x O 2 /Ti electrodes. For both electrodes, M x Ti 1-x O 2 /Ti (M equals Ir or Ru), the Tafel slope for the Cl 2 evolution reaction is 40 mV, i.e.,δE/δlog i equals 2.303 (2RT/3F). The reaction order (n) with respect to chloride ion concentration δlogi/δlog[Cl - ] + 1, where K 9 equals 54.9 dm 3 mol -1 for Ir x Ti 1-x O 2 /Ti and K 9 equals 40 dm 3 mol -1 for Ru x Ti 1-x O 2 /Ti. A modified Volmer-Heyrovsky mechanism, one in which the role of absorbed chloride ions is taken into account, is shown to be consistent with aforementioned diagnostic parameters

  14. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  15. Release of titanium ions from an implant surface and their effect on cytokine production related to alveolar bone resorption

    International Nuclear Information System (INIS)

    Wachi, Takanori; Shuto, Takahiro; Shinohara, Yoshinori; Matono, Yoshinari; Makihira, Seicho

    2015-01-01

    Although interest in peri-implant mucositis and peri-implantitis has recently been increasing, the mechanisms driving these diseases remain unknown. Here, the effects of titanium ions on the inflammation and bone resorption around an implant were investigated. First, the accumulated amount of Ti ions released into gingival and bone tissues from an implant exposed to sodium fluoride solution was measured using inductively coupled plasma mass spectrometry. Next, the cellular responses in gingival and bone tissues to Ti ions and/or Porphyromonas gingivalis-lipopolysaccharide (P. gingivalis-LPS) were assessed using a rat model. More Ti ions were detected in the gingival tissues around an implant after treatment with sodium fluoride (pH 4.2) than in its absence, which suggests that the fluoride corroded the implant surface under salivary buffering capacity. The injection of Ti ions (9 ppm) significantly increased the mRNA expression and protein accumulation of chemokine (C–C motif) ligand 2, as well as the ratio of receptor activator of nuclear factor-κB ligand to osteoprotegerin, in rat gingival tissues exposed to P. gingivalis-LPS in a synergistic manner. In addition, the enhanced localization of toll-like receptor 4, which is an LPS receptor, was observed in gingival epithelium loaded with Ti ions (9 ppm). These data suggest that Ti ions may be partly responsible for the infiltration of monocytes and osteoclast differentiation by increasing the sensitivity of gingival epithelial cells to microorganisms in the oral cavity. Therefore, Ti ions may be involved in the deteriorating effects of peri-implant mucositis, which can develop into peri-implantitis accompanied by alveolar bone resorption

  16. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires

    Directory of Open Access Journals (Sweden)

    Manu Krishnan

    2013-01-01

    Full Text Available Aim: To evaluate the changes in surface roughness and frictional features of ′ion-implanted nickel titanium (NiTi and titanium molybdenum alloy (TMA arch wires′ from its conventional types in an in-vitro laboratory set up. Materials and Methods: ′Ion-implanted NiTi and low friction TMA arch wires′ were assessed for surface roughness with scanning electron microscopy (SEM and 3 dimensional (3D optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS values in nanometers and Frictional Forces (FF in grams. Statistical Analysis Used: Mean values of RMS and FF were compared by Student′s ′t′ test and one way analysis of variance (ANOVA. Results: SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm and 48.90% for TMA groups (463.28 to 236.35 nm from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. Conclusion: The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  17. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  18. Recharging processes, radiation induced strain and changes of OH - bands under H + ion implantation in Ti doped lithium niobate

    Science.gov (United States)

    Kumar, P.; Moorthy Babu, S.; Bhaumik, I.; Ganesamoorthy, S.; Karnal, A. K.; Kumar, Praveen; Rodrigues, G. O.; Sulania, I.; Kanjilal, D.; Pandey, A. K.; Raman, R.

    2010-01-01

    A systematic analysis of variations in structural and optical characteristics of Z-cut plates of titanium doped congruent lithium niobate single crystals implanted with 120 keV proton beam at various fluences of 10 15, 10 16 and 10 17 protons/cm 2 is presented. Through, high resolution X-ray diffraction, atomic force microscopy, Fourier transform infrared and UV-visible-NIR analysis of congruent lithium niobate, the correlation of properties before and after implantation are discussed. HRXRD (0 0 6) reflection by Triple Crystal Mode shows that both tensile and compressive strain peak are produced by the high fluence implantation. A distinct tensile peak was observed from implanted region for a fluence of 10 16 protons/cm 2. AFM micrographs indicate mountain ridges, bumps and protrusions on target surface on implantation. UV-visible-NIR spectra reveal an increase in charge transfer between Ti 3+/Ti 4+ and ligand oxygen for implantation with 10 15 protons/cm 2, while spectra for higher fluence implanted samples show complex absorption band in the region from 380-1100 nm. Variations of OH - stretching vibration mode were observed for cLN Pure, cLNT2% virgin, and implanted samples with FTIR spectra. The concentration of OH - ion before and after implantation was calculated from integral absorption intensity. The effect of 120 keV proton implantation induced structural, surface and optical studies were correlated.

  19. Application of nitrogen plasma immersion ion implantation to titanium nasal implants with nanonetwork surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ying-Sui; Yang, Wei-En [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Zhang, Lan [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); Zhu, Hongqin [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Lan, Ming-Ying [Division of Rhinology, Department of Otolaryngology Head and Neck Surgery, Taipei Veterans General Hospital, Taipei 112, Taiwan and School of Medicine, National Yang-Ming University, Taipei 112, Taiwan (China); Lee, Sheng-Wei [Institute of Materials Science and Engineering, National Central University, Taoyuan 320, Taiwan (China); Huang, Her-Hsiung, E-mail: hhhuang@ym.edu.tw [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Institute of Oral Biology, National Yang-Ming University, Taipei 112, Taiwan (China); Graduate Institute of Basic Medical Science, China Medical University, Taichung 404, Taiwan (China); Department of Medical Research, China Medical University Hospital, Taichung 407, Taiwan (China); Department of Bioinformatics and Medical Engineering, Asia University, Taichung 413, Taiwan (China); Department of Stomatology, Taipei Veterans General Hospital, Taipei 112, Taiwan (China)

    2016-07-15

    In nasal reconstruction, the response of cells to titanium (Ti) implants is mainly determined by surface features of the implant. In a pilot study, the authors applied electrochemical anodization to Ti surfaces in an alkaline solution to create a network of nanoscale surface structures. This nanonetwork was intended to enhance the responses of primary human nasal epithelial cell (HNEpC) to the Ti surface. In this study, the authors then treated the anodized, nanonetwork-structured Ti surface using nitrogen plasma immersion ion implantation (NPIII) in order to further improve the HNEpC response to the Ti surface. Subsequently, surface characterization was performed to elucidate morphology, roughness, wettability, and chemistry of specimens. Cytotoxicity, blood, and HNEpC responses were also evaluated. Our results demonstrate that NPIII treatment led to the formation of a noncytotoxic TiN-containing thin film (thickness <100 nm) on the electrochemically anodized Ti surface with a nanonetwork-structure. NPIII treatment was shown to improve blood clotting and the adhesion of platelets to the anodized Ti surface as well as the adhesion and proliferation of hNEpC. This research spreads our understanding of the fact that a TiN-containing thin film, produced using NPIII treatment, could be used to improve blood and HNEpC responses to anodized, nanonetwork-structured Ti surfaces in nasal implant applications.

  20. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  1. Evidence of surface migration and formation of catalytically inactive Pt in corrosion studies of Pt+ implanted Ti

    International Nuclear Information System (INIS)

    Appleton, B.R.; Kelly, E.J.; White, C.W.; Thompson, N.G.; Lichter, B.D.

    1980-08-01

    This investigation is part of an ongoing research project directed at applying the techniques of ion implantation doping and ion scattering analysis to identify the mechanisms associated with the anodic dissolution of Ti-Pt alloys. The Ti-Pt alloys produced by ion implantation were electrochemically examined in hydrogen saturated 1 N H 2 SO 4 by both potentiostatic polarization and open-circuit potential methods. In this study, Ti samples implanted to relatively high doses (5.4 x 10 15 to 2.9 x 10 16 atoms/cm 2 ) were examined by ion scattering analysis at various stages in the electrochemical measurements. Quantitative measurements showed that the majority of the implanted Pt accumulated on the surface during anodic dissolution and underwent large scale surface migration. Evidence is also presented for the transition of the Pt on the surface from a catalytically active to inactive state. Possible mechanisms for the observed catalytically inactive Pt are discussed

  2. Influence of temperature on properties of nitrogen plasma source ion implantation (N-PSII) of Ti6A14V alloy

    CERN Document Server

    Geng Man; Zhao Qing

    2001-01-01

    Specimens of Ti6Al4V alloy were implanted with nitrogen plasma source ion implantation (N-PSII) at temperatures between 100 degree C and 600 degree C to a ion dose of 4 x 10 sup 1 sup 7 cm sup - sup 2. Auger Electron Spectroscopy (AES) was used to determine the nitrogen concentration depth profiles. Microhardness measurements and pin-on-disk wear test were performed to evaluate the improvements of the surface modification. Glancing angle X-ray diffraction (XRD) was employed to determine the phases presented in the surface modified layer. The thickness of implanted layer increased by about an order of magnitude when the temperature was elevated from 100 degree C to 600 degree C. Higher surface hardness and wear resistance was also obtained at higher temperature. Scanning electron microscopy (SEM) showed distinct microstructural changes and the presence of titanium nitrides in the implanted surface

  3. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  4. Resistivity and morphology of TiSi2 formed on Xe+-implanted polycrystalline silicon

    International Nuclear Information System (INIS)

    Kuwano, H.; Phillips, J.R.; Mayer, J.W.

    1990-01-01

    Xe ion irradiation of polycrystalline silicon before Ti deposition is found to affect subsequent silicide formation. Silicide films were prepared by implanting 60, 100, or 240 keV Xe + ions into 500-nm-thick undoped polycrystalline silicon before depositing Ti and annealing in vacuum. Preimplantation altered the subsequent silicide resistivity, x-ray diffraction patterns, and morphology as compared to films prepared on unimplanted polycrystalline Si substrates. We found that minimal TiSi 2 resistivities were achieved at lower temperatures with preimplantation, indicating that the Xe-implanted substrate promotes a lower temperature transition from the metastable C49 phase to the low-resistivity equilibrium C54 phase of TiSi 2 . X-ray diffraction results confirmed the lower temperature formation of the C54 phase with preimplantation. Low-temperature annealing (650 degree C, 30 min) of 6x10 16 cm -2 , 240 keV Xe + -implanted samples yielded low-resistivity (∼22 μΩ cm) silicide films, while simultaneously annealed samples without preimplantation had resistivity five times higher. Lower doses were effective at lower implant energies, with low resistivity achieved after 725 degree C, 30 min annealing for 2x10 15 cm -2 , 60 keV Xe + preimplantation

  5. Mg ion implantation on SLA-treated titanium surface and its effects on the behavior of mesenchymal stem cell

    International Nuclear Information System (INIS)

    Kim, Beom-Su; Kim, Jin Seong; Park, Young Min; Choi, Bo-Young; Lee, Jun

    2013-01-01

    Magnesium (Mg) is one of the most important ions associated with bone osseointegration. The aim of this study was to evaluate the cellular effects of Mg implantation in titanium (Ti) surfaces treated with sand blast using large grit and acid etching (SLA). Mg ions were implanted into the surface via vacuum arc source ion implantation. The surface morphology, chemical properties, and the amount of Mg ion release were evaluated by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), Rutherford backscattering spectroscopy (RBS), and inductively coupled plasma-optical emission spectrometer (ICP-OES). Human mesenchymal stem cells (hMSCs) were used to evaluate cellular parameters such as proliferation, cytotoxicity, and adhesion morphology by MTS assay, live/dead assay, and SEM. Furthermore, osteoblast differentiation was determined on the basis of alkaline phosphatase (ALP) activity and the degree of calcium accumulation. In the Mg ion-implanted disk, 2.3 × 10 16 ions/cm 2 was retained. However, after Mg ion implantation, the surface morphology did not change. Implanted Mg ions were rapidly released during the first 7 days in vitro. The MTS assay, live/dead assay, and SEM demonstrated increased cell attachment and growth on the Mg ion-implanted surface. In particular, Mg ion implantation increased the initial cell adhesion, and in an osteoblast differentiation assay, ALP activity and calcium accumulation. These findings suggest that Mg ion implantation using the plasma source ion implantation (PSII) technique may be useful for SLA-treated Ti dental implants to improve their osseointegration capacity. - Highlights: ► Mg ion was coated onto surface of SLA treated titanium via vacuum arc source ion implantation method. ► The morphological characteristics did not change after Mg ion implantation. ► Mg ion implanted SLA Ti is highly cytocompatible. ► Initial cell adhesion of MSCs is improved by Mg ion implantation. ► Mg ion implantation improved

  6. Improved surface corrosion resistance of WE43 magnesium alloy by dual titanium and oxygen ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Ying [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Guosong; Lu, Qiuyuan [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Jun [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Xu, Ruizhen [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Yeung, Kelvin W.K., E-mail: wkkyeung@hku.hk [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Chu, Paul K., E-mail: paul.chu@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2013-02-01

    Magnesium alloys are potential biodegradable materials and have attracted much attention due to their outstanding biological performance and mechanical properties. However, their rapid degradation inside the human body cannot meet clinical needs. In order to improve the corrosion resistance, dual titanium and oxygen ion implantation is performed to modify the surface of the WE43 magnesium alloy. X-ray photoelectron spectroscopy is used to characterize the microstructures in the near surface layer and electrochemical impedance spectroscopy, potentiodynamic polarization, and immersion tests are employed to investigate the corrosion resistance of the implanted alloys in simulated body fluids. The results indicate that dual titanium and oxygen ion implantation produces a TiO{sub 2}-containing surface film which significantly enhances the corrosion resistance of WE43 magnesium alloy. Our data suggest a simple and practical means to improve the corrosion resistance of degradable magnesium alloys. - Highlights: ► Surface modification of WE43 magnesium alloy using dual ion implantation ► Dual Ti and O ion implantation produces a homogeneous TiO{sub 2}-containing surface film ► Significant improvement of the alloy corrosion resistance after the dual ion implantation.

  7. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  8. Changes in local surface structure and Sr depletion in Fe-implanted SrTiO{sub 3} (001)

    Energy Technology Data Exchange (ETDEWEB)

    Lobacheva, O., E-mail: olobache@gmail.com [Department of Physics and Astronomy, Western University, London, ON N6A 5B7 (Canada); Yiu, Y.M. [Department of Chemistry, Western University, London, ON N6A 5B7 (Canada); Chen, N. [Canadian Light Source, Saskatoon, SK S7N 0X4 (Canada); Sham, T.K.; Goncharova, L.V. [Department of Physics and Astronomy, Western University, London, ON N6A 5B7 (Canada); Department of Chemistry, Western University, London, ON N6A 5B7 (Canada)

    2017-01-30

    Highlights: • Fe ion implantation of SrTiO{sub 3} and post-implantation results in formation of Sr{sub 1-y}Ti{sub 1-x}Fe{sub x+y}O{sub 3-δ} phase. • In Sr{sub 1-y}Ti{sub 1-x}Fe{sub x+y}O{sub 3-δ} phase, Fe assumes Fe{sup 3+} oxidation state in the bulk and Fe{sup 2+} oxidation state in the near surface area. • FEFF9 calculations indicate that Fe ions can substitute both Ti and Sr sites. • Formation of Sr{sub 1-y}Ti{sub 1-x}Fe{sub x+y}O{sub 3-δ} phase is accompanied by Sr depletion in the near surface region. - Abstract: Local surface structure of single crystal strontium titanate SrTiO{sub 3} (001) samples implanted with Fe in the range of concentrations between 2 × 10{sup 14} to 2 × 10{sup 16} Fe/cm{sup 2} at 30 keV has been investigated. In order to facilitate Fe substitution (doping), implanted samples were annealed in oxygen at 350 °C. Sr depletion was observed from the near-surface layers impacted by the ion-implantation process, as revealed by Rutherford Backscattering Spectrometry (RBS), X-ray photoelectron spectroscopy (XPS), X-ray Absorption Near Edge Spectroscopy (XANES), and Atomic Force Microscopy (AFM). Hydrocarbon contaminations on the surface may contribute to the mechanisms of Sr depletion, which have important implications for Sr(Ti{sub 1-x}Fe{sub x})O{sub 3-δ} materials in gas sensing applications.

  9. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  10. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  11. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M.V. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A.J. [Australian National Univ., Canberra, ACT (Australia); Treglio, J.R.

    1996-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  12. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M V [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A J [Australian National Univ., Canberra, ACT (Australia); Treglio, J R

    1997-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  13. 1/f Fluctuations in ion implanted metal semiconductor contacts

    International Nuclear Information System (INIS)

    Stojanovic, M.; Marjanovic, N.; Radojevic, B.

    1998-01-01

    Ion implanted Metal-Semiconductor contacts is the most widely used structures in electrical devices. Weather complete devices or some parts are of interest, properties of metal-semiconductor junction strongly influence the quality and external characteristic of electronic devices. That is the reason why special attention is paid to the investigation of factor (noise for example) that could influence given junction. Low frequency 1/f fluctuations (noise) are constantly present in metal-semiconductor junction, so measurement of their level as well as the dependence on factors such as temperature must be taken into account in detailed analysis of electrical characteristics of devices such as contact, nuclear detector with surface barrier etc. In this paper we present the results of low frequency noise level measurements on TiN-Ti-Si structures produced by As + ion implantation. (author)

  14. Implementation of TiAIN and CrN coatings and ion implantation in the modern plastics moulding industry

    International Nuclear Information System (INIS)

    Bienk, E.J.; Mikkelsen, N.J.

    1997-01-01

    Two methods of surface improvement widely used in the modern plastics industry are compared, with a view to improving productivity and product quality. Ion implantation of plastics and physical vapour deposition coatings both offer surface engineering advantages. Each method is described and evaluated with reference to plastics moulding. TiAIN coatings are used to protect hard bulk materials, subjected to evenly distributed loads. The more ductile CrN coatings are used for softer materials which give less support to the coatings. (UK)

  15. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  16. Microstructure and thermal stability of Fe, Ti and Ag implanted Yttria-stabilized zirconia

    NARCIS (Netherlands)

    van Hassel, B.A.; van Hassel, B.A.; Burggraaf, Anthonie; Burggraaf, A.J.

    1991-01-01

    Yttria-stabilized zirconia (YSZ) was implanted with 15 keV Fe or Ti ions up to a dose of 8×1016 at cm−2. The resulting “dopant” concentrations exceeded the concentrations corresponding to the equilibrium solid solubility of Fe2O3 or TiO2 in YSZ. During oxidation in air at 400° C, the Fe and Ti

  17. Recharging processes, radiation induced strain and changes of OH{sup -} bands under H{sup +} ion implantation in Ti doped lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, P. [Crystal Growth Centre, Anna University, Chennai 600025, Tamil Nadu (India); Moorthy Babu, S., E-mail: smoorthybabu@yahoo.co [Crystal Growth Centre, Anna University, Chennai 600025, Tamil Nadu (India); Bhaumik, I.; Ganesamoorthy, S.; Karnal, A.K. [LMDD Division, RRCAT, Indore 452013, Madhya Pradesh (India); Kumar, Praveen; Rodrigues, G.O.; Sulania, I.; Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg 110067, New Delhi (India); Pandey, A.K.; Raman, R. [Solid State Physics Laboratory, Timarpur 110 054, New Delhi (India)

    2010-01-15

    A systematic analysis of variations in structural and optical characteristics of Z-cut plates of titanium doped congruent lithium niobate single crystals implanted with 120 keV proton beam at various fluences of 10{sup 15}, 10{sup 16} and 10{sup 17} protons/cm{sup 2} is presented. Through, high resolution X-ray diffraction, atomic force microscopy, Fourier transform infrared and UV-visible-NIR analysis of congruent lithium niobate, the correlation of properties before and after implantation are discussed. HRXRD (0 0 6) reflection by Triple Crystal Mode shows that both tensile and compressive strain peak are produced by the high fluence implantation. A distinct tensile peak was observed from implanted region for a fluence of 10{sup 16} protons/cm{sup 2}. AFM micrographs indicate mountain ridges, bumps and protrusions on target surface on implantation. UV-visible-NIR spectra reveal an increase in charge transfer between Ti{sup 3+}/Ti{sup 4+} and ligand oxygen for implantation with 10{sup 15} protons/cm{sup 2}, while spectra for higher fluence implanted samples show complex absorption band in the region from 380-1100 nm. Variations of OH{sup -} stretching vibration mode were observed for cLN Pure, cLNT2% virgin, and implanted samples with FTIR spectra. The concentration of OH{sup -} ion before and after implantation was calculated from integral absorption intensity. The effect of 120 keV proton implantation induced structural, surface and optical studies were correlated.

  18. N +-implantation induced enhanced adhesion in WC1-x/Ti-6Al-4V

    Science.gov (United States)

    Laidani, Nadhira; Dorigoni, Carla; Miotello, Antonio

    1996-12-01

    In this work, the potentiality of the N +-implantation to promote adhesion in WC1-x/Ti-6Al-4V bilayers has been investigated. The WC 1- x films were deposited by rf sputtering in Ar discharge. N +-implantations were performed at 160 keV with ion dose ranging from 5 × 10 15 to 2 × 10 17N +/cm 2. The implantations have been carried out at two sample temperatures: 363 K and 423 K. Adhesion strength was measured by means of the scratch test in conjunction with scanning electron microscopy and energy dispersive spectrometry (EDS). Auger electron spectroscopy (AES), Rutherford backscattering spectrometry (RBS) and X-ray diffraction (XRD) analyses were used to study the chemical, compositional and structural changes of the WC1-x/Ti-6Al-4V interface. As a general result, N +-implantation modifies the adhesion failure mechanism which from adhesive, before implantation, becomes cohesive. The implantation temperature had a strong effect on the critical loads Lc. N +-implantation at 423 K resulted in a slight increase of Lc, from 2N (unimplanted systems) to 5N for all ion doses. This weak improvement of the adhesion strength was associated with the particular interface processes which allowed C, but not W, mixing into the substrate. In this case, TiC bondings formed which contributed to the substrate embrittlement. When the implantations were carried out at 363 K, both C and W underwent mixing with Ti-6Al-4V: this favoured not only an interface composition grading but also a graded chemistry across the interface, with a strong increase of Lc for low ion dose ( Lc = 14N for 1 × 10 16 N +/cm 2). Implantation with higher doses (5 × 10 16N -/cm 2 and 2 × 10 17N +/cm 2) exhibited lower efficiency ( Lc = 7N for 2 × 10 17 N +/cm 2). This ion dose dependence of the adhesion strength was attributed to the formation of different phases across the interface, probably structurally incompatible.

  19. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L.J.; Sood, D.K.; Manory, R.R. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  20. Surface modification of commercial tin coatings by carbon ion implantation

    International Nuclear Information System (INIS)

    Liu, L.J.; Sood, D.K.; Manory, R.R.

    1993-01-01

    Commercial TiN coatings of about 2 μm thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10 17 - 8x10 17 ions cm -2 . Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs

  1. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L J; Sood, D K; Manory, R R [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  2. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  3. Diffusion of Ag, Au and Cs implants in MAX phase Ti3SiC2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R.; Zhang, Chonghong; Gou, Jie

    2015-05-16

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti3SiC2/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including x-ray diffraction, electron backscatter diffraction, energy dispersive x-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti3SiC2 within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti3SiC2 was also observed. Cs out-diffusion and release from Ti3SiC2 occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  4. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Science.gov (United States)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  5. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  6. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  7. Shape memory effect and superelasticity of titanium nickelide alloys implanted with high ion doses

    International Nuclear Information System (INIS)

    Pogrebnjak, A D; Bratushka, S N; Beresnev, V M; Levintant-Zayonts, N

    2013-01-01

    The state of the art in ion implantation of superelastic NiTi shape memory alloys is analyzed. Various technological applications of the shape memory effect are outlined. The principles and techiques of ion implantation are described. Specific features of its application for modification of surface layers in surface engineering are considered. Key properties of shape memory alloys and problems in utilization of ion implantation to improve the surface properties of shape memory alloys, such as corrosion resistance, friction coefficient, wear resistance, etc. are discussed. The bibliography includes 162 references

  8. The effects of ion implantation on the tribology of perfluoropolyether-lubricated 440C stainless steel couples

    Science.gov (United States)

    Shogrin, Bradley; Jones, William R., Jr.; Wilbur, Paul J.; Pilar, Herrera-Fierro; Williamson, Don L.

    1995-01-01

    The lubricating lifetime of thin films of a perfluoropolyether (PFPE) based on hexafluoropropene oxide in the presence of ion implanted 440C stainless steel is presented. Stainless steel discs, either unimplanted or implanted with N2, C, Ti, Ti + N2, or Ti + C had a thin film of PFPE (60-400 A) applied to them reproducibly (+/- 20 percent) and uniformly (+/- 15 percent) using a device developed for this study. The lifetimes of these films were quantified by measuring the number of sliding-wear cycles required to induce an increase in the friction coefficient from an initial value characteristic of the lubricated wear couple to a final, or failure value, characteristic of an unlubricated, unimplanted couple. The tests were performed in a dry nitrogen atmosphere (less than 1 percent RH) at room temperature using a 3 N normal load with a relative sliding speed of 0.05 m/s. The lubricated lifetime of the 440C couple was increased by an order of magnitude by implanting the disc with Ti. Ranked from most to least effective, the implanted species were: Ti; Ti+C; unimplanted; N2; C approximately equals Ti+N2. The mechanism postulated to explain these results involves the formation of a passivating or reactive layer which inhibits or facilitates the production of active sites. The corresponding surface microstructures induced by ion implantation, obtained using x-ray diffraction and conversion electron Mossbauer spectroscopy, ranked from most to least effective in enhancing lubricant lifetime were: amorphous Fe-Cr-Ti; amorphous Fe-Cr-Ti-C + TiC; unimplanted; epsilon-(Fe,Cr)(sub x)N, x = 2 or 3; amorphous Fe-Cr-C approximately equals amorphous Fe-Cr-Ti-N.

  9. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  10. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  11. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  12. EFECT OF PLASMA IMMERSION ION IMPLANTATION TREATEMENT IN THE WEAR RESISTANCE OF Ti-6Al-4V ALLOY

    Directory of Open Access Journals (Sweden)

    Zepka, Susana

    2015-07-01

    Full Text Available The objective of this work was the evaluation of wear resistance of Ti-6Al-4V alloy after plasma immersion ion implantation (PIII in different immersion times. The goal of this process was the modification of surface properties of the alloy to obtain better tribology properties. In this process, atoms can be injected on the material´s surface changing the mechanical properties in the region near the surface independently of thermodynamics variables, as solubility and difusivity. The samples were submitted to 120 e 180 minutes of implantation at 250°C in the three samples for each condition. The wear analyses were made by pin-on-disk process, where the lost volumes and wear coefficients were compared in the samples. It was observed the decreasing of attrite coefficient and the lost volume of the material during wear test. The implanted sample by 180 minutes has showed the wear coefficient 35.12% lower in comparison of the sample without treatment, and 11.09% lower in comparison of implanted sample by 120 minutes. It can be observed that the sample implanted by 180 minutes showed lower wear coefficient.

  13. Photocatalytic activity of ferric oxide/titanium dioxide nanocomposite films on stainless steel fabricated by anodization and ion implantation

    Science.gov (United States)

    Zhan, Wei-ting; Ni, Hong-wei; Chen, Rong-sheng; Yue, Gao; Tai, Jun-kai; Wang, Zi-yang

    2013-08-01

    A simple surface treatment was used to develop photocatalytic activity for stainless steel. AISI 304 stainless steel specimens after anodization were implanted by Ti ions at an extracting voltage of 50 kV with an implantation dose of 3 × 1015 atoms·cm-2 and then annealed in air at 450°C for 2 h. The morphology was observed by scanning electron microscopy. The microstructure was characterized by X-ray diffraction and X-ray photoelectron spectroscopy. The photocatalytic degradation of methylene blue solution was carried out under ultraviolet light. The corrosion resistance of the stainless steel was evaluated in NaCl solution (3.5 wt%) by electrochemical polarization curves. It is found that the Ti ions depth profile resembles a Gaussian distribution in the implanted layer. The nanostructured Fe2O3/TiO2 composite film exhibits a remarkable enhancement in photocatalytic activity referenced to the mechanically polished specimen and anodized specimen. Meanwhile, the annealed Ti-implanted specimen remains good corrosion resistance.

  14. Depth concentrations of deuterium ions implanted into some pure metals and alloys

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; Wisniewski, R.; Kitowski, K.; Wilczynska, T.; Hofman, A.; Kulikauskas, V.; Shiryaev, A.A.; Zubavichyus, Ya.V.

    2011-01-01

    Pure metals (Cu, Ti, Zr, V, Pd) and diluted Pd alloys (Pd-Ag, Pd-Pt, Pd-Ru, Pd-Rh) were implanted by 25-keV deuterium ions at fluences in the range (1.2-2.3) x 10 22 D + /m 2 . The post-treatment depth distributions of deuterium ions were measured 10 days and three months after the implantation by using Elastic Recoil Detection Analysis (ERDA) and Rutherford Backscattering (RBS). Comparison of the obtained results allowed us to make conclusions about relative stability of deuterium and hydrogen gases in pure metals and diluted Pd alloys. Very high diffusion rates of implanted deuterium ions from V and Pd pure metals and Pd alloys were observed. Small-angle X-ray scattering revealed formation of nanosized defects in implanted corundum and titanium

  15. Surface Morphologies of Ti and Ti-Al-V Bombarded by 1.0-MeV Au+ Ions

    Science.gov (United States)

    Garcia, M. A.; Rickards, J.; Cuerno, R.; Trejo-Luna, R.; Cañetas-Ortega, J.; de la Vega, L. R.; Rodríguez-Fernández, L.

    2017-12-01

    Ion implantation is known to enhance the mechanical properties of biomaterials such as, e.g., the wear resistance of orthopedic joints. Increasing the surface area of implants may likewise improve their integration with, e.g., bone tissue, which requires surface features with sizes in the micron range. Ion implantation of biocompatible metals has recently been demonstrated to induce surface ripples with wavelengths of a few microns. However, the physical mechanisms controlling the formation and characteristics of these patterns are yet to be understood. We bombard Ti and Ti-6Al-4V surfaces with 1.0-MeV Au+ ions. Analysis by scanning electron and atomic force microscopies shows the formation of surface ripples with typical dimensions in the micron range, with potential indeed for biomedical applications. Under the present specific experimental conditions, the ripple properties are seen to strongly depend on the fluence of the implanted ions while being weakly dependent on the target material. Moreover, by examining experiments performed for incidence angle values θ =8 ° , 23°, 49°, and 67°, we confirm the existence of a threshold incidence angle for (ripple) pattern formation. Surface indentation is also used to study surface features under additional values of θ , agreeing with our single-angle experiments. All properties of the surface structuring process are very similar to those found in the production of surface nanopatterns under low-energy ion bombardment of semiconductor targets, in which the stopping power is dominated by nuclear contributions, as in our experiments. We consider a continuum model that combines the effects of various physical processes as originally developed in that context, with parameters that we estimate under a binary-collision approximation. Notably, reasonable agreement with our experimental observations is achieved, even under our high-energy conditions. Accordingly, in our system, ripple formation is determined by mass

  16. Chemical nature of implant-derived titanium(IV) ions in synovial fluid

    International Nuclear Information System (INIS)

    Silwood, Christopher J.L.; Grootveld, Martin

    2005-01-01

    Previous investigations have indicated a deleterious leakage of Ti(III) and/or Ti(IV) species from Ti-Al-V alloy joint prostheses into adjacent tissue, synovium or synovial fluid (SF) in vivo. In view of the importance of the particular chemical nature of such complexes in determining their biological activity, we have employed high field proton ( 1 H) NMR spectroscopy to 'speciate' Ti(IV) in inflammatory SF. Treatment of osteoarthritic SF samples with increasing concentrations of Ti(IV) (0.10-1.03 mM [TiO(C 2 O 4 ) 2 ] 2- ) gave rise to a specific broadening of the citrate proton resonances, indicating that this bioavailable oxygen-donor ligand plays an important role in complexing implant-derived Ti(IV). 1 H NMR analysis of Ti(IV)-loaded SF samples subsequently treated with a large excess of ascorbate (0.05 M) showed that this added Ti(IV) chelator was only poorly effective in removing this metal ion from Ti(IV)-citrate/Ti(IV)-oxycitrate complexes. The results obtained here provide evidence for complexation of the low-molecular-mass (non-protein-bound) fraction of implant-derived Ti(IV) by citrate in vivo

  17. Double Ion Implantation and Pulsed Laser Melting Processes for Third Generation Solar Cells

    Directory of Open Access Journals (Sweden)

    Eric García-Hemme

    2013-01-01

    Full Text Available In the framework of the third generation of photovoltaic devices, the intermediate band solar cell is one of the possible candidates to reach higher efficiencies with a lower processing cost. In this work, we introduce a novel processing method based on a double ion implantation and, subsequently, a pulsed laser melting (PLM process to obtain thicker layers of Ti supersaturated Si. We perform ab initio theoretical calculations of Si impurified with Ti showing that Ti in Si is a good candidate to theoretically form an intermediate band material in the Ti supersaturated Si. From time-of-flight secondary ion mass spectroscopy measurements, we confirm that we have obtained a Ti implanted and PLM thicker layer of 135 nm. Transmission electron microscopy reveals a single crystalline structure whilst the electrical characterization confirms the transport properties of an intermediate band material/Si substrate junction. High subbandgap absorption has been measured, obtaining an approximate value of 104 cm−1 in the photons energy range from 1.1 to 0.6 eV.

  18. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    International Nuclear Information System (INIS)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-01-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO 2 implanted AISI 304 – examined for different implantation and annealing parameters – is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 10 16 cm −2 (Ti + ) and 1 × 10 17 cm −2 (O + ) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 10 15 cm −2 (Ti + ) and 1 × 10 16 cm −2 (O + ). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO 2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  19. Characterization of duplex hard coatings with additional ion implantation

    Directory of Open Access Journals (Sweden)

    B. Škorić

    2012-01-01

    Full Text Available In this paper, we present the results of a study of TiN thin fi lms which are deposited by a Physical Vapour Deposition (PVD and Ion Beam Assisted Deposition (IBAD. In the present investigation the subsequent ion implantation was provided with N+2 ions. The ion implantation was applied to enhance the mechanical properties of surface. The thin film deposition process exerts a number of eff ects such as crystallographic orientation, morphology, topography, densifi cation of the fi lms. The evolution of the microstructure from porous and columnar grains to densel packed grains is accompanied by changes in mechanical and physical properties. A variety of analytic techniques were used for characterization, such as scratch test, calo test, Scanning electron microscopy (SEM, Atomic Force Microscope (AFM, X-ray diff raction (XRD and Energy Dispersive X-ray analysis (EDAX.

  20. Metallic and/or oxygen ion implantation into AlN ceramics as a method of preparation for its direct bonding with copper

    International Nuclear Information System (INIS)

    Barlak, M.; Borkowska, K.; Olesinska, W.; Kalinski, D.; Piekoszewski, J.; Werner, Z.; Jagielski, J.; Sartowska, B.

    2006-01-01

    Direct bonding (DB) process is recently getting an increasing interest as a method for producing high quality joints between aluminum nitride (AlN) ceramics and copper. The metallic ions were implanted using an MEVVA type TITAN implanter with unseparated beam. Oxygen ions were implanted using a semi-industrial ion implanter without mass separation equipped with a gaseous ion source. The substrate temperature did not exceed 200 o C. Ions were implanted at two acceleration voltages, i.e. 15 and 70 kV. The fluence range was between 1·E16 and 1·E18 cm -2 . After implantation, some of the samples were characterized by the Rutherford backscattering (RBS) method. In conclusion: (a) The investigations performed in the present work confirm an assumption that ion implantation is a very promising technique as a pretreatment of AlN ceramics for the formation of the joints with copper in direct bonding process. (b) It has been shown that titanium implantation gives the best results in comparison to other metals examined (Fe, Cr, Cu) but also in comparison to double Ti+O and O+Ti implantations

  1. Corrosion behaviour of ion implanted aluminium alloy in 0.1 M NaCl electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J.W.; Evans, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Aluminum and its alloys are widely used in industry because of their light weight, high strength and good corrosion resistance which is due to the formation of a protective oxide layer. However, under saline conditions such as those encountered in marine environments, this group of metals are vulnerable to localised degradation in the form of pitting corrosion. This type of corrosion involves the adsorption of an anion, such as chlorine, at the oxide solution interface. Ion implantation of metal ions has been shown to improve the corrosion resistance of a variety of materials. This effect occurs : when the implanted species reduces anion adsorption thereby decreasing the corrosion rate. In this paper we report on the pitting behavior of Ti implanted 2011 Al alloy in dilute sodium chloride solution. The Ti implanted surfaces exhibited an increased pitting potential and a reduced oxygen uptake. 5 refs., 3 figs.

  2. Corrosion behaviour of ion implanted aluminium alloy in 0.1 M NaCl electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J W; Evans, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Aluminum and its alloys are widely used in industry because of their light weight, high strength and good corrosion resistance which is due to the formation of a protective oxide layer. However, under saline conditions such as those encountered in marine environments, this group of metals are vulnerable to localised degradation in the form of pitting corrosion. This type of corrosion involves the adsorption of an anion, such as chlorine, at the oxide solution interface. Ion implantation of metal ions has been shown to improve the corrosion resistance of a variety of materials. This effect occurs : when the implanted species reduces anion adsorption thereby decreasing the corrosion rate. In this paper we report on the pitting behavior of Ti implanted 2011 Al alloy in dilute sodium chloride solution. The Ti implanted surfaces exhibited an increased pitting potential and a reduced oxygen uptake. 5 refs., 3 figs.

  3. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  4. Nanostructured multielement (TiHfZrNbVTa)N coatings before and after implantation of N+ ions (10{sup 18} cm{sup −2}): Their structure and mechanical properties

    Energy Technology Data Exchange (ETDEWEB)

    Pogrebnjak, A.D., E-mail: alexp@i.ua [Sumy State University, Department of Nanoelectronics, 40007, R.-Korsakova 2, Sumy (Ukraine); Bondar, O.V., E-mail: oleksandr.v.bondar@gmail.com [Sumy State University, Department of Nanoelectronics, 40007, R.-Korsakova 2, Sumy (Ukraine); Borba, S.O. [Sumy State University, Department of Nanoelectronics, 40007, R.-Korsakova 2, Sumy (Ukraine); Abadias, G. [Institut Pprime, CNRS, Université de Poitiers, ISAE-ENSMA, F86962 Futuroscope Chasseneuil (France); Konarski, P. [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Plotnikov, S.V. [D. Serikbaev East-Kazakhstan State Technical University, 070004, Ust-Kamenogorsk, 69 Protozanov St. (Kazakhstan); Beresnev, V.M. [V.N. Karazin Kharkiv National University, 61022, Svobody Sq. 4, Kharkiv (Ukraine); Kassenova, L.G. [Kazakh University of Economics, Finance and International Trade, St. Zhubanov 7, 010005 Astana (Kazakhstan); Drodziel, P. [Lublin University of Technology, Nadbystrzycka 36, 20-618 Lublin (Poland)

    2016-10-15

    Highlights: • (TiZrHfVNbTa)N coatings were deposited by vacuum–arc evaporation of a cathode. • Nanostructured coatings were investigated experimentally and by MD simulations. • Good correlation between experimental data and simulation results is observed. • Ion implantation formed amorphous, nanocrystalline and nanostructured layers. • Hardness changed from 12 GPa in the implanted layer to 38 GPa with the depth. - Abstract: Multielement high entropy alloy (HEA) nitride (TiHfZrNbVTa)N coatings were deposited by vacuum arc and their structural and mechanical stability after implantation of high doses of N{sup +} ions, 10{sup 18} cm{sup −2}, were investigated. The crystal structure and phase composition were characterized by X-ray diffraction (XRD) and Transmission Electron Microscopy, while depth-resolved nanoindentation tests were used to determine the evolution of hardness and elastic modulus along the implantation depth. XRD patterns show that coatings exhibit a main phase with fcc structure, which preferred orientation varies from (1 1 1) to (2 0 0), depending on the deposition conditions. First-principles calculations reveal that the presence of Nb atoms could favor the formation of solid solution with fcc structure in multielement HEA nitride. TEM results showed that amorphous and nanostructured phases were formed in the implanted coating sub-surface layer (∼100 nm depth). Concentration of nitrogen reached 90 at% in the near-surface layer after implantation, and decreased at higher depth. Nanohardness of the as-deposited coatings varied from 27 to 38 GPa depending on the deposition conditions. Ion implantation led to a significant decrease of the nanohardness to 12 GPa in the implanted region, while it reaches 24 GPa at larger depths. However, the H/E ratio is ⩾0.1 in the sub-surface layer due to N{sup +} implantation, which is expected to have beneficial effect on the wear properties.

  5. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  6. Diffusion of Ag, Au and Cs implants in MAX phase Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA (United States); Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R. [Pacific Northwest National Laboratory, Richland, WA (United States); Zhang, Chonghong; Gou, Jie [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou (China)

    2015-07-15

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti{sub 3}SiC{sub 2}), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti{sub 3}SiC{sub 2} has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti{sub 3}SiC{sub 2}/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including X-ray diffraction, electron backscatter diffraction, energy dispersive X-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti{sub 3}SiC{sub 2} within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti{sub 3}SiC{sub 2} was also observed. Cs out-diffusion and release from Ti{sub 3}SiC{sub 2} occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti{sub 3}SiC{sub 2} as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  7. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H., E-mail: helmut.karl@physik.uni-augsburg.de

    2015-12-15

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO{sub 2} implanted AISI 304 – examined for different implantation and annealing parameters – is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 10{sup 16} cm{sup −2} (Ti{sup +}) and 1 × 10{sup 17} cm{sup −2} (O{sup +}) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 10{sup 15} cm{sup −2} (Ti{sup +}) and 1 × 10{sup 16} cm{sup −2} (O{sup +}). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO{sub 2} inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  8. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  9. Effects of ion implantation on the electrochemical characteristics of carbon electrodes

    International Nuclear Information System (INIS)

    Takahashi, Katsuo; Iwaki, Masaya

    1994-01-01

    Various carbon materials are important electrode materials for electrochemical field. By ion implantation, the surface layer reforming of carbon materials (mainly galssy carbon) was carried out, and the effect that it exerts to their electrode characteristics was investigated. As the results of the ion implantation of Li, N, O, K, Ti, Zn, Cd and others performed so far, it was found that mainly by the change of the surface layer to amorphous state, there were the effects of the lowering of base current and the lowering of electrode reaction rate, and it was known that the surface layers of carbon materials doped with various kinds of ions showed high chemical stability. The use of carbon materials as electrodes in electrochemistry is roughly divided into the electrodes for electrolytic industry and fuel cells for large current and those for the measurement in electrochemical reaction for small current. The structure of carbon materials and electrode characteristics, and the reforming effect by ion implantation are reported. (K.I.)

  10. Effect of carbon on ion beam mixing of Fe-Ti bilayers

    Energy Technology Data Exchange (ETDEWEB)

    Hirvonen, J.P.; Nastasi, M.; Lappalainen, R.; Sickafus, K. (Los Alamos National Lab., NM (USA); Helsinki Univ. (Finland). Dept. of Physics; Los Alamos National Lab., NM (USA))

    1989-01-01

    The influence of implanted carbon on ion beam mixing of a Fe-Ti system was investigated. Carbon was introduced into bilayer samples by implanting {sup 13}C isotopes. The implantation energies were selected to set the mean range of carbon ions in either the iron or titanium layer. The effect of implanted carbon on 400 keV Ar ion mixing in the temperature range from 0 to 300{degree}C was studied using Rutherford backscattering spectroscopy at the energy of 5 MeV. Changes in carbon concentration profiles were probed utilizing the resonance of the nuclear reaction {sup 13}C(p,{gamma}){sup 14}N at the proton energy of 1.748 MeV. The measurements revealed that mixing was not affected by carbon implanted into the titanium layer. However, carbon in the iron layer remarkably retarded mixing at all temperatures investigated. Significant changes in carbon depth distributions were observed only when the sample with implanted carbon in the iron layer was mixed at 300{degree}C. These results are explained in terms of the enhanced mobility of carbon in an evaporated iron film which allows segregation to the interface. At low temperatures, however, vacancy-carbon interaction in iron may have a contribution to the retarded ion beam mixing. 19 refs., 3 figs.

  11. In-vitro evaluation of corrosion resistance of nitrogen ion implanted titanium simulated body fluid

    International Nuclear Information System (INIS)

    Subbaiyan, M.; Sundararajian, T.; Rajeswari, S.; Kamachi Mudali, U.; Nair, K.G.M.; Thampi, N.S.

    1997-01-01

    Titanium and its alloy Ti6Al4V enjoy widespread use in various biomedical applications because of favourable local tissue response, higher corrosion resistance and fatigue strength than the stainless steels and cobalt-chromium alloy previously used. The study reported in this paper aims to optimize the conditions of nitrogen ion implantation on commercially pure titanium and to correlate the implantation parameters to the corrosion resistance. X-ray photoelectron spectroscopy was used to analyse surface concentration and the implantation processes. An improvement in the electrochemical behaviour of the passive film was shown to occur with nitrogen ion implantation on titanium, in simulated body fluids. (UK)

  12. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  13. Microstructure and composition of 304 stainless steel implanted with Ti and C

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.

    1989-01-01

    The microstructure and composition of surface alloys formed by implanting Ti and C into 304 stainless steel are examined for a range of Ti fluences, both with and without additional implanted C. The resulting amorphous layers are found to contain TiC precipitates, apparently with some Cr on Ti lattice sites, when the metal-atom fraction of Ti+Cr exceeds 55%. The depth profiles of Ti and C are measured, and the amounts of C incorporated into the alloys during Ti implantation are determined. Small amounts of H are also incorporated during the high-fluence Ti implantations. Thicker amorphous layers than those resulting from Ti implantation alone can be formed when additional C is implanted either before or after the Ti. (orig.)

  14. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  15. Ab-initio calculation and experimental observation of room temperature ferromagnetism in 50 keV nitrogen implanted rutile TiO2

    Science.gov (United States)

    Luitel, Homnath; Chakrabarti, Mahuya; Sarkar, A.; Dechoudhury, S.; Bhowmick, D.; Naik, V.; Sanyal, D.

    2018-02-01

    Room temperature magnetic properties of 50 keV N4+ ion beam implanted rutile TiO2 have been theoretically and experimentally studied. Ab-initio calculation under the frame work of density functional theory has been carried out to study the magnetic properties of the different possible nitrogen related defects in TiO2. Spin polarized density of states calculation suggests that both Ninst and NO can induce ferromagnetic ordering in rutile TiO2. In both cases the 2p orbital electrons of nitrogen atom give rise to the magnetic moment in TiO2. The possibility of the formation of N2 molecule in TiO2 system is also studied but in this case no significant magnetic moment has been observed. The magnetic measurements, using SQUID magnetometer, results a ferromagnetic ordering even at room temperature for the 50 keV N4+ ion beam implanted rutile TiO2.

  16. Effects of water plasma immersion ion implantation on surface electrochemical behavior of NiTi shape memory alloys in simulated body fluids

    International Nuclear Information System (INIS)

    Liu, X.M.; Wu, S.L.; Chu, Paul K.; Chung, C.Y.; Chu, C.L.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.; Luk, K.D.K.

    2007-01-01

    Water plasma immersion ion implantation (PIII) was conducted on orthopedic NiTi shape memory alloy to enhance the surface electrochemical characteristics. The surface composition of the NiTi alloy before and after H 2 O-PIII was determined by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) was utilized to determine the roughness and morphology of the NiTi samples. Potentiodynamic polarization tests and electrochemical impedance spectroscopy (EIS) were carried out to investigate the surface electrochemical behavior of the control and H 2 O-PIII NiTi samples in simulated body fluids (SBF) at 37 deg. C as well as the mechanism. The H 2 O-PIII NiTi sample showed a higher breakdown potential (E b ) than the control sample. Based on the AFM results, two different physical models with related equivalent electrical circuits were obtained to fit the EIS data and explain the surface electrochemical behavior of NiTi in SBF. The simulation results demonstrate that the higher resistance of the oxide layer produced by H 2 O-PIII is primarily responsible for the improvement in the surface corrosion resistance

  17. Surface modification of NiTi by plasma based ion implantation for application in harsh environments

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, R.M., E-mail: rogerio@plasma.inpe.br [Instituto Nacional de Pesquisas Espaciais (INPE), S. J. Campos, SP (Brazil); Fernandes, B.B.; Carreri, F.C.; Goncalves, J.A.N.; Ueda, M.; Silva, M.M.N.F. [Instituto Nacional de Pesquisas Espaciais (INPE), S. J. Campos, SP (Brazil); Silva, M.M. [Instituto Tecnologico de Aeronautica (ITA), S. J. Campos, SP (Brazil); Pichon, L. [Laboratoire de Metallurgie Physique, University of Poitiers, Poitiers (France); Camargo, E.N.; Otubo, J. [Instituto Tecnologico de Aeronautica (ITA), S. J. Campos, SP (Brazil)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer New nitrogen PBII set up was used to treat samples of NiTi in moderate temperature of 450 Degree-Sign C. Black-Right-Pointing-Pointer A very rich nitrogen atomic concentration was achieved on the top surface. Black-Right-Pointing-Pointer Nitrogen diffused at least for 11 {mu}m depth. Black-Right-Pointing-Pointer Improved tribological and corrosion properties were achieved. Black-Right-Pointing-Pointer A concentration dependent diffusion coefficient was calculated. - Abstract: The substitution of conventional components for NiTi in distinct devices such as actuators, valves, connectors, stents, orthodontic arc-wires, e.g., usually demands some kind of treatment to be performed on the surface of the alloy. A typical case is of biomaterials made of NiTi, in which the main drawback is the Ni out-diffusion, an issue that has been satisfactorily addressed by plasma based ion implantation (PBII). Even though PBII can tailor selective surface properties of diverse materials, usually, only thin modified layers are attained. When NiTi alloys are to be used in the harsh space environment, as is the case of devices designed to remotely release the solar panels and antenna arrays of satellites, e.g., superior mechanical and tribological properties are demanded. For this case the thickness of the modified layer must be larger than the one commonly achieved by conventional PBII. In this paper, new nitrogen PBII set up was used to treat samples of NiTi in moderate temperature of 450 Degree-Sign C, with negative voltage pulses of 7 kV/250 Hz/20 {mu}s, in a process lasting 1 h. A rich nitrogen atomic concentration of 85 at.% was achieved on the near surface and nitrogen diffused at least for 11 {mu}m depth. Tribological properties as well as corrosion resistance were evaluated.

  18. Influence of ion implanted helium on deuterium trapping in Kh18N10T stainless steel

    International Nuclear Information System (INIS)

    Tolstolutskaya, G.D.; Ruzhitskij, V.V.; Kopanets, I.E.

    2004-01-01

    The results are presented on evolution of distribution profiles and helium and deuterium thermal desorption ion implanted in steel 18Cr10NiTi. Accumulation, trapping, retention and microstructure evolution are studied; effect helium and hydrogen simultaneous implantation on these processes is also studied

  19. The influence of ion implantation on the oxidation of nickel

    International Nuclear Information System (INIS)

    Goode, P.D.

    1975-11-01

    The effects of ion implantation on the oxidation of polycrystalline nickel have been studied for a range of implanted species: viz. He, Li, Ne, Ca, Ti, Ni, Co, Xe, Ce and Bi. The oxides were grown in dry oxygen at 630 0 C and the 16 O(d,p) 17 O nuclear reaction technique used to determine the amount of oxygen taken up. The influence of atomic and ionic size, valency and electronegativity of the implanted impurities was studied as also were the effects of ion bombardment damage and the influence of sputtering during implantation. Atomic size and the annealing of disorder were found to have a marked influence on oxide growth rate. The dependence of oxidation on annealing was further studied by implanting polycrystalline specimens with self ions and observing the oxide growth rate as a function of annealing temperature. A peak in the curve was found at 400 0 C and a similar peak observed at a somewhat higher temperature for oxidised single crystals. It is concluded that the oxidation rate will be influenced by those factors which alter the epitaxial relationship between metal and growing oxide. Such factors include atomic size of the implanted species, surface strain induced by implantation and changes in surface topography as a result of sputtering. In addition a model based on vacancy assisted cation migration is proposed to explain enhanced oxidation observed over a limited temperature range. (author)

  20. TiN{sub x}O{sub y}/TiN dielectric contrasts obtained by ion implantation of O{sub 2}{sup +}; structural, optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Punzon Quijorna, E; Torres Costa, V; Climent, A; Manso Silvan, M [Departamento de Fisica Aplicada. Universidad Autonoma de Madrid, 28049 Madrid (Spain); Agullo-Rueda, F; Herrero Fernandez, P [Instituto de Ciencia de Materiales de Madrid, CSIC, Cantoblanco, 28049 Madrid (Spain); Rossi, F [Institute for Health and Consumer Protection, Joint Research Center, 21020 Ispra (Vatican City State, Holy See) (Italy)

    2011-06-15

    The properties of TiN can be gradually transformed by O{sub 2}{sup +} implantations in the 10-40 keV range and fluences in the 5 x 10{sup 13}-5 x 10{sup 16} cm{sup -2} range. The resulting structure consists of shallow TiN{sub x}O{sub y}(TiNO)/TiN contrasts with increased resistivity on the top layer. In fact, oxygen actively replaces nitrogen in the implanted TiN region as illustrated by Rutherford backscattering spectrometry. N substitutions and vacancies in the lattice induce structural distortions and strain generation as illustrated by x-ray diffraction, high resolution transmission electron microscopy and Raman spectroscopy. The influence of these modifications in the optical and electrical properties was characterized by spectroscopic ellipsometry and four probe resistivity measurements. The proposed process, especially at the lower energy, is liable to help in the creation of electrical/photonic structures based in shallow TiNO/TiN electric/dielectric contrasts.

  1. Tribological behavior of duplex coating improved by ion implantation

    International Nuclear Information System (INIS)

    Kakas, D.; Skoric, B.; Rakita, M.

    2004-01-01

    In the present paper the tribological behavior of the coatings are discussed. Duplex coatings were applied on cold working steel 100Cr6. Samples were plasma nitrided at different thickness of plasma surface layers. TiN was deposited with a classic BALZERS PVD equipment and subsequent ion implantation. Ion implantation was provided with N 5+ ions. The other samples were produced with IBAD technology in DANFYSIK chamber. Wear resistance and exchanges of friction coefficient were measured with on-line test using special designed tribology equipment. Following the tests, the wear zone morphology and characteristics of surface layer structure as well as important properties were investigated by scanning electron microscopy (SEM) and X-ray diffraction analysis (XRD). Scratch adhesion testing was performed using commercially available equipment. Energy dispersive X-ray analysis (EDAX) of the wear-scars on pins provided essential information on the wear characteristics. In this paper some results related to influence of duplex coating production methodology on tribological behavior for cold working steel was presented

  2. Mechanical properties of ion-beam-textured surgical implant alloys

    Science.gov (United States)

    Weigand, A. J.

    1977-01-01

    An electron-bombardment Hg ion thruster was used as an ion source to texture surfaces of materials used to make orthopedic and/or dental prostheses or implants. The materials textured include 316 stainless steel, titanium-6% aluminum, 4% vanadium, and cobalt-20% chromium, 15% tungsten. To determine the effect of ion texturing on the ultimate strength and yield strength, stainless steel and Co-Cr-W alloy samples were tensile tested to failure. Three types of samples of both materials were tested. One type was ion-textured (the process also heats each sample to 300 C), another type was simply heated to 300 C in an oven, and the third type was untreated. Stress-strain diagrams, 0.2% offset yield strength data, total elongation data, and area reduction data are presented. Fatigue specimens of ion textured and untextured 316 stainless steel and Ti-6% Al-4% V were tested. Included as an ion textured sample is a Ti-6% Al-4% V sample which was ion machined by means of Ni screen mask so as to produce an array of 140 mu m x 140 mu m x 60 mu m deep pits. Scanning electron microscopy was used to characterize the ion textured surfaces.

  3. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  4. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  5. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  6. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  7. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  8. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  9. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  10. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  11. Sliding properties of coevaporated and nitrogen-implanted Pt50Ti50 films on AISI 304 stainless steel

    International Nuclear Information System (INIS)

    Zheng, L.R.; Hung, L.S.; Mayer, J.W.

    1988-01-01

    Thin Pt 50 Ti 50 films were deposited on a AISI 304 stainless steel substrate by co-evaporation. Dry sliding tests and wear track measurements revealed some improvement in sliding properties compared with the bare substrate. Implantation of the coated substrate with xenon ions did not produce any further improvement in friction and wear but a dramatic improvement resulted from nitrogen ion implantation. This was accompanied by a change in microstructure arising from an amorphous to crystalline phase transformation in the alloy film. (U.K.)

  12. Anti-corrosion performance of oxidized and oxygen plasma-implanted NiTi alloys

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu, Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys are useful orthopedic biomaterials on account of its super-elastic and shape memory properties. However, the problem associated with out-diffusion of harmful nickel ions in prolonged use inside the human body raises a critical safety concern. Titanium oxide films are deemed to be chemically inert and biocompatible and hence suitable to be the barrier layers to impede the leaching of Ni from the NiTi substrate to biological tissues and fluids. In the work reported in this paper, we compare the anti-corrosion efficacy of oxide films produced by atmospheric-pressure oxidation and oxygen plasma ion implantation. Our results show that the oxidized samples do not possess improved corrosion resistance and may even fare worse than the untreated samples. On the other hand, the plasma-implanted surfaces exhibit much improved corrosion resistance. Our work also shows that post-implantation annealing can further promote the anti-corrosion capability of the samples

  13. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  14. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  15. Nitriding of Ti substrate using energetic ions from plasma focus device

    International Nuclear Information System (INIS)

    Henriquez, A; Bhuyan, H; Favre, M; Bora, B; Wyndham, E; Chuaqui, H; Mändl, S; Gerlach, J W; Manova, D

    2012-01-01

    Plasma Focus (PF) discharge is a pulsed plasma producing discharge that generates high temperature and high density plasma for a short duration. PF devices are known to emit intense ion beams pulses of characteristic energy in the keV to a few MeV range, in a time scale of tens of nanoseconds. We have previously investigated the ion flux and energy spectrum of ion beams emitted from a low energy PF, operating at 20 kV, with 1.8 kJ stored energy. It was observed that the ion beams have wide range of energy and intensity spectra with a clear angular anisotropy. Due to the wide range of ion energy and intensity spectra PF has become a subject of current interest for its applications in material sciences including surface modification and thin film deposition. The purpose of this study is the formation of titanium nitride (TiN) thin film and to investigate the structural properties of the TiN thin films in terms of PF angular positions. Substrates like Ti and Ti/Si were nitrided in a 1.8 kJ PF device at different angular positions with respect to the PF axis in order to correlate their surface properties with ion beam parameters. Preliminary characterizations of the ion implanted substrates have been conducted, using SEM, EDX and XRD. Our results indicate the formation of nanocrystalline TiN thin film only in certain angular positions. Angular dependency of the surface morphology was observed, which shows that the surface features strongly depends on ion beam energy and flux. With increasing angular positions, a reduction in the deposition rate and the sputter rate is observed. A pronounced nanostructured surface is only observed at the axis of the pinched plasma column, indicating the dominant role of sputtering and perhaps melting and fast re-crystallization of the surface in creating the nanostructures.

  16. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  17. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  18. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  19. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  20. Wear behaviour of the couple polyethylene Ti6Al4V: Effects of the metallic surface preparation and nitrogen implantation

    Science.gov (United States)

    Martinella, R.; Giovanardi, S.; Palombarini, G.; Corchia, M.; Delogu, P.; Giorgi, R.; Tosello, C.

    The wear behaviour improvement of the tribological couple Ti6Al4V-UHMWPE is of great interest to the medical field. Wear tests were carried out in water on a reciprocating UHMWPE annulus on implanted Ti6Al4V disc tribotestcr, with loads and velocities simulating those of hip joints. A comparison of wear behaviours was also carried out between untreated Ti6Al4V samples and Ti6Al4V subjected to a special lapping procedure. UHMWPE worn against ion-implanted and especially lapped Ti alloy showed the lowest wear rate, while, the highest (about one order of magnitude) was shown by the UHMWPE against untreated Ti6Al4V samples. XPS and AES surface analyses were carried out on metallic discs to examine the chemical composition of the surface before wear tests. Moreover depth distribution of nitrogen in implanted samples was determined using the same techniques. SEM observations displayed a polyethylene transfer film on all metallic surfaces, particularly on untreated Ti6Al4V samples. A discussion about uselessness of more conventional surface treatments for the Ti alloy is also reported.

  1. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  2. Investigation on the structural and magnetic properties of Co{sup +} implanted rutile TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Fengfeng [State Key Laboratory for Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Ding Binfeng [State Key Laboratory for Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Department of Physics and Electronic Information, Langfang Teachers College, Langfang 065000 (China); Pan Feng [State Key Laboratory for Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Department of Physics, Shanxi University of Technology, Hanzhong 723001 (China); Yao Shude, E-mail: sdyao@pku.edu.cn [State Key Laboratory for Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Potzger, Kay; Zhou Shengqiang [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rosssendorf, 01314 Dresden (Germany)

    2012-09-01

    Crystalline Co nanoparticles in rutile TiO{sub 2} were synthesized by 180 keV Co{sup +} ion implantation at 623 K with the fluence of {Phi} = 4 Multiplication-Sign 10{sup 16} cm{sup -2}. The structural and magnetic properties of samples after thermal annealing at different temperatures were characterized by synchrotron radiation X-ray diffraction (SR-XRD), Rutherford backscattering/channeling (RBS/C) and superconducting quantum interference device (SQUID) magnetometer. The SR-XRD results reveal the formation of hcp Co nanoparticles in the as-implanted samples. With increasing annealing temperature, the transition of Co nanoparticles from hcp to fcc is observed. After annealing at 1073 K, the lattice damage is significantly repaired compared with the as-implanted one. The Co nanoparticles forming inside TiO{sub 2} are the major contribution of the measured ferromagnetism.

  3. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  4. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  5. The Effect of Nitrogen Ion Implantation on the Surface Properties of Ti6Al4V Alloy Coated by a Carbon Nanolayer

    Directory of Open Access Journals (Sweden)

    Petr Vlcak

    2013-01-01

    Full Text Available The ion beam assisted deposition (IBAD method was chosen for preparing a carbon thin film with a mixing area on a substrate of Ti6Al4V titanium alloy. Nitrogen ions with energy 90 keV were used. These form a broad ion beam mixing area at the interface between the carbon film and the substrate. We investigated the chemical composition by the glow discharge optical emission spectroscopy (GD-OES method and the phases by the X-ray diffraction (XRD method. The measured concentration profiles indicate the mixing of the carbon film into the substrate, which may have an effect on increasing the adhesion of the deposited film. The nanohardness and the coefficient of friction were measured. We found that the modified samples had a markedly lower coefficient of friction even after damage to the carbon film, and they also had higher nanohardness than the unmodified samples. The increased nanohardness is attributed to the newly created phases that arose with ion implantation of nitrogen ions.

  6. Effect of silicon ion implantation upon the structure and corrosion resistance of the surface layer of stainless steel 316L, Vitalium and titanium alloy Ti6Al14V

    International Nuclear Information System (INIS)

    Baszkiewicz, J.; Kaminski, M.; Krupa, D.; Kozubowski, J.; Barcz, A.; Gawlik, A.; Jagielski, J.

    1995-01-01

    Samples of 316L stainless steel, Vitalium and Ti6A14V titanium alloy have been implanted with doses of 1.5, 3, and 4.5 x 10 17 Si + /cm 2 . Transmission electron microscopy shows that during ion implantation amorphous layers are formed. When samples of titanium alloy were implanted with a dose of 0.5 x 10 17 Si + /cm 2 , the implanted layer consisted of a dispersion of fine silicide crystallites instead of being amorphous. The corrosion resistance was analyzed by electrochemical techniques in 0.9% NaCl at the temperature of 37 C. The increase of corrosion resistance has been observed as a result of structural modifications of the surface layer. (author). 7 refs, 4 tabs

  7. Corrosion behavior of ion implanted nickel-titanium orthodontic wire in fluoride mouth rinse solutions.

    Science.gov (United States)

    Iijima, Masahiro; Yuasa, Toshihiro; Endo, Kazuhiko; Muguruma, Takeshi; Ohno, Hiroki; Mizoguchi, Itaru

    2010-01-01

    This study investigated the corrosion properties of ion implanted nickel-titanium wire (Neo Sentalloy Ionguard) in artificial saliva and fluoride mouth rinse solutions (Butler F Mouthrinse, Ora-Bliss). Non ion implanted nickel-titanium wire (Neo Sentalloy) was used as control. The anodic corrosion behavior was examined by potentiodynamic polarization measurement. The surfaces of the specimens were examined with SEM. The elemental depth profiles were characterized by XPS. Neo Sentalloy Ionguard in artificial saliva and Butler F Mouthrinse (500 ppm) had a lower current density than Neo Sentalloy. In addition, breakdown potential of Neo Sentalloy Ionguard in Ora-Bliss (900 ppm) was much higher than that of Neo Sentalloy although both wires had similar corrosion potential in Ora-Bliss (450 and 900 ppm). The XPS results for Neo Sentalloy Ionguard suggested that the layers consisted of TiO(2) and TiN were present on the surface and the layers may improve the corrosion properties.

  8. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  9. In vitro biocorrosion of Ti-6Al-4V implant alloy by a mouse macrophage cell line.

    Science.gov (United States)

    Lin, Hsin-Yi; Bumgardner, Joel D

    2004-03-15

    Corrosion of implant alloys releasing metal ions has the potential to cause adverse tissue reactions and implant failure. We hypothesized that macrophage cells and their released reactive chemical species (RCS) affect the alloy's corrosion properties. A custom cell culture corrosion box was used to evaluate how cell culture medium, macrophage cells and RCS altered the Ti-6Al-4V corrosion behaviors in 72 h and how corrosion products affected the cells. There was no difference in the charge transfer in the presence (75.2 +/- 17.7 mC) and absence (62.3 +/- 18.8 mC) of cells. The alloy had the lowest charge transfer (28.2 +/- 4.1 mC) and metal ion release (Ti < 10 ppb, V < 2 ppb) with activated cells (releasing RCS) compared with the other two conditions. This was attributed to an enhancement of the surface oxides by RCS. Metal ion release was very low (Ti < 20 ppb, V < 10 ppb) with nonactivated cells and did not change cell morphology, viability, and NO and ATP release compared with controls. However, IL-1beta released from the activated cells and the proliferation of nonactivated cells were greater on the alloy than the controls. In summary, macrophage cells and RCS reduced the corrosion of Ti-6Al-4V alloys as hypothesized. These data are important in understanding host tissue-material interactions. Copyright 2004 Wiley Periodicals, Inc. J Biomed Mater Res 68A: 717-724, 2004

  10. Laser surface modification of Ti implants to improve osseointegration

    International Nuclear Information System (INIS)

    Marticorena, M; Corti, G; Olmedo, D; Guglielmotti, M B; Duhalde, S

    2007-01-01

    Commercially Pure Titanium foils, were irradiated using a pulsed Nd:YAG laser under ambient air, in order to produce and characterize a well controlled surface texture (roughness and waviness) that enhances osseointegration. To study the 'peri-implant' reparative process response, the laser treated Ti foils were implanted in the tibia of 10 male Wistar rats. At 14 days post-implantation, the histological analysis showed a tendency to more bone formation compared to the untreated control implants. The formation of a layer of TiN on the surface and the obtained roughness, have been demonstrated to improve bone response

  11. Micro-arc oxidation of Ti-15Zr-based alloys for osseointegrative implants

    International Nuclear Information System (INIS)

    Correa, Diego Rafael Nespeque; Rocha, Luis Augusto; Doi, Hisashi; Tsutsumi, Yusuke; Hanawa, Takao

    2016-01-01

    Full text: Micro-arc oxidation (MAO) is well-known as low-cost coating technique which can produce porous structure in valve metals [1]. Studies have indicated that MAOcoatings are suitable for improve biofunctionalization of Ti-based implants by bioactive ions incorporation in the oxide layer [2]. This work aims to evaluate the characteristics of the MAO-coating in recent developed biomedical Ti-15Zr-based alloys in order to use as osseointegrative implants. Ti-15Zr-xMo (x = 0, 5, 10 and 15 % wt.) alloys were produced by argon arc-melting and molded in a centrifugal casting machine. MAO treatment were performed in disks (ϕ 8 mm x 1.5 mm), at room temperature, with a 304 stainless steel plate as counter electrode. Electrolyte was composed by 0.15 M calcium acetate and 0.10 M calcium glycerophosphate. The electrodes were connected to a DC power supply, and applied a density current of 311 A/m 2 , for 10 min, with voltages of 300, 350 and 400 V. Morphology, thickness, composition and crystal structure of the oxide layer were evaluated by SEM, XRF and XRD techniques. A typical porous layer was produced in all surfaces, being the porosity, porous size and thickness increased with the voltage. The composition of the oxide layer indicated Ca and P incorporation, being the concentration increased with the voltage applied. The XRD patterns do not exhibited peaks from oxides compounds, but only peaks from bulk-Ti phases. The results showed that the bioactive coatings were successfully growth in the Ti-15Zr-based alloys, being suitable for osseointegrative implants. References: [1] Hanawa, T. Japanese dental Science Review 46, 93-101, 2010; [2] Tsutsumi, Y. et al. Metals 6, 76-85, 2016. (author)

  12. Micro-arc oxidation of Ti-15Zr-based alloys for osseointegrative implants

    Energy Technology Data Exchange (ETDEWEB)

    Correa, Diego Rafael Nespeque; Rocha, Luis Augusto [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Bauru, SP (Brazil); Doi, Hisashi; Tsutsumi, Yusuke; Hanawa, Takao [Tokyo Medical and Dental University (Japan)

    2016-07-01

    Full text: Micro-arc oxidation (MAO) is well-known as low-cost coating technique which can produce porous structure in valve metals [1]. Studies have indicated that MAOcoatings are suitable for improve biofunctionalization of Ti-based implants by bioactive ions incorporation in the oxide layer [2]. This work aims to evaluate the characteristics of the MAO-coating in recent developed biomedical Ti-15Zr-based alloys in order to use as osseointegrative implants. Ti-15Zr-xMo (x = 0, 5, 10 and 15 % wt.) alloys were produced by argon arc-melting and molded in a centrifugal casting machine. MAO treatment were performed in disks (ϕ 8 mm x 1.5 mm), at room temperature, with a 304 stainless steel plate as counter electrode. Electrolyte was composed by 0.15 M calcium acetate and 0.10 M calcium glycerophosphate. The electrodes were connected to a DC power supply, and applied a density current of 311 A/m{sup 2}, for 10 min, with voltages of 300, 350 and 400 V. Morphology, thickness, composition and crystal structure of the oxide layer were evaluated by SEM, XRF and XRD techniques. A typical porous layer was produced in all surfaces, being the porosity, porous size and thickness increased with the voltage. The composition of the oxide layer indicated Ca and P incorporation, being the concentration increased with the voltage applied. The XRD patterns do not exhibited peaks from oxides compounds, but only peaks from bulk-Ti phases. The results showed that the bioactive coatings were successfully growth in the Ti-15Zr-based alloys, being suitable for osseointegrative implants. References: [1] Hanawa, T. Japanese dental Science Review 46, 93-101, 2010; [2] Tsutsumi, Y. et al. Metals 6, 76-85, 2016. (author)

  13. Elementary characterization of Ti metal alloys used in implant dentistry

    Energy Technology Data Exchange (ETDEWEB)

    Torres, Catarina A. M. P.; Paschuk, Sergei A.; Rocha, Anna S. S.; Corrêa, Janine Nicolosi [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil); Deniak, Valeriy [Instituto de Pesquisa Pelé Pequeno Príncipe, Curitiba, PR (Brazil); Camargo, Liliane [Universidade Paranaense, Umuarama, PR (Brazil); Assis, J.T, E-mail: cata-montenegro@bol.com.br, E-mail: spaschuk@gmail.com, E-mail: denyak@gmail.com, E-mail: lili_camargo2@hotmail.com, E-mail: joaquim@iprj.uerj.br [Universidade do Estado do Rio de Janeiro (UERJ), Nova Friburgo, RJ (Brazil)

    2017-07-01

    The main goal of present work is analytical characterization of standard dental implants broadly used by Brazilian dentists. An ideal biological alloy for dental implants must have very high biocompatibility, which means that such material should not provoke any serious adverse tissue response. Dental implants are generally marketed as commercially pure titanium (TiCP) due to their excellent mechanical and physical properties. However, sometimes other alloys are employed and consequently it is essential to study the chemical elements present in those alloys that could bring prejudice for the health. Present work investigated TiCP metal alloys used for dental implant manufacturing and evaluated the presence of elements. For alloy characterization and identification of elements it was used EDXRF technique. This method allows to perform the qualitative and quantitative analysis of the materials using the spectra of the characteristic X-rays emitted by the elements present in the metal samples. The experimental setup was based on two X- ray tubes, Mini X model with Ag and Au targets and X-123SDD detector (AMPTEK) and a 0.5 mm Cu collimator, developed due to specific sample geometrical and topography characteristics. Obtained results showed that implant alloys are not exactly TiCP but were manufactured using Ti-Al-V alloy, which contained Fe, Ni, Cu and Zn. The presence of such metals as Al and V in all studied samples shows very clear that studied implants were not manufactured from TiCP alloy. Moreover, according to the American Society for Testing and Materials (ASTM), these elements should not be present in TiCP. (author)

  14. Elementary characterization of Ti metal alloys used in implant dentistry

    International Nuclear Information System (INIS)

    Torres, Catarina A. M. P.; Paschuk, Sergei A.; Rocha, Anna S. S.; Corrêa, Janine Nicolosi; Deniak, Valeriy; Camargo, Liliane; Assis, J.T

    2017-01-01

    The main goal of present work is analytical characterization of standard dental implants broadly used by Brazilian dentists. An ideal biological alloy for dental implants must have very high biocompatibility, which means that such material should not provoke any serious adverse tissue response. Dental implants are generally marketed as commercially pure titanium (TiCP) due to their excellent mechanical and physical properties. However, sometimes other alloys are employed and consequently it is essential to study the chemical elements present in those alloys that could bring prejudice for the health. Present work investigated TiCP metal alloys used for dental implant manufacturing and evaluated the presence of elements. For alloy characterization and identification of elements it was used EDXRF technique. This method allows to perform the qualitative and quantitative analysis of the materials using the spectra of the characteristic X-rays emitted by the elements present in the metal samples. The experimental setup was based on two X- ray tubes, Mini X model with Ag and Au targets and X-123SDD detector (AMPTEK) and a 0.5 mm Cu collimator, developed due to specific sample geometrical and topography characteristics. Obtained results showed that implant alloys are not exactly TiCP but were manufactured using Ti-Al-V alloy, which contained Fe, Ni, Cu and Zn. The presence of such metals as Al and V in all studied samples shows very clear that studied implants were not manufactured from TiCP alloy. Moreover, according to the American Society for Testing and Materials (ASTM), these elements should not be present in TiCP. (author)

  15. The effect of ion implantation on the tribomechanical properties of carbon fibre reinforced polymers

    International Nuclear Information System (INIS)

    Mistica, R.; Sood, D.K.; Janardhana, M.N.

    1993-01-01

    Graphite fibre reinforced epoxy composite material (GFRP) is used extensively in the aerospace and other industries for structural application. The trend is to address the 20 to 30 year life endurance of this material in service. Mechanical joints in air crafts are exposed to dynamic loads during service and wear may be experienced by the composite material joint. Generally it has been shown that graphite fibre reinforced polymers have superior wear and friction properties as compared with the unfilled polymers. In the described experiment, ion implantation was used as a novel surface treatment. Wear and friction of a polymer composite material (GFRP) was studied and ion implantation was used in order to observe the effect on the tribomechanical properties of the material. It was found that ion implantation of C on GFRP sliding against Ti changes the tribological properties of the system, and in particular decreases the coefficient of friction and wear. 4 refs., 2 figs

  16. The effect of ion implantation on the tribomechanical properties of carbon fibre reinforced polymers

    Energy Technology Data Exchange (ETDEWEB)

    Mistica, R.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Janardhana, M.N. [Deakin University, Geelong, VIC (Australia). School of Engineering and Technology

    1993-12-31

    Graphite fibre reinforced epoxy composite material (GFRP) is used extensively in the aerospace and other industries for structural application. The trend is to address the 20 to 30 year life endurance of this material in service. Mechanical joints in air crafts are exposed to dynamic loads during service and wear may be experienced by the composite material joint. Generally it has been shown that graphite fibre reinforced polymers have superior wear and friction properties as compared with the unfilled polymers. In the described experiment, ion implantation was used as a novel surface treatment. Wear and friction of a polymer composite material (GFRP) was studied and ion implantation was used in order to observe the effect on the tribomechanical properties of the material. It was found that ion implantation of C on GFRP sliding against Ti changes the tribological properties of the system, and in particular decreases the coefficient of friction and wear. 4 refs., 2 figs.

  17. The effect of ion implantation on the tribomechanical properties of carbon fibre reinforced polymers

    Energy Technology Data Exchange (ETDEWEB)

    Mistica, R; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Janardhana, M N [Deakin University, Geelong, VIC (Australia). School of Engineering and Technology

    1994-12-31

    Graphite fibre reinforced epoxy composite material (GFRP) is used extensively in the aerospace and other industries for structural application. The trend is to address the 20 to 30 year life endurance of this material in service. Mechanical joints in air crafts are exposed to dynamic loads during service and wear may be experienced by the composite material joint. Generally it has been shown that graphite fibre reinforced polymers have superior wear and friction properties as compared with the unfilled polymers. In the described experiment, ion implantation was used as a novel surface treatment. Wear and friction of a polymer composite material (GFRP) was studied and ion implantation was used in order to observe the effect on the tribomechanical properties of the material. It was found that ion implantation of C on GFRP sliding against Ti changes the tribological properties of the system, and in particular decreases the coefficient of friction and wear. 4 refs., 2 figs.

  18. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  19. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  20. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  1. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  2. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  3. Hydrogen depth distribution and fatigue properties of TiAl6V4 with implanted nitrogen; Wasserstoff-Tiefenverteilung und Ermuedungseigenschaften von Stickstoff-implantiertem TiAl6V4

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, H. [Technische Univ. Darmstadt (Germany). Fachbereich Materialwissenschaft; Rueck, D.M. [Gesellschaft fuer Schwerionenforschung mbH (GSI), Darmstadt (Germany). Abt. fuer Materialforschung; Soltani-Farshi, M.; Baumann, H. [Frankfurt Univ. (Germany). Inst. fuer Kernphysik

    1998-12-31

    Depth distributions and cracking initiation were analysed in TiAl6V4 with implanted nitrogen by means of high-energy backscattering spectroscopy, nuclear reaction analysis and fatigue tests under rotary bending loads. The hydrogen content in the substrate is approx. 0.4 at.%. The hydrogen content in the materials layer with implanted nitrogen is up to 2.6 at%. The highest hydrogen concentration was found in material consisting of titanium nitride (TiN) and {alpha}-titanium. In areas with high nitrogen accumulation, where a consistent TiN layer is formed, the hydrogen content is lower than 1.5 at.%. Nitrogen ion implantation was not found to significantly reduce the fatigue strength of the specimens tested under rotary bending loads, although fatigue-induced cracking primarily occurs in specimen zones where the maximum concentrations of hydrogen and nitrogen are close to the surface. (orig./CB) [Deutsch] Tiefenverteilungen und die Rissbildung von Stickstoff-implantiertem TiAl6V4 wurden mittels Hochenergie-Rueckstreu-Spektroskopie, Kernreaktionsanalyse und Umlaufbiegetests untersucht. Der Wasserstoffgehalt im Substrat betraegt etwa 0,4 at.%. In der Stickstoff-implantierten Schicht wird eine Wasserstoffakkumulation bis zu 2,6 at% beobachtet. Die hoechste Wasserstoffkonzentration tritt auf, wenn eine Mischung aus Titannitrid (TiN) und mit Stickstoff angereichertem {alpha}-Titan vorliegt. In Bereichen hoher Stickstoffanreicherung, in denen eine zusammenhaengende TiN-Schicht gebildet wird, sinkt der Wasserstoffanteil unter 1,5 at.% ab. Die Stickstoff-Implantation senkt die Lebensdauern im Umlaufbiegeversuch nicht signifikant ab, obwohl die Ermuedungsrisse bevorzugt von Probenbereichen ausgehen, in denen die Maximalgehalte von Stickstoff und Wasserstoff nahe an der Oberflaeche liegen. (orig.)

  4. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  5. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  6. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  7. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  8. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  9. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  10. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  11. Mechanical Characterisation and Biomechanical and Biological Behaviours of Ti-Zr Binary-Alloy Dental Implants

    Directory of Open Access Journals (Sweden)

    Aritza Brizuela-Velasco

    2017-01-01

    Full Text Available The objective of the study is to characterise the mechanical properties of Ti-15Zr binary alloy dental implants and to describe their biomechanical behaviour as well as their osseointegration capacity compared with the conventional Ti-6Al-4V (TAV alloy implants. The mechanical properties of Ti-15Zr binary alloy were characterised using Roxolid© implants (Straumann, Basel, Switzerland via ultrasound. Their biomechanical behaviour was described via finite element analysis. Their osseointegration capacity was compared via an in vivo study performed on 12 adult rabbits. Young’s modulus of the Roxolid© implant was around 103 GPa, and the Poisson coefficient was around 0.33. There were no significant differences in terms of Von Mises stress values at the implant and bone level between both alloys. Regarding deformation, the highest value was observed for Ti-15Zr implant, and the lowest value was observed for the cortical bone surrounding TAV implant, with no deformation differences at the bone level between both alloys. Histological analysis of the implants inserted in rabbits demonstrated higher BIC percentage for Ti-15Zr implants at 3 and 6 weeks. Ti-15Zr alloy showed elastic properties and biomechanical behaviours similar to TAV alloy, although Ti-15Zr implant had a greater BIC percentage after 3 and 6 weeks of osseointegration.

  12. Effect of heating on the behaviors of hydrogen in C-TiC films with auger electron spectroscopy and secondary ion mass spectroscopy analyses

    International Nuclear Information System (INIS)

    Zou, Y.; Wang, L.W.; Huang, N.K.

    2007-01-01

    C-TiC films with a content of 75% TiC were prepared with magnetron sputtering deposition followed by Ar + ion bombardment. Effect of heating on the behaviors of hydrogen in C-TiC films before and after heating was studied with Auger Electron Spectroscopy and Secondary Ion Mass Spectroscopy (SIMS) analyses. SIMS depth profiles of hydrogen after H + ion implantation and thermal treatment show different hydrogen concentrations in C-TiC coatings and stainless steel. SIMS measurements show the existence of TiH, TiH 2 , CH 3 , CH 4 , C 2 H 2 bonds in the films after H + ion irradiation and the changes in the Ti LMM, Ti LMV and C KLL Auger line shape reveal that they have a good hydrogen retention ability after heating up to the temperature 393 K. All the results show that C-TiC coatings can be used as a hydrogen retainer or hydrogen permeable barrier on stainless steel to protect it from hydrogen brittleness

  13. Surface modification by metal ion implantation forming metallic nanoparticles in an insulating matrix

    International Nuclear Information System (INIS)

    Salvadori, M.C.; Teixeira, F.S.; Sgubin, L.G.; Cattani, M.; Brown, I.G.

    2014-01-01

    Highlights: • Metal nanoparticles can be produced through metallic ion implantation in insulating substrate, where the implanted metal self-assembles into nanoparticles. • The nanoparticles nucleate near the maximum of the implantation depth profile, that can be estimated by computer simulation using the TRIDYN. • Nanocomposites, obtained by this way, can be produced in different insulator materials. More specifically we have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. • The nanocomposites were characterized by measuring the resistivity of the composite layer as function of the dose implanted, reaching the percolation threshold. • Excellent agreement was found between the experimental results and the predictions of the theory. - Abstract: There is special interest in the incorporation of metallic nanoparticles in a surrounding dielectric matrix for obtaining composites with desirable characteristics such as for surface plasmon resonance, which can be used in photonics and sensing, and controlled surface electrical conductivity. We have investigated nanocomposites produced by metal ion implantation into insulating substrates, where the implanted metal self-assembles into nanoparticles. The nanoparticles nucleate near the maximum of the implantation depth profile (projected range), which can be estimated by computer simulation using the TRIDYN code. TRIDYN is a Monte Carlo simulation program based on the TRIM (Transport and Range of Ions in Matter) code that takes into account compositional changes in the substrate due to two factors: previously implanted dopant atoms, and sputtering of the substrate surface. Our study show that the nanoparticles form a bidimentional array buried a few nanometers below the substrate surface. We have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. Transmission electron microscopy of the implanted samples show that metallic nanoparticles form in

  14. Study of TiO2 nanotubes as an implant application

    International Nuclear Information System (INIS)

    Hazan, Roshasnorlyza; Sreekantan, Srimala; Mydin, Rabiatul Basria S. M. N.; Mat, Ishak; Abdullah, Yusof

    2016-01-01

    Vertically aligned TiO 2 nanotubes have become the primary candidates for implant materials that can provide direct control of cell behaviors. In this work, 65 nm inner diameters of TiO 2 nanotubes were successfully prepared by anodization method. The interaction of bone marrow stromal cells (BMSC) in term of cell adhesion and cell morphology on bare titanium and TiO 2 nanotubes is reported. Field emission scanning electron microscopy (FESEM) analysis proved interaction of BMSC on TiO 2 nanotubes structure was better than flat titanium (Ti) surface. Also, significant cell adhesion on TiO 2 nanotubes surface during in vitro study revealed that BMSC prone to attach on TiO 2 nanotubes. From the result, it can be conclude that TiO 2 nanotubes are biocompatible to biological environment and become a new generation for advanced implant materials

  15. Copper diffusion in Ti-Si-N layers formed by inductively coupled plasma implantation

    International Nuclear Information System (INIS)

    Ee, Y.C.; Chen, Z.; Law, S.B.; Xu, S.; Yakovlev, N.L.; Lai, M.Y.

    2006-01-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into Ti x Si y substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 deg. C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers

  16. Screening on the high yield validamycin producing strain by implantation with N+ and Ti+ ion source

    International Nuclear Information System (INIS)

    Yu Long; An Xiao

    2007-01-01

    In order to compared the mutagenic effects of the validamycin producing the strain (Streptomyces hygroscopicus var. Jingganggensis Yen.) was implanted with two kinds of ion sources. The results showed that when two kinds of ion sources implanted into the strain by turns, more positive mutants and higher yield would be acquired. Using this method, a high-yielding strain B1-3 was obtained, which produce the titer of validamycin A of 21514, and was 54.4% higher than that of the original strain. (authors)

  17. Improvement of Ti-plasma coating on Ni-Ti shape memory alloy applying to implant materials and its evaluation

    International Nuclear Information System (INIS)

    Okuyama, Masaru; Endo, Jun; Take, Seisho; Itoi, Yasuhiko; Kambe, Satoshi

    2002-01-01

    Utilizing of Ni-Ti shape memory alloy for implant materials has been world-widely studied. it is, however, known that Ni-Ti alloy is easily attacked by chloride ion contained in body liquid. To prevent Ni dissolution, the authors tried to coat the alloy surface with titanium metal by means of plasma-spray coating method. The plasma coating films resulted in rather accelerating pitting corrosion because of their high porosity. Therefore, sealing of the porous films was required. In order to solve this problem and satisfy prolonged lifetime in the body, the authors tried to use the vacuum evaporation technique of titanium metal. Two types of Ti vacuum evaporation procedures were employed. The one was to cover a thin film on Ni-Ti alloy surface prior to massive Ti plasma spray coating. The other was to first coat plasma spray films on Ni-Ti alloy and then to cover them with vacuum evaporation films of Ti. Protective ability against pitting corrosion was examined by electrochemical polarization measurement in physiological solution and the coating films were characterized by microscopic and SEM observation and EPMA analysis. Vacuum evaporation thin films could not protect Ni-Ti alloy from pitting corrosion. In the case of plasma spray coating over the Ti vacuum evaporation thin film, the substrate Ni-Ti alloy could not be better protected. On the contrary, vacuum evaporation of Ti over the porous plasma spray coating layer remarkably improved corrosion protective performance

  18. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  19. Damage evolution in Xe-ion irradiated rutile (TiO2) single crystals

    International Nuclear Information System (INIS)

    Li, F.; Sickafus, K.E.; Evans, C.R.; Nastasi, M.

    1999-01-01

    Rutile (TiO 2 ) single crystals with (110) orientation were irradiated with 360 keV Xe 2+ ions at 300 K to fluences ranging from 2 x 10 19 to 1 x 10 20 Xe/m 2 . Irradiated samples were analyzed using: (1) Rutherford backscattering spectroscopy combined with ion channeling analysis (RBS/C); and (2) cross-sectional transmission electron microscopy (XTEM). Upon irradiation to a fluence of 2 x 10 19 Xe/m 2 , the sample thickness penetrated by the implanted ions was observed to consist of three distinct layers: (1) a defect-free layer at the surface (thickness about 12 nm) exhibiting good crystallinity; (2) a second layer with a low density of relatively large-sized defects; and (3) a third layer consisting of a high concentration of small defects. After the fluence was increased to 7 x 10 19 Xe/m 2 , a buried amorphous layer was visible by XTEM. The thickness of the amorphous layer was found to increase with increasing Xe ion fluence. The location of this buried amorphous layer was found to coincide with the measured peak in the Xe concentration (measured by RBS/C), rather than with the theoretical maximum in the displacement damage profile. This observation suggests the implanted Xe ions may serve as nucleation sites for the amorphization transformation. The total thickness of the damaged microstructure due to ion irradiation was always found to be much greater than the projected range of the Xe ions. This is likely due to point defect migration under the high stresses induced by ion implantation

  20. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  1. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  2. Tailoring Selective Laser Melting Process Parameters for NiTi Implants

    Science.gov (United States)

    Bormann, Therese; Schumacher, Ralf; Müller, Bert; Mertmann, Matthias; de Wild, Michael

    2012-12-01

    Complex-shaped NiTi constructions become more and more essential for biomedical applications especially for dental or cranio-maxillofacial implants. The additive manufacturing method of selective laser melting allows realizing complex-shaped elements with predefined porosity and three-dimensional micro-architecture directly out of the design data. We demonstrate that the intentional modification of the applied energy during the SLM-process allows tailoring the transformation temperatures of NiTi entities within the entire construction. Differential scanning calorimetry, x-ray diffraction, and metallographic analysis were employed for the thermal and structural characterizations. In particular, the phase transformation temperatures, the related crystallographic phases, and the formed microstructures of SLM constructions were determined for a series of SLM-processing parameters. The SLM-NiTi exhibits pseudoelastic behavior. In this manner, the properties of NiTi implants can be tailored to build smart implants with pre-defined micro-architecture and advanced performance.

  3. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  4. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  5. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  6. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  7. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  8. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  9. FY 1998 annual report on the development of novel, high-activity oxygen electrode by ion-implantation; 1998 nendo ion chunyuho ni yoru shinkina kokassei sanso denkyoku no kaihatsu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    An attempt has been made to develop an electrode material having high activity for oxygen generating reactions by ion-implantation, which is used to form the bulk defects (fine gaps at the atomic level) on the electrode surface, considered to serve as the active sites. It is found that implantation of the Co{sup +} or Zn{sup +} ion into a compound oxide electrode of Ti and Ru is accompanied by decreased overvoltage for oxygen generation by 50 to 100 mV. The Co{sup +} and Zn{sup +} ions, when implanted, cause damage of similar density in the thin film, decreasing its overvoltage to a similar extent, in spite of their different chemical properties, from which it is considered that the effect of ion implantation is not to change chemical properties of the film but to form a structural defect therein. A thin-film electrode of ruthenium dioxide, which is considered to be the oxygen generating electrode of the highest activity at present, is prepared and implanted with the Ru{sup +} ion, to observe the effect. The ion implantation also decreases the overvoltage by 50 to 70 mV, demonstrating its effect. The same principle is expected to be applicable to development of high-activity oxygen reducing electrode (electrode for fuel cell). (NEDO)

  10. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  11. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  12. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  13. Anchorage of TiO2-blasted, HA-coated, and machined implants: an experimental study with rabbits

    DEFF Research Database (Denmark)

    Gotfredsen, K; Wennerberg, A; Johansson, C

    1995-01-01

    The purpose of this study was to evaluate the histometrical and biomechanical anchorage of TiO2-blasted implants and TiO2-blasted implants coated with hydroxyapatite. The control implants were machined. Twenty-six rabbits had a total of 156 implants placed in the proximal part of the tibia. Each...... rabbit had a machined, a TiO2-blasted, and a TiO2-blasted, HA-coated implant placed in each tibia. After a healing period of 3 and 12 weeks, respectively, the implants placed in the right tibia were used for removal torque test, and the implants placed in the left tibia were used for histomorphometrical...

  14. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  15. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  16. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  17. Soft electronic structure modulation of surface (thin-film) and bulk (ceramics) morphologies of TiO{sub 2}-host by Pb-implantation: XPS-and-DFT characterization

    Energy Technology Data Exchange (ETDEWEB)

    Zatsepin, D.A. [M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation); Boukhvalov, D.W., E-mail: danil@hanyang.ac.kr [Department of Chemistry, Hanyang University, 17 Haengdang-dong, Seongdong-gu, Seoul 133-791 (Korea, Republic of); Theoretical Physics and Applied Mathematics Department, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Gavrilov, N.V. [Institute of Electrophysics, Russian Academy of Sciences, Ural Branch, 620990 Yekaterinburg (Russian Federation); Zatsepin, A.F. [Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation); Shur, V.Ya.; Esin, A.A. [Institute of Natural Sciences, Ural Federal University, 51 Lenin Ave, 620000 Yekaterinburg (Russian Federation); Kim, S.S. [School of Materials Science and Engineering, Inha University, Incheon 402-751 (Korea, Republic of); Kurmaev, E.Z. [M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation)

    2017-04-01

    Highlights: • Experiment and theory demonstrate significant difference between patterns of Pb-ion implantation in TiO{sub 2}. • In bulk TiO{sub 2} Pb-impurities leads formation of PbO phase. • On the surface of TiO{sub 2}:Pb occur formation of PbxOy configurations. • In both bulk and surface TiO{sub 2}:Pb occur decreasing of the bandgap by shift of valence band about 1 eV up. - Abstract: The results of combined experimental and theoretical study of substitutional and clustering effects in the structure of Pb-doped TiO{sub 2}-hosts (bulk ceramics and thin-film morphologies) are presented. Pb-doping of the bulk and thin-film titanium dioxide was made with the help of pulsed ion-implantation without posterior tempering (Electronic Structure Modulation Mode). The X-ray photoelectron spectroscopy (XPS) qualification of core-levels and valence bands and Density-Functional Theory (DFT) calculations were employed in order to study the yielded electronic structure of Pb-ion modulated TiO{sub 2} host-matrices. The combined XPS-and-DFT analysis has agreed definitely with the scenario of the implantation stimulated appearance of PbO-like structures in the bulk morphology of TiO{sub 2}:Pb, whereas in thin-film morphology the PbO{sub 2}-like structure becomes dominating, essentially contributing weak O/Pb bonding (Pb{sub x}O{sub y} defect clusters). The crucial role of the oxygen hollow-type vacancies for the process of Pb-impurity “insertion” into the structure of bulk TiO{sub 2} was pointed out employing DFT-based theoretical background. Both experiment and theory established clearly the final electronic structure re-arrangement of the bulk and thin-film morphologies of TiO{sub 2} because of the Pb-modulated deformation and shift of the initial Valence Base-Band Width about 1 eV up.

  18. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  19. Metallic oxide nano-clusters synthesis by ion implantation in high purity Fe10Cr alloy

    International Nuclear Information System (INIS)

    Zheng, Ce

    2015-01-01

    ODS (Oxide Dispersed Strengthened) steels, which are reinforced with metal dispersions of nano-oxides (based on Y, Ti and O elements), are promising materials for future nuclear reactors. The detailed understanding of the mechanisms involved in the precipitation of these nano-oxides would improve manufacturing and mechanical properties of these ODS steels, with a strong economic impact for their industrialization. To experimentally study these mechanisms, an analytical approach by ion implantation is used, to control various parameters of synthesis of these precipitates as the temperature and concentration. This study demonstrated the feasibility of this method and concerned the behaviour of alloys models (based on aluminium oxide) under thermal annealing. High purity Fe-10Cr alloys were implanted with Al and O ions at room temperature. Transmission electron microscopy observations showed that the nano-oxides appear in the Fe-10Cr matrix upon ion implantation at room temperature without subsequent annealing. The mobility of implanted elements is caused by the defects created during ion implantation, allowing the nucleation of these nanoparticles, of a few nm in diameter. These nanoparticles are composed of aluminium and oxygen, and also chromium. The high-resolution experiments show that their crystallographic structure is that of a non-equilibrium compound of aluminium oxide (cubic γ-Al 2 O 3 type). The heat treatment performed after implantation induces the growth of the nano-sized oxides, and a phase change that tends to balance to the equilibrium structure (hexagonal α-Al 2 O 3 type). These results on model alloys are fully applicable to industrial materials: indeed ion implantation reproduces the conditions of milling and heat treatments are at equivalent temperatures to those of thermo-mechanical treatments. A mechanism involving the precipitation of nano-oxide dispersed in ODS alloys is proposed in this manuscript based on the obtained experimental results

  20. Modulating macrophage polarization with divalent cations in nanostructured titanium implant surfaces

    International Nuclear Information System (INIS)

    Lee, Chung-Ho; Kim, Youn-Jeong; Jang, Je-Hee; Park, Jin-Woo

    2016-01-01

    Nanoscale topographical modification and surface chemistry alteration using bioactive ions are centrally important processes in the current design of the surface of titanium (Ti) bone implants with enhanced bone healing capacity. Macrophages play a central role in the early tissue healing stage and their activity in response to the implant surface is known to affect the subsequent healing outcome. Thus, the positive modulation of macrophage phenotype polarization (i.e. towards the regenerative M2 rather than the inflammatory M1 phenotype) with a modified surface is essential for the osteogenesis funtion of Ti bone implants. However, relatively few advances have been made in terms of modulating the macrophage-centered early healing capacity in the surface design of Ti bone implants for the two important surface properties of nanotopography and and bioactive ion chemistry. We investigated whether surface bioactive ion modification exerts a definite beneficial effect on inducing regenerative M2 macrophage polarization when combined with the surface nanotopography of Ti. Our results indicate that nanoscale topographical modification and surface bioactive ion chemistry can positively modulate the macrophage phenotype in a Ti implant surface. To the best of our knowledge, this is the first demonstration that chemical surface modification using divalent cations (Ca and Sr) dramatically induces the regenerative M2 macrophage phenotype of J774.A1 cells in nanostructured Ti surfaces. In this study, divalent cation chemistry regulated the cell shape of adherent macrophages and markedly up-regulated M2 macrophage phenotype expression when combined with the nanostructured Ti surface. These results provide insight into the surface engineering of future Ti bone implants that are harmonized between the macrophage-governed early wound healing process and subsequent mesenchymal stem cell-centered osteogenesis function. (paper)

  1. Modulating macrophage polarization with divalent cations in nanostructured titanium implant surfaces

    Science.gov (United States)

    Lee, Chung-Ho; Kim, Youn-Jeong; Jang, Je-Hee; Park, Jin-Woo

    2016-02-01

    Nanoscale topographical modification and surface chemistry alteration using bioactive ions are centrally important processes in the current design of the surface of titanium (Ti) bone implants with enhanced bone healing capacity. Macrophages play a central role in the early tissue healing stage and their activity in response to the implant surface is known to affect the subsequent healing outcome. Thus, the positive modulation of macrophage phenotype polarization (i.e. towards the regenerative M2 rather than the inflammatory M1 phenotype) with a modified surface is essential for the osteogenesis funtion of Ti bone implants. However, relatively few advances have been made in terms of modulating the macrophage-centered early healing capacity in the surface design of Ti bone implants for the two important surface properties of nanotopography and and bioactive ion chemistry. We investigated whether surface bioactive ion modification exerts a definite beneficial effect on inducing regenerative M2 macrophage polarization when combined with the surface nanotopography of Ti. Our results indicate that nanoscale topographical modification and surface bioactive ion chemistry can positively modulate the macrophage phenotype in a Ti implant surface. To the best of our knowledge, this is the first demonstration that chemical surface modification using divalent cations (Ca and Sr) dramatically induces the regenerative M2 macrophage phenotype of J774.A1 cells in nanostructured Ti surfaces. In this study, divalent cation chemistry regulated the cell shape of adherent macrophages and markedly up-regulated M2 macrophage phenotype expression when combined with the nanostructured Ti surface. These results provide insight into the surface engineering of future Ti bone implants that are harmonized between the macrophage-governed early wound healing process and subsequent mesenchymal stem cell-centered osteogenesis function.

  2. Study of TiO{sub 2} nanotubes as an implant application

    Energy Technology Data Exchange (ETDEWEB)

    Hazan, Roshasnorlyza, E-mail: roshasnorlyza@nm.gov.my; Sreekantan, Srimala [School of Materials and Mineral Resources Engineering, Universiti Sains Malaysia, 14300, Nibong Tebal, Pulau Pinang (Malaysia); Mydin, Rabiatul Basria S. M. N.; Mat, Ishak [Advanced Medical and Dental Institute, Universiti Sains Malaysia, 13200, Kepala Batas, Pulau Pinang (Malaysia); Abdullah, Yusof [Materials Technology Group, Industrial Technology Division, Nuclear Malaysia Agency, Bangi, 43000, Kajang, Selangor. Malaysia (Malaysia)

    2016-01-22

    Vertically aligned TiO{sub 2} nanotubes have become the primary candidates for implant materials that can provide direct control of cell behaviors. In this work, 65 nm inner diameters of TiO{sub 2} nanotubes were successfully prepared by anodization method. The interaction of bone marrow stromal cells (BMSC) in term of cell adhesion and cell morphology on bare titanium and TiO{sub 2} nanotubes is reported. Field emission scanning electron microscopy (FESEM) analysis proved interaction of BMSC on TiO{sub 2} nanotubes structure was better than flat titanium (Ti) surface. Also, significant cell adhesion on TiO{sub 2} nanotubes surface during in vitro study revealed that BMSC prone to attach on TiO{sub 2} nanotubes. From the result, it can be conclude that TiO{sub 2} nanotubes are biocompatible to biological environment and become a new generation for advanced implant materials.

  3. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  4. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  5. Permeation of deuterium implanted into vanadium alloys

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Struttmann, D.A.

    1986-05-01

    Permeation of deuterium through the vanadium alloy, V-15Cr-5Ti, was investigated using 3-keV, D 3 + ion beams from a small accelerator. The experiments consisted of measurements of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5-mm thick specimens heated to tempertures from 623 to 823 0 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). Analyses of these measurements indicate that for the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This corresponds to approximately 1000 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates D = 1.4 x 10 -8 exp(-.11 eV/kT) (m 2 /s)

  6. Surface modification of Ti dental implants by Nd:YVO4 laser irradiation

    International Nuclear Information System (INIS)

    Braga, Francisco J.C.; Marques, Rodrigo F.C.; Filho, Edson de A.; Guastaldi, Antonio C.

    2007-01-01

    Surface modifications have been applied in endosteal bone devices in order to improve the osseointegration through direct contact between neoformed bone and the implant without an intervening soft tissue layer. Surface characteristics of titanium implants have been modified by addictive methods, such as metallic titanium, titanium oxide and hydroxyapatite powder plasma spray, as well as by subtractive methods, such as acid etching, acid etching associated with sandblasting by either AlO 2 or TiO 2 , and recently by laser ablation. Surface modification for dental and medical implants can be obtained by using laser irradiation technique where its parameters like repetition rate, pulse energy, scanning speed and fluency must be taken into accounting to the appropriate surface topography. Surfaces of commercially pure Ti (cpTi) were modified by laser Nd:YVO 4 in nine different parameters configurations, all under normal atmosphere. The samples were characterized by SEM and XRD refined by Rietveld method. The crystalline phases αTi, βTi, Ti 6 O, Ti 3 O and TiO were formed by the melting and fast cooling processes during irradiation. The resulting phases on the irradiated surface were correlated with the laser beam parameters. The aim of the present work was to control titanium oxides formations in order to improve implants osseointegration by using a laser irradiation technique which is of great importance to biomaterial devices due to being a clean and reproducible process

  7. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  8. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  9. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  10. The Two-Beam-Line Ion Implanter and Review of its Application to Creation of Complex Layers by the IBAD Method

    International Nuclear Information System (INIS)

    Rajchel, B.; Drwiega, M.; Lipinska, E.; Hajduk, R.

    1998-12-01

    The present status of the two-beam-line ion implanter its basic specifications after the upgrading and the possibilities of its application to ion engineering methods is presented. The examples of created layers (DLC, TiN x , SiC x ) and research methods applied to find out the features of the ion beam assisted deposited coatings are presented in order to prove the suitability of the device to scientific studies

  11. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  12. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  13. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  14. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  15. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  16. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  17. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  18. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  19. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  20. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  1. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  2. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  3. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  4. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  5. In vitro and in vivo performance of bioactive Ti6Al4V/TiC/HA implants fabricated by a rapid microwave sintering technique

    International Nuclear Information System (INIS)

    Choy, Man Tik; Tang, Chak Yin; Chen, Ling; Wong, Chi Tak; Tsui, Chi Pong

    2014-01-01

    Failure of the bone–implant interface in a joint prosthesis is a main cause of implant loosening. The introduction of a bioactive substance, hydroxyapatite (HA), to a metallic bone–implant may enhance its fixation on human bone by encouraging direct bone bonding. Ti6Al4V/TiC/HA composites with a reproducible porous structure (porosity of 27% and pore size of 6–89 μm) were successfully fabricated by a rapid microwave sintering technique. This method allows the biocomposites to be fabricated in a short period of time under ambient conditions. Ti6Al4V/TiC/HA composites exhibited a compressive strength of 93 MPa, compressive modulus of 2.9 GPa and microhardness of 556 HV which are close to those of the human cortical bone. The in vitro preosteoblast MC3T3-E1 cells cultured on the Ti6Al4V/TiC/HA composite showed that the composite surface could provide a biocompatible environment for cell adhesion, proliferation and differentiation without any cytotoxic effects. This is among the first attempts to study the in vivo performance of load-bearing Ti6Al4V/TiC and Ti6Al4V/TiC/HA composites in a live rabbit. The results indicated that the Ti6Al4V/TiC/HA composite had a better bone–implant interface compared with the Ti6Al4V/TiC implant. Based on the microstructural features, the mechanical properties, and the in vitro and in vivo test results from this study, the Ti6Al4V/TiC/HA composites have the potential to be employed in load-bearing orthopedic applications. - Highlights: • Ti6Al4V/TiC and Ti6Al4V/TiC/HA composites were fabricated by microwave sintering. • Ti6Al4V/TiC/HA exhibited mechanical properties close to human cortical bone. • Ti6Al4V/TiC/HA could provide a biocompatible environment for bone cell growth. • Ti6Al4V/TiC/HA showed a better bone–implant interface than Ti6Al4V/TiC. • Ti6Al4V/TiC/HA could be used for bone replacement under load-bearing conditions

  6. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  7. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  8. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  9. Mechanical Properties of a Newly Additive Manufactured Implant Material Based on Ti-42Nb.

    Science.gov (United States)

    Schulze, Christian; Weinmann, Markus; Schweigel, Christoph; Keßler, Olaf; Bader, Rainer

    2018-01-13

    The application of Ti-6Al-4V alloy or commercially pure titanium for additive manufacturing enables the fabrication of complex structural implants and patient-specific implant geometries. However, the difference in Young's modulus of α + β-phase Ti alloys compared to the human bone promotes stress-shielding effects in the implant-bone interphase. The aim of the present study is the mechanical characterization of a new pre-alloyed β-phase Ti-42Nb alloy for application in additive manufacturing. The present investigation focuses on the mechanical properties of SLM-printed Ti-42Nb alloy in tensile and compression tests. In addition, the raw Ti-42Nb powder, the microstructure of the specimens prior to and after compression tests, as well as the fracture occurring in tensile tests are characterized by means of the SEM/EDX analysis. The Ti-42Nb raw powder exhibits a dendrite-like Ti-structure, which is melted layer-by-layer into a microstructure with a very homogeneous distribution of Nb and Ti during the SLM process. Tensile tests display Young's modulus of 60.51 ± 3.92 GPa and an ultimate tensile strength of 683.17 ± 16.67 MPa, whereas, under a compressive load, a compressive strength of 1330.74 ± 53.45 MPa is observed. The combination of high mechanical strength and low elastic modulus makes Ti-42Nb an interesting material for orthopedic and dental implants. The spherical shape of the pre-alloyed material additionally allows for application in metal 3D printing, enabling the fabrication of patient-specific structural implants.

  10. The trapping of hydrogen ions in vanadium and titanium

    International Nuclear Information System (INIS)

    Arbuzov, V.L.; Vykhodets, V.B.; Raspopova, G.A.

    1996-01-01

    The accumulation of implanted deuterium has been investigated at room temperature in vanadium, titanium and their alloys up to (2-15) x 10 17 ions cm -2 fluences by means of D(d,p)T reaction. The amount of accumulated D was measured using a 700 KeV D + ion beam during implantation. It is found that concentration of implanted deuterium in irradiated samples nonmonotonously increases with increasing fluence and in a complicated manner depends upon V-Ti alloy composition. These data are explained by concentration dependence of D diffusion coefficient in V-Ti alloys, structural ion irradiation induced inhomogeneity of alloys with >5-8 at.% Ti, and irradiation-enhanced diffusion of deuterium. (orig.)

  11. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  12. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  13. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  14. Laser fabrication of Ag-HA nanocomposites on Ti6Al4V implant for enhancing bioactivity and antibacterial capability

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xiangmei; Man, H.C., E-mail: mfhcman@polyu.edu.hk

    2017-01-01

    For titanium alloy implants, both surface bioactivity and antibacterial infection are the two critical factors in determining the success of clinical implantation of these metallic implants. In the present work, a novel nanocomposite layer of nano-silver-containing hydroxyapatite (Ag-HA) was prepared on the surface of biomedical Ti6Al4V by laser processing. Analysis using SEM, EDS and XRD shows the formation of an Ag-HA layer of about 200 μm fusion bonded to the substrate. Mineralization tests in simulated body fluid (SBF) showed that laser fabricated Ag-HA nanocomposite layer favors the deposition of apatite on the surface of the implants. Antibacterial tests confirmed that all Ag-HA nanocomposite layers can kill bacteria while a higher Ag content would lower the cytocompatibility of these coatings. Cell viability decreases when the Ag content reaches 5% in these coatings, due to the larger amount of Ag leached out, as confirmed by ion release evaluation. Our results reveal that laser fabricated Ag-HA nanocomposite coatings containing 2% Ag show both excellent cytocompatibility and antibacterial capability. - Highlights: • Silver-containing hydroxyapatite (Ag-HA) nanocomposite layer was fabricated on Ti6Al4V by laser technique. • Both bioactivity and antibacterial capability were significantly enhanced compared with bare Ti6Al4V. • Ag-HA nanocomposite coatings containing 2% Ag show both excellent cytocompatibility and antibacterial capability.

  15. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  16. Effects of gold coating on experimental implant fixation

    DEFF Research Database (Denmark)

    Zainali, Kasra; Danscher, Gorm; Jakobsen, Thomas

    2009-01-01

    Insertions of orthopedic implants are traumatic procedures that trigger an inflammatory response. Macrophages have been shown to liberate gold ions from metallic gold. Gold ions are known to act in an antiinflammatory manner by inhibiting cellular NF-kappa B-DNA binding and suppressing I-kappa B......-kinase activation. The present study investigated whether gilding implant Surfaces augmented early implant osseointegration and implant fixation by its modulatory effect on the local inflammatory response. Ion release was traced by autometallographic silver enhancement. Gold-coated cylindrical porous coated Ti6Al4V...

  17. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  18. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  19. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  20. Tribological investigations of surface treated Ti6Al4V

    International Nuclear Information System (INIS)

    Rueck, D.M.; Schminke, A.; Schmidt, H.; Soltani-Farshi, M.; Baumann, H.; Fink, U.; Richter, E.

    1998-05-01

    The tribological couple of the titanium alloy Ti6Al4V and ultrahigh molecular weight polyethylene (UHMWPE) is used for hip and knee joint prostheses. It is known that surface treatment of the titanium alloy Ti6Al4V by ion implantation of various elements can improve the wear behavior of such prostheses. The results of tribological tests, performed in Ringer solution with a ring-on-disc tester, show that oxygen in the implanted near surface region has a pronounced influence on the wear performance. Oxygen can be introduced into the surface by different mechanisms: either by direct implantation of oxygen ions or by indiffusion during the implantation of other elements like nitrogen or carbon at higher temperatures. The influence of the oxygen content in the near surface region of implanted and nonimplanted Ti6Al4V on the tribological performance is discussed in detail. (orig.)

  1. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  2. Silicon-Doped Titanium Dioxide Nanotubes Promoted Bone Formation on Titanium Implants.

    Science.gov (United States)

    Zhao, Xijiang; Wang, Tao; Qian, Shi; Liu, Xuanyong; Sun, Junying; Li, Bin

    2016-02-26

    While titanium (Ti) implants have been extensively used in orthopaedic and dental applications, the intrinsic bioinertness of untreated Ti surface usually results in insufficient osseointegration irrespective of the excellent biocompatibility and mechanical properties of it. In this study, we prepared surface modified Ti substrates in which silicon (Si) was doped into the titanium dioxide (TiO₂) nanotubes on Ti surface using plasma immersion ion implantation (PIII) technology. Compared to TiO₂ nanotubes and Ti alone, Si-doped TiO₂ nanotubes significantly enhanced the expression of genes related to osteogenic differentiation, including Col-I, ALP, Runx2, OCN, and OPN, in mouse pre-osteoblastic MC3T3-E1 cells and deposition of mineral matrix. In vivo, the pull-out mechanical tests after two weeks of implantation in rat femur showed that Si-doped TiO₂ nanotubes improved implant fixation strength by 18% and 54% compared to TiO₂-NT and Ti implants, respectively. Together, findings from this study indicate that Si-doped TiO₂ nanotubes promoted the osteogenic differentiation of osteoblastic cells and improved bone-Ti integration. Therefore, they may have considerable potential for the bioactive surface modification of Ti implants.

  3. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  4. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  5. In vitro and in vivo performance of bioactive Ti6Al4V/TiC/HA implants fabricated by a rapid microwave sintering technique.

    Science.gov (United States)

    Choy, Man Tik; Tang, Chak Yin; Chen, Ling; Wong, Chi Tak; Tsui, Chi Pong

    2014-09-01

    Failure of the bone-implant interface in a joint prosthesis is a main cause of implant loosening. The introduction of a bioactive substance, hydroxyapatite (HA), to a metallic bone-implant may enhance its fixation on human bone by encouraging direct bone bonding. Ti6Al4V/TiC/HA composites with a reproducible porous structure (porosity of 27% and pore size of 6-89 μm) were successfully fabricated by a rapid microwave sintering technique. This method allows the biocomposites to be fabricated in a short period of time under ambient conditions. Ti6Al4V/TiC/HA composites exhibited a compressive strength of 93 MPa, compressive modulus of 2.9 GPa and microhardness of 556 HV which are close to those of the human cortical bone. The in vitro preosteoblast MC3T3-E1 cells cultured on the Ti6Al4V/TiC/HA composite showed that the composite surface could provide a biocompatible environment for cell adhesion, proliferation and differentiation without any cytotoxic effects. This is among the first attempts to study the in vivo performance of load-bearing Ti6Al4V/TiC and Ti6Al4V/TiC/HA composites in a live rabbit. The results indicated that the Ti6Al4V/TiC/HA composite had a better bone-implant interface compared with the Ti6Al4V/TiC implant. Based on the microstructural features, the mechanical properties, and the in vitro and in vivo test results from this study, the Ti6Al4V/TiC/HA composites have the potential to be employed in load-bearing orthopedic applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  7. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  8. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  9. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  10. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  11. Wear behaviour of nitrogen-implanted and nitrided Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Martinella, R.; Giovanardi, S.; Chevallard, G.; Villani, M.; Molinari, A.; Tosello, C.

    1985-01-01

    The comparison between the wear behaviour of nitrogen-implanted Ti-6Al-4V alloy and that of nitrided Ti-6Al-4V alloy is reported. Both treatments were carried out at temperatures from 573 to 973 K on lapped surfaces; in order to compare roughness effects, nitriding was also carried out on rougher samples. An improvement in wear resistance for lapped surfaces was noted after implantation at 573 K or higher temperatures and after nitriding at temperatures over 773 K only; however, at 873 K, nitriding was more effective than implantation. Rough nitrided surfaces showed better wear resistance than lapped nitrided surfaces or lapped implanted surfaces. Most probably the improvement in wear resistance on implanted samples is due to a reduction in friction induced by chemical modification of the surface as a result of oxide and TiN. Scanning electron microscopy observations which show subsurface voids and coalescence are in good agreement with a wear model previously reported. As implantation preserves the surface finish, a possible application is suggested. (Auth.)

  12. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  13. Low temperature intermediate band metallic behavior in Ti implanted Si

    Energy Technology Data Exchange (ETDEWEB)

    Olea, Javier, E-mail: oleaariza@fis.ucm.es; Pastor, David; Garcia-Hemme, Eric; Garcia-Hernansanz, Rodrigo; Prado, Alvaro del; Martil, Ignacio; Gonzalez-Diaz, German

    2012-08-31

    Si samples implanted with very high Ti doses and subjected to Pulsed-Laser Melting (PLM) have been electrically analyzed in the scope of a two-layer model previously reported based on the Intermediate Band (IB) theory. Conductivity and Hall effect measurements using the van der Pauw technique suggest that the insulator-metal transition takes place for implantation doses in the 10{sup 14}-10{sup 16} cm{sup -2} range. Results of the sample implanted with the 10{sup 16} cm{sup -2} dose show a metallic behavior at low temperature that is explained by the formation of a p-type IB out of the Ti deep levels. This suggests that the IB would be semi-filled, which is essential for IB photovoltaic devices. - Highlights: Black-Right-Pointing-Pointer We fabricated high dose Ti implanted Si samples for intermediate band research. Black-Right-Pointing-Pointer We measured the electronic transport properties in the 7-300 K range. Black-Right-Pointing-Pointer We show an insulator to metallic transition when the intermediate band is formed. Black-Right-Pointing-Pointer The intermediate band is semi-filled and populated by holes. Black-Right-Pointing-Pointer We satisfactorily explain the electrical behavior by an intermediate band model.

  14. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  15. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  16. Ferromagnetism in with Fe implanted GaN and TiO{sub 2}; Ferromagnetismus in mit Fe implantierten GaN und TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Talut, Georg

    2009-12-15

    In the present study it was tried to create a diluted magnetic semiconductor on the basis of GaN and TiO{sub 2} by means of ion beam implantation. In most cases, by characterization of structural and magnetic properties, it was possible to prove that the ferromagnetic state is related to either spinodal decomposition or secondary phase formation. In case of Fe implanted GaN spinodal decomposition, epitaxially oriented {alpha}-Fe or {epsilon}-Fe{sub 3}N nanocrystals were found to be responsible for the ferromagnetic behavior. In addition, the formation of {gamma}-Fe clusters was observed. Similarly, in TiO{sub 2} the ferromagnetism is related to the formation of epitaxially oriented {alpha}-Fe clusters. Dependent on the process parameters during annealing experiments several various secondary phases were formed. A critical examination of the references in literature points out the significance of usage of sensitive and complementary probe techniques (like CEMS, SQUID, XRD, EXAFS), in order to be able to discuss the origin of ferromagnetism in the field of diluted magnetic semiconductors in a proper way. (orig.)

  17. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  18. Histomorphometric and histologic evaluation of titanium-zirconium (aTiZr) implants with anodized surfaces.

    Science.gov (United States)

    Sharma, Ajay; McQuillan, A James; Shibata, Yo; Sharma, Lavanya A; Waddell, John Neil; Duncan, Warwick John

    2016-05-01

    The choice of implant surface has a significant influence on osseointegration. Modification of TiZr surface by anodization is reported to have the potential to modulate the osteoblast cell behaviour favouring more rapid bone formation. The aim of this study is to investigate the effect of anodizing the surface of TiZr discs with respect to osseointegration after four weeks implantation in sheep femurs. Titanium (Ti) and TiZr discs were anodized in an electrolyte containing DL-α-glycerophosphate and calcium acetate at 300 V. The surface characteristics were analyzed by scanning electron microscopy, electron dispersive spectroscopy, atomic force microscopy and goniometry. Forty implant discs with thickness of 1.5 and 10 mm diameter (10 of each-titanium, titanium-zirconium, anodized titanium and anodized titanium-zirconium) were placed in the femoral condyles of 10 sheep. Histomorphometric and histologic analysis were performed 4 weeks after implantation. The anodized implants displayed hydrophilic, porous, nano-to-micrometer scale roughened surfaces. Energy dispersive spectroscopy analysis revealed calcium and phosphorous incorporation into the surface of both titanium and titanium-zirconium after anodization. Histologically there was new bone apposition on all implanted discs, slightly more pronounced on anodised discs. The percentage bone-to-implant contact measurements of anodized implants were higher than machined/unmodified implants but there was no significant difference between the two groups with anodized surfaces (P > 0.05, n = 10). The present histomorphometric and histological findings confirm that surface modification of titanium-zirconium by anodization is similar to anodised titanium enhances early osseointegration compared to machined implant surfaces.

  19. PLD prepared bioactive BaTiO.sub.3./sub. films on TiNb implants

    Czech Academy of Sciences Publication Activity Database

    Jelínek, Miroslav; Vaněk, Přemysl; Tolde, Z.; Buixaderas, Elena; Kocourek, Tomáš; Studnička, Václav; Drahokoupil, Jan; Petzelt, Jan; Remsa, Jan; Tyunina, Marina

    2017-01-01

    Roč. 70, Jan (2017), s. 334-339 ISSN 0928-4931 R&D Projects: GA ČR(CZ) GA15-05864S; GA ČR(CZ) GA15-01558S Institutional support: RVO:68378271 Keywords : BaTiO 3 * thin films * pld * implants * TiNb * ferroelectricity Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.)

  20. Surface Modification of NiTi Alloy via Cathodic Plasma Electrolytic Deposition and its Effect on Ni Ion Release and Osteoblast Behaviors

    International Nuclear Information System (INIS)

    Yan Ying; Cai Kaiyong; Yang Weihu; Liu Peng

    2013-01-01

    To reduce Ni ion release and improve biocompatibility of NiTi alloy, the cathodic plasma electrolytic deposition (CPED) technique was used to fabricate ceramic coating onto a NiTi alloy surface. The formation of a coating with a rough and micro-textured surface was confirmed by X-ray diffraction, scanning electron microscopy, and energy-dispersive X-ray spectroscopy, respectively. An inductively coupled plasma mass spectrometry test showed that the formed coating significantly reduced the release of Ni ions from the NiTi alloy in simulated body fluid. The influence of CPED treated NiTi substrates on the biological behaviors of osteoblasts, including cell adhesion, cell viability, and osteogenic differentiation function (alkaline phosphatase), was investigated in vitro. Immunofluorescence staining of nuclei revealed that the CPED treated NiTi alloy was favorable for cell growth. Osteoblasts on CPED modified NiTi alloy showed greater cell viability than those for the native NiTi substrate after 4 and 7 days cultures. More importantly, osteoblasts cultured onto a modified NiTi sample displayed significantly higher differentiation levels of alkaline phosphatase. The results suggested that surface functionalization of NiTi alloy with ceramic coating via the CPED technique was beneficial for cell proliferation and differentiation. The approach presented here is useful for NiTi implants to enhance bone osteointegration and reduce Ni ion release in vitro

  1. Surface Modification of NiTi Alloy via Cathodic Plasma Electrolytic Deposition and its Effect on Ni Ion Release and Osteoblast Behaviors

    Science.gov (United States)

    Yan, Ying; Cai, Kaiyong; Yang, Weihu; Liu, Peng

    2013-07-01

    To reduce Ni ion release and improve biocompatibility of NiTi alloy, the cathodic plasma electrolytic deposition (CPED) technique was used to fabricate ceramic coating onto a NiTi alloy surface. The formation of a coating with a rough and micro-textured surface was confirmed by X-ray diffraction, scanning electron microscopy, and energy-dispersive X-ray spectroscopy, respectively. An inductively coupled plasma mass spectrometry test showed that the formed coating significantly reduced the release of Ni ions from the NiTi alloy in simulated body fluid. The influence of CPED treated NiTi substrates on the biological behaviors of osteoblasts, including cell adhesion, cell viability, and osteogenic differentiation function (alkaline phosphatase), was investigated in vitro. Immunofluorescence staining of nuclei revealed that the CPED treated NiTi alloy was favorable for cell growth. Osteoblasts on CPED modified NiTi alloy showed greater cell viability than those for the native NiTi substrate after 4 and 7 days cultures. More importantly, osteoblasts cultured onto a modified NiTi sample displayed significantly higher differentiation levels of alkaline phosphatase. The results suggested that surface functionalization of NiTi alloy with ceramic coating via the CPED technique was beneficial for cell proliferation and differentiation. The approach presented here is useful for NiTi implants to enhance bone osseointegration and reduce Ni ion release in vitro.

  2. Characterization and Comparison of Photocatalytic Activity Silver Ion doped on TiO2(TiO2/Ag+) and Silver Ion doped on Black TiO2(Black TiO2/Ag+)

    Science.gov (United States)

    Kim, Jin Yi; Sim, Ho Hyung; Song, Sinae; Noh, Yeoung Ah; Lee, Hong Woon; Taik Kim, Hee

    2018-03-01

    Titanium dioxide (TiO2) is one of the representative ceramic materials containing photocatalyst, optic and antibacterial activity. The hydroxyl radical in TiO2 applies to the intensive oxidizing agent, hence TiO2 is suitable to use photocatalytic materials. Black TiO2was prepared through reduction of amorphous TiO2 conducting under H2 which leads to color changes. Its black color is proven that absorbs 100% light across the whole-visible light, drawing enhancement of photocatalytic property. In this study, we aimed to compare the photocatalytic activity of silver ion doped on TiO2(TiO2/Ag+) and silver ion doped on black TiO2(black TiO2/Ag+) under visible light range. TiO2/Ag+ was fabricated following steps. 1) TiO2 was synthesized by a sol-gel method from Titanium tetraisopropoxide (TTIP). 2) Then AgNO3 was added during an aging process step for silver ion doping on the surface of TiO2. Moreover, Black TiO2/Ag+ was obtained same as TiO2/Ag+ except for calcination under H2. The samples were characterized X-ray diffraction (XRD), UV-visible reflectance (UV-vis DRS), and Methylene Blue degradation test. XRD analysis confirmed morphology of TiO2. The band gap of black TiO2/Ag+ was confirmed (2.6 eV) through UV-vis DRS, which was lower than TiO2/Ag+ (2.9 eV). The photocatalytic effect was conducted by methylene blue degradation test. It demonstrated that black TiO2/Ag+ had a photocatalytic effect under UV light also visible light.

  3. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  4. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  5. Designing biocompatible Ti-based metallic glasses for implant applications

    International Nuclear Information System (INIS)

    Calin, Mariana; Gebert, Annett; Ghinea, Andreea Cosmina; Gostin, Petre Flaviu; Abdi, Somayeh; Mickel, Christine; Eckert, Jürgen

    2013-01-01

    Ti-based metallic glasses show high potential for implant applications; they overcome in several crucial respects their well-established biocompatible crystalline counterparts, e.g. improved corrosion properties, higher fracture strength and wear resistance, increased elastic strain range and lower Young's modulus. However, some of the elements required for glass formation (e.g. Cu, Ni) are harmful for the human body. We critically reviewed the biological safety and glass forming tendency in Ti of 27 elements. This can be used as a basis for the future designing of novel amorphous Ti-based implant alloys entirely free of harmful additions. In this paper, two first alloys were developed: Ti 75 Zr 10 Si 15 and Ti 60 Nb 15 Zr 10 Si 15 . The overheating temperature of the melt before casting can be used as the controlling parameter to produce fully amorphous materials or bcc-Ti-phase reinforced metallic glass nano-composites. The beneficial effect of Nb addition on the glass-formation and amorphous phase stability was assessed by X-ray diffraction, transmission electron microscopy and differential scanning calorimetry. Crystallization and mechanical behavior of ribbons are influenced by the amount and distribution of the nano-scaled bcc phase existing in the as-cast state. Their electrochemical stability in Ringer's solution at 310 K was found to be significantly better than that of commercial Ti-based biomaterials; no indication for pitting corrosion was recorded. Highlights: ► Link between biocompatibility and glass-forming ability of alloying additions in Ti ► Selection of Ti–Zr–Si and Ti–Zr–Nb–Si glass-forming alloys ► Two novel glassy alloys were developed: Ti 75 Zr 10 Si 15 and Ti 60 Nb 15 Zr 10 Si 15. ► Glass-formation, thermal stability, corrosion and mechanical behavior were studied. ► Assessing the suitability for orthopedic applications.

  6. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  7. Complex damage distribution behaviour in cobalt implanted rutile TiO2 (1 1 0) lattice

    Science.gov (United States)

    Joshi, Shalik Ram; Padmanabhan, B.; Chanda, Anupama; Ojha, Sunil; Kanjilal, D.; Varma, Shikha

    2017-11-01

    The present work investigates the radiation damage, amorphization and structural modifications that are produced by ion-solid interactions in TiO2 crystals during 200 keV Cobalt ion implantation. RBS/C and GIXRD have been utilized to evaluate the damage in the host lattice as a function of ion fluence. Multiple scattering formalism has been applied to extract the depth dependent damage distributions in TiO2(1 1 0). The results have been compared with the MC simulations performed using SRIM-2013. RBS/C results delineate a buried amorphous layer at a low fluence. Surprisingly, ion induced dynamic activation produces a recovery in this damage at higher fluences. This improvement interestingly occurs only in deep regions (60-300 nm) where a systematic lowering in damage with fluence is observed. Formation of Co-Ti-O phases and generation of stress in TiO2 lattice can also be responsible for this improvement in deep regions. In contrast, surface region (0-60 nm) indicates a gradual increase in damage with fluence. Such a switch in the damage behavior creates a cross point in damage profiles at 60 nm. Surface region is a sink of vacancies whereas deep layers are interstitial rich. However, these regions are far separated from each other resulting in an intermediate (100-150 nm) region with a significant dip (valley) in damage which can be characterized by enhanced recombination of point defects. The damage profiles thus indicate a very complex behavior. MC simulations, however, present very different results. They depict a damage profile that extends to a depth of only 150 nm, which is only about half of the damage- width observed here via RBS/C. Moreover, MC simulations do not indicate presence of any valley like structure in the damage profile. The complex nature of damage distribution observed here via RBS/C may be related to the high ionic nature of the chemical bonds in the TiO2 lattice.

  8. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  9. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  10. Plasma immersion ion implantation (and deposition) inside metallic tubes of different dimensions and configurations

    Science.gov (United States)

    Ueda, M.; Silva, C.; Santos, N. M.; Souza, G. B.

    2017-10-01

    There is a strong need for developing methods to coat or implant ions inside metallic tubes for many practical contemporary applications, both for industry and science. Therefore, stainless steel tubes with practical diameters of 4, 11 and 16 cm, but short lengths of 20 cm, were internally treated by nitrogen plasma immersion ion implantation (PIII). Different configurations as tube with lid in one of the ends or both sides open were tested for better PIII performance, in the case of smallest diameter tube. Among these PIII tests in tubes, using the 4 cm diameter one with a lid, it was possible to achieve tube temperatures of more than 700 °C in 15 min and maintain it during the whole treatment time (typically 2 h). Samples made of different materials were placed at the interior of the tube, as the monitors for posterior analysis, and the tube was solely pulsed by high voltage pulser producing high voltage glow discharge and hollow cathode discharge both driven by a moderate power source. In this experiment, samples of SS 304, pure Ti, Ti6Al4V and Si were used for the tests of the above methods. Results on the analysis of the surface of these nitrogen PIII treated materials, as well as on their processing methods, are presented and discussed in the paper.

  11. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  12. Vacancy identification in Co+ doped rutile TiO2 crystal with positron annihilation spectroscopy

    Science.gov (United States)

    Qin, X. B.; Zhang, P.; Liang, L. H.; Zhao, B. Z.; Yu, R. S.; Wang, B. Y.; Wu, W. M.

    2011-01-01

    Co-doped rutile TiO2 films were synthesized by ion implantation. Variable energy positron annihilation Doppler broadening spectroscopy and coincidence Doppler broadening measurements were performed for identification of the vacancies. A newly formed type of vacancy can be concluded by the S-W plot and the CDB results indicated that the oxygen vacancy (VO) complex Ti-Co-VO and/or Ti-VO are formed with Co ions implantation and the vacancy concentration is increased with increase of dopant dose.

  13. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  14. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  15. Achievement report for fiscal 1998. Research and development of super-functional environment-resistant materials by controlling trace metal ion implantation; 1998 nendo seika hokokusho. Gokubiryo kinzoku ion chunyu seigyo ni yoru chokino taikankyo zairyo no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The aim was to develop reliable materials high in resistance to hostile environments, greatly improved in their resistance to oxidation, abrasion, and corrosion at high temperatures. To achieve the goal, trace quantities of high melting point metals were implanted into the compound formed on the substrate surface or deep into the substrate material. This year's research and development is outlined below. Important technologies in the ion process involved materials which at high temperatures were very resistant to oxidation, abrasion, and corrosion. The high-temperature oxidation resistant material was studied for use with the automobile supercharger rotor. The study on the high-temperature abrasion resistant material involved Ti-N thin coatings: the optimization of coating conditions, slidability at room temperature, and slidability in a high-temperature atmosphere. As for the high-temperature corrosion resistant material, studies were made to use it for refuse-fueled power generation. The TiAl material was also studied: the effect of ion implantation on the improvement of its high-temperature oxidation resisting capability, analysis into oxidized alloy surface properties, ion implantation into complicatedly shaped items and the optimum material for them, and the surface treatment by ion beams for improving resistance to high-temperature corrosion. (NEDO)

  16. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  17. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  18. Defect annealing in Mn/Fe-implanted TiO2 (rutile)

    International Nuclear Information System (INIS)

    Gunnlaugsson, H P; Svane, A; Weyer, G; Mantovan, R; Masenda, H; Naidoo, D; Mølholt, T E; Gislason, H; Ólafsson, S; Johnston, K; Bharuth-Ram, K; Langouche, G

    2014-01-01

    A study of the annealing processes and charge state of dilute Fe in rutile TiO 2 single crystals was performed in the temperature range 143–662 K, utilizing online 57 Fe emission Mössbauer spectroscopy following low concentrations (<10 −3  at%) implantation of 57 Mn (T 1/2  = 1.5 min). Both Fe 3+ and Fe 2+ were detected throughout the temperature range. Three annealing stages were distinguished: (i) a broad annealing stage below room temperature leading to an increased Fe 3+ fraction; (ii) a sharp annealing stage at ∼330 K characterized by conversion of Fe 3+ to Fe 2+ and changes in the hyperfine parameters of Fe 2+ , attributed to the annealing of Ti vacancies in the vicinity of the probe atoms; and (iii) an annealing stage in the temperature range from 550 to 600 K, where all Fe ions are transformed to Fe 3+ , attributed to the annealing of the nearby O vacancies. The dissociation energy of Mn Ti –V O pairs was estimated to be 1.60(15) eV. Fe 2+ is found in an environment where it can probe the lattice structure through the nuclear quadrupole interaction evidencing the extreme radiation hardness of rutile TiO 2 . Fe 3+ is found in a paramagnetic state with slow spin–lattice relaxation which follows a ∼T n temperature dependence with 4.1 < n < 6.3 at T > 350 K. (paper)

  19. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  20. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  1. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  2. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  3. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  4. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  6. Corrosion resistance characteristics of a Ti-6Al-4V alloy scaffold that is fabricated by electron beam melting and selective laser melting for implantation in vivo

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Bingjing; Wang, Hong [Department of Stomatology, General Hospital of the PLA, Beijing (China); Department of Stomatology, The Second Affiliated Stomatological Hospital of Liaoning Medical University (China); Qiao, Ning [College of Materials Science and Engineering, Beijing University of Chemical Technology, Beijing (China); Wang, Chao [School of Medicine, Nankai University, Tianjin 300071 (China); Hu, Min, E-mail: humin48@vip.163.com [Department of Stomatology, General Hospital of the PLA, Beijing (China)

    2017-01-01

    The purpose of this study is to determine the corrosion resistance of Ti-6Al-4V alloy fabricated with electron beam melting and selective laser melting for implantation in vivo. Ti-6Al-4V alloy specimens were fabricated with electron beam melting (EBM) and selective laser melting (SLM). A wrought form of Ti-6Al-4V alloy was used as a control. Surface morphology observation, component analysis, corrosion resistance experimental results, electrochemical impedance spectroscopy, crevice corrosion resistance experimental results, immersion test and metal ions precipitation analysis were processed, respectively. The thermal stability of EBM specimen was the worst, based on the result of open circuit potential (OCP) result. The result of electrochemical impedance spectroscopy indicated that the corrosion resistance of the SLM specimen was the best under the low electric potential. The result of potentiodynamic polarization suggested that the corrosion resistance of the SLM specimen was the best under the low electric potential (< 1.5 V) and EBM specimen was the best under the high electric potential (> 1.5 V).The crevice corrosion resistance of the EBM specimen was the best. The corrosion resistance of SLM specimen was the best, based on the result of immersion test. The content of Ti, Al and V ions of EBM, SLM and wrought specimens was very low. In general, the scaffolds that were fabricated with EBM and SLM had good corrosion resistance, and were suitable for implantation in vivo. - Highlights: • EBM and SLM Ti-6Al-4V alloy have good corrosion resistance, and both of them can be applied in vivo. • SLM Ti-6Al-4V alloy was more suitable for implantation in vivo than that of EBM Ti-6Al-4V alloy. • The crevice corrosion resistance of the EBM specimen is the best. • EBM and SLM specimens can form oxide film.

  7. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  8. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  9. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  10. Mechanical Properties of a Newly Additive Manufactured Implant Material Based on Ti-42Nb

    Directory of Open Access Journals (Sweden)

    Christian Schulze

    2018-01-01

    Full Text Available The application of Ti-6Al-4V alloy or commercially pure titanium for additive manufacturing enables the fabrication of complex structural implants and patient-specific implant geometries. However, the difference in Young’s modulus of α + β-phase Ti alloys compared to the human bone promotes stress-shielding effects in the implant–bone interphase. The aim of the present study is the mechanical characterization of a new pre-alloyed β-phase Ti-42Nb alloy for application in additive manufacturing. The present investigation focuses on the mechanical properties of SLM-printed Ti-42Nb alloy in tensile and compression tests. In addition, the raw Ti-42Nb powder, the microstructure of the specimens prior to and after compression tests, as well as the fracture occurring in tensile tests are characterized by means of the SEM/EDX analysis. The Ti-42Nb raw powder exhibits a dendrite-like Ti-structure, which is melted layer-by-layer into a microstructure with a very homogeneous distribution of Nb and Ti during the SLM process. Tensile tests display Young’s modulus of 60.51 ± 3.92 GPa and an ultimate tensile strength of 683.17 ± 16.67 MPa, whereas, under a compressive load, a compressive strength of 1330.74 ± 53.45 MPa is observed. The combination of high mechanical strength and low elastic modulus makes Ti-42Nb an interesting material for orthopedic and dental implants. The spherical shape of the pre-alloyed material additionally allows for application in metal 3D printing, enabling the fabrication of patient-specific structural implants.

  11. Effect of helium on swelling and microstructural evolution in ion-irradiated V-15Cr-5Ti alloy

    International Nuclear Information System (INIS)

    Loomis, B.A.; Kestel, B.J.; Gerber, S.B.; Ayrault, G.

    1986-03-01

    An investigation was made on the effects of implanted helium on the swelling and microstructural evolution that results from energetic single- and dual-ion irradiation of the V-15Cr-5Ti alloy. Single-ion irradiations were utilized for a simulated production of the irradiation damage that might be expected from neutron irradiation of the alloy in a reactor with a fast neutron energy spectrum (E > 0.1 MeV). Dual-ion irradiations were utilized for a simulated production of the simultaneous creation of helium atoms and irradiation damage in the alloy in the MFR environment. Experimental results are also presented on the radiation-induced segregation of the constituent atoms in the single- and dual-ion irradiated alloy

  12. Design of a nitrogen-implanted titanium-based superelastic alloy with optimized properties for biomedical applications

    International Nuclear Information System (INIS)

    Gordin, D.M.; Busardo, D.; Cimpean, A.; Vasilescu, C.; Höche, D.; Drob, S.I.; Mitran, V.; Cornen, M.; Gloriant, T.

    2013-01-01

    In this study, a superelastic Ni-free Ti-based biomedical alloy was treated in surface by the implantation of nitrogen ions for the first time. The N-implanted surface was characterized by X-ray diffraction, X-ray photoelectron spectroscopy, and secondary ion mass spectroscopy, and the superficial mechanical properties were evaluated by nano-indentation and by ball-on-disk tribological tests. To investigate the biocompatibility, the corrosion resistance of the N-implanted Ti alloy was evaluated in simulated body fluids (SBF) complemented by in-vitro cytocompatibility tests on human fetal osteoblasts. After implantation, surface analysis methods revealed the formation of a titanium-based nitride on the substrate surface. Consequently, an increase in superficial hardness and a significant reduction of friction coefficient were observed compared to the non-implanted sample. Also, a better corrosion resistance and a significant decrease in ion release rates have been obtained. Cell culture experiments indicated that the cytocompatibility of the N-implanted Ti alloy was superior to that of the corresponding non-treated sample. Thus, this new functional N-implanted titanium-based superelastic alloy presents the optimized properties that are required for various medical devices: superelasticity, high superficial mechanical properties, high corrosion resistance and excellent cytocompatibility. - Highlights: • A superelastic Ni-free Ti-based biomedical alloy was treated in surface by implantation of nitrogen ions. • Much higher superficial hardness and wear resistance were obtained. • A clear enhancement of the corrosion resistance in SBF was observed. • In-vitro tests performed on human fetal osteoblasts indicated an excellent level of cytocompatibility

  13. Design of a nitrogen-implanted titanium-based superelastic alloy with optimized properties for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Gordin, D.M. [INSA de Rennes, Laboratoire Chimie-Métallurgie, UMR CNRS 6226 Institut des Sciences Chimiques de Rennes, 20 avenue des Buttes de Coësmes, 35708 Rennes Cedex 7 (France); Busardo, D. [Quertech Ingénierie, 9 rue de la Girafe, 14000 Caen (France); Cimpean, A. [University of Bucharest, Department of Biochemistry and Molecular Biology, Spl. Independentei 91-95, 050095 Bucharest (Romania); Vasilescu, C. [Institute of Physical Chemistry «Ilie Murgulescu» of Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Höche, D. [Institute of Materials Research, Helmholtz-Zentrum Geesthacht -Zentrum für Material- und Küstenforschung GmbH Max-Planck-Straße 1, D-21502 Geesthacht (Germany); Drob, S.I. [Institute of Physical Chemistry «Ilie Murgulescu» of Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Mitran, V. [University of Bucharest, Department of Biochemistry and Molecular Biology, Spl. Independentei 91-95, 050095 Bucharest (Romania); Cornen, M. [INSA de Rennes, Laboratoire Chimie-Métallurgie, UMR CNRS 6226 Institut des Sciences Chimiques de Rennes, 20 avenue des Buttes de Coësmes, 35708 Rennes Cedex 7 (France); Gloriant, T., E-mail: Thierry.Gloriant@insa-rennes.fr [INSA de Rennes, Laboratoire Chimie-Métallurgie, UMR CNRS 6226 Institut des Sciences Chimiques de Rennes, 20 avenue des Buttes de Coësmes, 35708 Rennes Cedex 7 (France)

    2013-10-15

    In this study, a superelastic Ni-free Ti-based biomedical alloy was treated in surface by the implantation of nitrogen ions for the first time. The N-implanted surface was characterized by X-ray diffraction, X-ray photoelectron spectroscopy, and secondary ion mass spectroscopy, and the superficial mechanical properties were evaluated by nano-indentation and by ball-on-disk tribological tests. To investigate the biocompatibility, the corrosion resistance of the N-implanted Ti alloy was evaluated in simulated body fluids (SBF) complemented by in-vitro cytocompatibility tests on human fetal osteoblasts. After implantation, surface analysis methods revealed the formation of a titanium-based nitride on the substrate surface. Consequently, an increase in superficial hardness and a significant reduction of friction coefficient were observed compared to the non-implanted sample. Also, a better corrosion resistance and a significant decrease in ion release rates have been obtained. Cell culture experiments indicated that the cytocompatibility of the N-implanted Ti alloy was superior to that of the corresponding non-treated sample. Thus, this new functional N-implanted titanium-based superelastic alloy presents the optimized properties that are required for various medical devices: superelasticity, high superficial mechanical properties, high corrosion resistance and excellent cytocompatibility. - Highlights: • A superelastic Ni-free Ti-based biomedical alloy was treated in surface by implantation of nitrogen ions. • Much higher superficial hardness and wear resistance were obtained. • A clear enhancement of the corrosion resistance in SBF was observed. • In-vitro tests performed on human fetal osteoblasts indicated an excellent level of cytocompatibility.

  14. Ion irradiation and thermal cycling tests of TiC coatings

    International Nuclear Information System (INIS)

    Yamanaka, S.; Ohara, H.; Son, P.; Miyake, M.

    1984-01-01

    Ion irradiation of TiC coatings prepared by diffusion annealing was performed with 20-40 keV He + ions for different doses at room temperature. The polished TiCsub(0.99) coatings irradiated with 40 keV He + ions showed the surface damage and erosion due to blistering and exfoliation above a dose of 1.8x10 17 ions/cm 2 , whereas no change in the surface morphology could be detected for the as-prepared coatings up to a dose of 1.4x10 18 ions/cm 2 . The results suggested that surface erosion due to blistering can be effectively reduced on the rough surface of the as-prepared TiC coating. The average blister diameter in the polished TiCsub(0.99) coating increased with increasing projectile energy. For the 40 keV He + ion irradiation of the polished TiCsub(0.5) coatings, general features in blisters were similar to those observed for the TiCsub(0.99) coatings, but the critical dose for blistering shifted to a higher value in comparison with the polished TiCsub(0.99) coating. Thermal cycling between 500 and 1200 0 C caused serious surface damage for the TiCsub(0.99) coating irradiated with 40 keV He + ions below the critical dose for blistering, while the coating with surface damage due to blistering showed no significant change in the surface topography after thermal cycling. (orig.)

  15. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  16. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  17. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  18. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  19. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  20. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  1. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  2. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  3. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  4. Microarc oxidation coating covered Ti implants with micro-scale gouges formed by a multi-step treatment for improving osseointegration.

    Science.gov (United States)

    Bai, Yixin; Zhou, Rui; Cao, Jianyun; Wei, Daqing; Du, Qing; Li, Baoqiang; Wang, Yaming; Jia, Dechang; Zhou, Yu

    2017-07-01

    The sub-microporous microarc oxidation (MAO) coating covered Ti implant with micro-scale gouges has been fabricated via a multi-step MAO process to overcome the compromised bone-implant integration. The as-prepared implant has been further mediated by post-heat treatment to compare the effects of -OH functional group and the nano-scale orange peel-like morphology on osseointegration. The bone regeneration, bone-implant contact interface, and biomechanical push-out force of the modified Ti implant have been discussed thoroughly in this work. The greatly improved push-out force for the MAO coated Ti implants with micro-scale gouges could be attributed to the excellent mechanical interlocking effect between implants and biologically meshed bone tissues. Attributed to the -OH functional group which promotes synostosis between the biologically meshed bone and the gouge surface of implant, the multi-step MAO process could be an effective strategy to improve the osseointegration of Ti implant. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  6. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  7. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  8. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  9. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  10. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  11. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  12. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  13. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  14. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  15. Designing, preparing and evaluation of novel HA/Ti composite coating for endodontic dental implant

    Directory of Open Access Journals (Sweden)

    Fathi MH.

    2002-08-01

    Full Text Available Nowadays, application of implants as a new method for replacing extracted teeth have been improved. So, many researches have been performed for improving the characteristics of implants. The aim of this study was to design and produce a desired coating in order to obtaining two goals including; improvement of the corrosion behavior of metallic endodontic implant and the bone osseointegration simultaneously. Stainless steel 316L (SS, cobalt-chromium alloy (Vit and commercial pure titanium (cpTi were chosen as metallic substrates and hydroxyapatite coating (HAC were performed by plasma-spraying (PS process on three different substrates. A novel double layer Hydroxyapatite/Titanium (HA/Ti composite coating composed of a HA top layer and a Ti under layer was prepared using PS and physical vapor deposition (PVD process respectively on SS. Structural characterization techniques including XRD, SEM and EDX were utilized to investigate the microstructure, morpholgy and crystallinity of the coatings. Electrochemical potentiodynamic tests were performed in physiological solutions in order to determine and compare the corrosion behavior of the coated and uncoated specimens behavior as an indication of biocmpatibility. Results indicated that the cpTi possesses the highest and SS the lowest corrosion resistance (highest corrosion current density between uncoated substrates. This trend was independent to the type of physiological environment. The HA coating decreased the corrosion current density of HA coated metallic implants but did not change that trend. HAC acted as a mechanical barrier on the metallic substrate but could not prevent the interaction between metallic substrate and environment completely. The HA/Ti composite coating improved the corrosion behavior of SS. The corrosion current density of HA/Ti coated SS decreased and was exactly similar to single HA coated cpTi in physiological solutions. The results indicated that HA/Ti composite coated SS

  16. Mechanical properties and microstructure of Fe alloys implanted with Ti and C

    International Nuclear Information System (INIS)

    Follstaedt, D.M.

    1983-01-01

    Steels implanted with Ti and C have reduced friction coefficients and wear depths. All evidence indicates that the reduced friction and wear are the direct result of the surface amorphous layer produced by the implantation. 6 figures, 2 tables

  17. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  18. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  19. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  20. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  1. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  2. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  3. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  4. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  5. Quantification of ion or atom transfer phenomena in materials implanted by nuclear methods

    International Nuclear Information System (INIS)

    Oudadesse, Hassane

    1998-01-01

    Knowledge of transfer of the constituents of a system from regions of higher to lower concentration is of interest for implanted bio-materials. It allows determining the rate at which this material is integrated in a living material. To evaluate the ossification kinetics and to study the bio-functionality in corals of Ca and Sr, irradiations with a 10 13 n.cm -2 .s -1 was performed, followed by the examination of changes in the localization of these elements. By using PIXE analysis method the distribution of Ca, P, Sr, Zn and Fe in the implant, bone and bone-implant interfaces were determined. Thus, it was shown that resorption of coral in sheep is achieved in 5 months after implantation and is identical to the cortical tissues 4 months after implantation in animals as for instance in hares. We have analyzed the tissues from around the prostheses extracted from patients. The samples were calcined and reduced to powder weighting some milligrams. We have adopted for this study the PIXE analysis method. The samples were irradiated by a proton beam of 3 MeV and about 400 μm diameter. The results show the presence of the elements Ti, Fe, Cr, Ni or Zn according to the type of the implanted prosthesis. This dispersal of the metallic ions and atoms contaminate the tissues. The transfer factors translate the exchanges between bone and the implanted material. The solvatation phenomenon and the electric charge equilibrium explain the transfer order of cations Mg 2+ , Ca 2+ and Sr 2+ and of the anion PO 4 3- . We have also determined these factors for the elements Ti, Cr and Ni. An original technique to study the bone bio-functionality was used. Use of phosphate derivatives labelled by 99m Tc allows obtaining information about the fixation of radioactive tracer. It was found that only after the eighth month at the implantation the neo-formed bone fixes the MDP (methyl diphosphate) labelled by 99m Tc in a similar way as in the control sample. Starting from this moment the

  6. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  7. In vitro and in vivo studies of ultrafine-grain Ti as dental implant material processed by ECAP

    Energy Technology Data Exchange (ETDEWEB)

    An, Baili; Li, Zhirui; Diao, Xiaoou [State Key Laboratory of Military Stomatology, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); National Clinical Research Center for Oral Diseases, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); Shannxi Key Laboratory of Oral Diseases, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); Xin, Haitao, E-mail: xhthmj@fmmu.edu.cn [State Key Laboratory of Military Stomatology, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); National Clinical Research Center for Oral Diseases, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); Shannxi Key Laboratory of Oral Diseases, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); Zhang, Qiang; Jia, Xiaorui; Wu, Yulu; Li, Kai [State Key Laboratory of Military Stomatology, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); National Clinical Research Center for Oral Diseases, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); Shannxi Key Laboratory of Oral Diseases, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi' an 710032 (China); Guo, Yazhou [School of Aeronautics, Northwestern Polytechnical University, Xi' an 710032 (China)

    2016-10-01

    The aim of this study was to investigate the surface characterization of ultrafine-grain pure titanium (UFG-Ti) after sandblasting and acid-etching (SLA) and to evaluate its biocompatibility as dental implant material in vitro and in vivo. UFG-Ti was produced by equal channel angular pressing (ECAP) using commercially pure titanium (CP-Ti). Microstructure and yield strength were investigated. The morphology, wettability and roughness of the specimens were analyzed after they were modified by SLA. MC3T3-E1 osteoblasts were seeded onto the specimens to evaluate its biocompatibility in vitro. For the in vivo study, UFG-Ti implants after SLA were embedded into the femurs of New Zealand rabbits. Osseointegration was investigated though micro-CT analysis, histological assessment and pull-out test. The control group was CP-Ti. UFG-Ti with enhanced mechanical properties was produced by four passes of ECAP in B{sub C} route at room temperature. After SLA modification, the hierarchical porous structure on its surface exhibited excellent wettability. The adhesion, proliferation and viability of cells cultured on the UFG-Ti were superior to that of CP-Ti. In the in vivo study, favorable osseointegration occurred between the implant and bone in CP and UFG-Ti groups. The combination intensity of UF- Ti with bone was higher according to the pull-out test. This study supports the claim that UFG-Ti has grain refinement with outstanding mechanical properties and, with its excellent biocompatibility, has potential for use as dental implant material. - Highlights: • Yield strength and Vickers hardness of Ti are improved significantly after it is grain-refined by ECAP process. • The hierarchical micro-porous structure with superior wettability could be formed on the surface of ECAP Ti after SLA. • The results in vitro exhibited excellent cell biocompatibility of UFG-Ti after sandblasting and acid-etching. • The osseointegration between UFG-Ti implant and surrounding bone could

  8. In vitro and in vivo studies of ultrafine-grain Ti as dental implant material processed by ECAP

    International Nuclear Information System (INIS)

    An, Baili; Li, Zhirui; Diao, Xiaoou; Xin, Haitao; Zhang, Qiang; Jia, Xiaorui; Wu, Yulu; Li, Kai; Guo, Yazhou

    2016-01-01

    The aim of this study was to investigate the surface characterization of ultrafine-grain pure titanium (UFG-Ti) after sandblasting and acid-etching (SLA) and to evaluate its biocompatibility as dental implant material in vitro and in vivo. UFG-Ti was produced by equal channel angular pressing (ECAP) using commercially pure titanium (CP-Ti). Microstructure and yield strength were investigated. The morphology, wettability and roughness of the specimens were analyzed after they were modified by SLA. MC3T3-E1 osteoblasts were seeded onto the specimens to evaluate its biocompatibility in vitro. For the in vivo study, UFG-Ti implants after SLA were embedded into the femurs of New Zealand rabbits. Osseointegration was investigated though micro-CT analysis, histological assessment and pull-out test. The control group was CP-Ti. UFG-Ti with enhanced mechanical properties was produced by four passes of ECAP in B_C route at room temperature. After SLA modification, the hierarchical porous structure on its surface exhibited excellent wettability. The adhesion, proliferation and viability of cells cultured on the UFG-Ti were superior to that of CP-Ti. In the in vivo study, favorable osseointegration occurred between the implant and bone in CP and UFG-Ti groups. The combination intensity of UF- Ti with bone was higher according to the pull-out test. This study supports the claim that UFG-Ti has grain refinement with outstanding mechanical properties and, with its excellent biocompatibility, has potential for use as dental implant material. - Highlights: • Yield strength and Vickers hardness of Ti are improved significantly after it is grain-refined by ECAP process. • The hierarchical micro-porous structure with superior wettability could be formed on the surface of ECAP Ti after SLA. • The results in vitro exhibited excellent cell biocompatibility of UFG-Ti after sandblasting and acid-etching. • The osseointegration between UFG-Ti implant and surrounding bone could be

  9. Material characteristic of Ti alloy (Ti-6Al-4V)

    International Nuclear Information System (INIS)

    Toyoshima, Noboru

    1997-03-01

    In regard to material characteristic of Ti alloy (Ti-6Al-4V), the following matters are provided by experiments. 1) In high temperature permeation behavior of implanted deuterium ion (0.5keV, 6.4 x 10 18 D + ions/m 2 s, ∼760deg K), the ratio of permeation flux to incident flux ranges from 3.3 x 10 -3 at 633deg K to 4.8 x 10 -3 at 753deg K. The activation energy of permeation is 0.12eV in this temperature region above 600deg K. At temperatures below 600deg K, the permeation flux of deuterium decreases drastically and the implanted ions remain in the alloy. 2) Radioactivation analysis using 14MeV fast neutron shows that Ti-6Al-4V alloy contains higher values of principal ingredients, Al, V, Fe, than that recorded at the chemical composition of Ti alloy, and also, contains impurities with Ni, Co and Mn. 3) Fraction of about 0.095wt% H 2 were absorbed in the test specimens, and tensile strength test was carried out. Under the condition of the hydrogen pressure 50 torr and temperature ∼500degC. The results show that there is no degradation in mechanical properties for absorption of with less than 0.04wt% H 2 . The tensile strength of wilding specimens have almost the same as that without wilding. Ti alloy, as a material of vacuum vessel of nuclear fusion device, must be selected to that with less impurities, particularly Co, by radioactivation analysis, and must be used under the temperature of 200-300degC, where hydrogen absorption does not make too progress. It is considered that Ti alloy can be used with less than 0.04wt% H 2 absorption in viewpoint of material mechanical strength. (author)

  10. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  11. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  12. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  13. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  14. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  15. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  16. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  17. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  18. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  19. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  20. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  1. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  2. Rutile TiO2 nanorod arrays directly grown on Ti foil substrates towards lithium-ion micro-batteries

    International Nuclear Information System (INIS)

    Dong Shanmu; Wang Haibo; Gu Lin; Zhou Xinhong; Liu Zhihong; Han Pengxian; Wang Ya; Chen Xiao; Cui Guanglei; Chen Liquan

    2011-01-01

    Nanosized rutile TiO 2 is one of the most promising candidates for anode material in lithium-ion micro-batteries owing to their smaller dimension in ab-plane resulting in an enhanced performance for area capacity. However, few reports have yet emerged up to date of rutile TiO 2 nanorod arrays growing along c-axis for Li-ion battery electrode application. In this study, single-crystalline rutile TiO 2 nanorod arrays growing directly on Ti foil substrates have been fabricated using a template-free method. These nanorods can significantly improve the electrochemical performance of rutile TiO 2 in Li-ion batteries. The capacity increase is about 10 times in comparison with rutile TiO 2 compact layer.

  3. Hydrothermal treatment for TiN as abrasion resistant dental implant coating and its fibroblast response

    International Nuclear Information System (INIS)

    Shi, Xingling; Xu, Lingli; Munar, Melvin L.; Ishikawa, Kunio

    2015-01-01

    Dental implant made of pure titanium (Ti) is prone to scratch and abrasion during routine oral hygiene procedures. This results an increase in surface roughness and therefore, facilitates the adhesion of bacteria. In severe cases, this could lead to peri-implantitis. To overcome this problem, surface modification of Ti is necessary to improve its abrasion resistance. Besides, a strong implant–gingiva interface should also be guaranteed to prevent the adhesion of bacteria. In this study, titanium nitride (TiN) coating was first prepared with gas nitriding to increase surface hardness of pure the substrate. Then, the TiN was hydrothermally treated in CaCl 2 solution in order to improve its soft tissue biocompatibility. The effect of hydrothermal treatment temperature on surface properties of TiN was investigated and its biocompatibility was assessed in vitro using NIH3T3 fibroblast cell. It was determined that 120 °C was the critical temperature for the hydrothermal treatment condition. Treatment below 120 °C could incorporate Ca into TiN surface, oxidize TiN surface partially and then improve the wettability while preserving its morphology and hardness. Fibroblast cell attachment and proliferation were improved and cell spreading was enhanced on hydrothermally treated specimens compared with untreated ones. Improved wettability, Ca incorporation and negative surface due to interstitial N were believed to be the main reasons. Hydrothermal treatment is expected to make TiN a promising dental implant coating with excellent abrasion resistance and good soft tissue affinity. - Highlights: • Ca was incorporated into TiN surface while not sacrificing its hardness. • Interstitial N due to oxidation promoted Ca adsorption and cell adhesion. • Hydrothermal treatment makes TiN a promising coating for dental implant

  4. Hydrothermal treatment for TiN as abrasion resistant dental implant coating and its fibroblast response

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Xingling, E-mail: shixingling1985@hotmail.com [School of Material Science and Engineering, Jiangsu University of Science and Technology, Zhenjiang 212003 (China); Department of Biomaterials, Faculty of Dental Science, Kyushu University, Fukuoka 812-8582 (Japan); Jiangsu Provincial Key Laboratory for Interventional Medical Devices, Huaiyin Institute of Technology, Huaian 223003 (China); Xu, Lingli [School of Material Science and Engineering, Jiangsu University of Science and Technology, Zhenjiang 212003 (China); Munar, Melvin L.; Ishikawa, Kunio [Department of Biomaterials, Faculty of Dental Science, Kyushu University, Fukuoka 812-8582 (Japan)

    2015-04-01

    Dental implant made of pure titanium (Ti) is prone to scratch and abrasion during routine oral hygiene procedures. This results an increase in surface roughness and therefore, facilitates the adhesion of bacteria. In severe cases, this could lead to peri-implantitis. To overcome this problem, surface modification of Ti is necessary to improve its abrasion resistance. Besides, a strong implant–gingiva interface should also be guaranteed to prevent the adhesion of bacteria. In this study, titanium nitride (TiN) coating was first prepared with gas nitriding to increase surface hardness of pure the substrate. Then, the TiN was hydrothermally treated in CaCl{sub 2} solution in order to improve its soft tissue biocompatibility. The effect of hydrothermal treatment temperature on surface properties of TiN was investigated and its biocompatibility was assessed in vitro using NIH3T3 fibroblast cell. It was determined that 120 °C was the critical temperature for the hydrothermal treatment condition. Treatment below 120 °C could incorporate Ca into TiN surface, oxidize TiN surface partially and then improve the wettability while preserving its morphology and hardness. Fibroblast cell attachment and proliferation were improved and cell spreading was enhanced on hydrothermally treated specimens compared with untreated ones. Improved wettability, Ca incorporation and negative surface due to interstitial N were believed to be the main reasons. Hydrothermal treatment is expected to make TiN a promising dental implant coating with excellent abrasion resistance and good soft tissue affinity. - Highlights: • Ca was incorporated into TiN surface while not sacrificing its hardness. • Interstitial N due to oxidation promoted Ca adsorption and cell adhesion. • Hydrothermal treatment makes TiN a promising coating for dental implant.

  5. Production of amorphous alloys by ion implantation

    International Nuclear Information System (INIS)

    Grant, W.A.; Chadderton, L.T.; Johnson, E.

    1978-01-01

    Recent data are reported on the use of ion implantation to produce amorphous metallic alloys. In particular data on the dose dependence of the crystalline to amorphous transition induced by P + implantation of nickel is presented. (Auth.)

  6. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  7. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  8. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  9. Target-ion source unit ionization efficiency measurement by method of stable ion beam implantation

    CERN Document Server

    Panteleev, V.N; Fedorov, D.V; Moroz, F.V; Orlov, S.Yu; Volkov, Yu.M

    The ionization efficiency is one of the most important parameters of an on-line used target-ion source system exploited for production of exotic radioactive beams. The ionization efficiency value determination as a characteristic of a target-ion source unit in the stage of its normalizing before on-line use is a very important step in the course of the preparation for an on-line experiment. At the IRIS facility (Petersburg Nuclear Physics Institute, Gatchina) a reliable and rather precise method of the target-ion source unit ionization efficiency measurement by the method of stable beam implantation has been developed. The method worked out exploits an off-line mass-separator for the implantation of the ion beams of selected stable isotopes of different elements into a tantalum foil placed inside the Faraday cup in the focal plane of the mass-separator. The amount of implanted ions has been measured with a high accuracy by the current integrator connected to the Faraday cup. After the implantation of needed a...

  10. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  11. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  12. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  13. Chemical Bonding States of TiC Films before and after Hydrogen Ion Irradiation

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    TiC films deposited by rf magnetron sputtering followed by Ar+ ion bombardment were irradiated with a hydrogen ion beam. X-ray photoelectron spectroscopy (XPS) was used for characterization of the chemical bonding states of C and Ti elements of the TiC films before and after hydrogen ion irradiation, in order to understand the effect of hydrogen ion irradiation on the films and to study the mechanism of hydrogen resistance of TiC films. Conclusions can be drawn that ion bombardment at moderate energy can cause preferential physical sputtering of carbon atoms from the surface of low atomic number (Z) material. This means that ion beam bombardment leads to the formation of a non-stoichiometric composition of TiC on the surface.TiC films prepared by ion beam mixing have the more excellent characteristic of hydrogen resistance. One important cause, in addition to TiC itself, is that there are many vacant sites in TiC created by ion beam mixing.These defects can easily trap hydrogen and effectively enhance the effect of hydrogen resistance.

  14. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  15. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  16. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  17. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  18. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  19. A study on mutagenic effects of antibiotic-producers by ion implantation

    International Nuclear Information System (INIS)

    Xie Liqing; Zhang Yinfen; Chen Ruyi; Zhou Ruiying; Zhang Peiling; Ying Hengfeng; Yang Guorong; Yang Guifang

    1995-01-01

    Mutagenic effects of Streptomyces ribosidificus, Streptomyces kanamyceticus and the phage-resistant culture of Streptomyces kanamyceticus induced by N + and C + ion implantation with different doses have been investigated. The experimental results show that the death rates of antibiotic-producers increase with the increase of ion implantation dose, and the form mutation of the antibiotic-producers is rather obvious. After N + ion implantation, the titer units increase by 10%-25%, 5.2%-12.1% and 2.1%-12.75% for the above three strains respectively; while after C + ion implantation the titer units increase by 10%-16.9%, 1.05%-3.08% and 5%-20% respectively. The selected strains of Micromonospora echimospoora and Streptomyces kanamyceticus after N + ion implantation have been used in the factory. The increase of production is 20% and 12.5% respectively and marked economic benefits are obtained

  20. Fatigue behavior of Ti-6Al-4V alloy modified by plasma immersion ion implantation: temperature effect.

    Directory of Open Access Journals (Sweden)

    Velloso Verônica

    2018-01-01

    Full Text Available This research studied Ti-6Al-4V alloy behavior with two (2 different microstructure subjected to nitrogen addition by PIII treatment, with and without sample heating, under cyclic load. PIII conditions, at 390 °C, were DC voltage of 9.5 kV, frequency of 1.5 kHz and pulse of 40 μs. PIII conditions, with sample heating at 800 °C, were 7 kV, 0.4 kHz and 30 μs. Axial fatigue tests were performed on untreated and treated samples for resistance to fatigue comparison. The untreated Ti-6Al-4V had an annealed microstructure, PIII treatment at 390 °C resulted in a microstructure that has no nitride layer or diffusion zone. In the PIII treatment at 800 °C, the microstructure presented nitride layer and diffusion zone. Resistance to fatigue decreased with PIII treatments in both temperatures. At 390 °C, the treatment created deformation regions and cracks on surface due to nitrogen implantation that formed solid solution with titanium and imposed lattice strains on the crystal lattice. At 800 °C, bulk ductility decrease, increasing of αTi proportion in microstructure due to α case formation and the presence of a ceramic layer dropped fatigue resistance of Ti-6A-4V alloy.

  1. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  2. Dual-ion implantation into GaAs

    International Nuclear Information System (INIS)

    Sealy, B.J.; Bell, E.C.; Surridge, R.K.; Stephens, K.G.; Ambridge, T.; Heckingbottom, R.

    1976-01-01

    A variety of dual implants have been carried out to test the theory of Ambridge and Heckingbottom (Ambridge, T. and Heckingbottom, R., 1973, Radiat. Effects, vol. 17, 31). After annealing at 700 0 C or 750 0 C a significant enhancement of electrical activity compared with single-ion implants has been obtained for (Ga + Se) and (Sn + Se) implants but the degree of enhancement is dose dependent. The results imply that the dual implantation process is more complex than predicted by the theory and the electrical activity measured seems to be dominated by residual, compensating damage. (author)

  3. Improved microstructure and properties of 12Cr2Ni4A alloy steel by vacuum carburization and Ti + N co-implantation

    Science.gov (United States)

    Dong, Meiling; Cui, Xiufang; Jin, Guo; Wang, Haidou; Cai, Zhaobing; Song, Shengqiang

    2018-05-01

    The carburized 12Cr2Ni4A alloy steel was implanted by Ti + N double elements implantation. The microstructure, nano-hardness and corrosion properties were investigated by EPMA, TEM, XPS, nano-hardness and electrochemistry tests in detail. The results showed that the Ti + N co-implanted layer is composed of FCC TiN and TiC phases with BCC martensite. Compared with the un-implanted layer, the Ti + N implanted layer has higher nano-hardness and better corrosion resistance. In addition, the higher nano-hardness was presented below the surface of 1800 nm compared with un-implanted layer, which is far beyond the thickness of the implanted layer. The results also indicated that the generation of nanoscale ceramic phase and structures are not the only factor to impose the influence on the nano-hardness and corrosion resistance, but the radiation damage and lattice distortion will play an important role.

  4. Corrosion behaviour of pure iron implanted with Pd ion beam

    International Nuclear Information System (INIS)

    Sang, J.M.; Lin, W.L.; Wu, Z.D.; Wang, H.S.

    1999-01-01

    The corrosion behavior of pure iron implanted with Pd ions up to doses in the range 1x10 16 -1x10 18 ions/cm 2 at an extracting voltage 45kV by using MEVVA source ion implanter has been investigated. The concentration profiles and valence states of elements at the near surface of Pd implanted iron specimens were analyzed by AES and XPS respectively. The Anodic dissolution process of Pd implanted pure iron was measured by means of potentiokinetic sweep in a 0.5 mol/1 NaAc/Hac buffer solution with pH5.0. The open circuit corrosion potential as a function of immersion time was used to evaluate the corrosion resistance of Pd implanted iron specimens. The experimental results show that Pd ion implantation decreases the critical passive current of iron and maintains a better passivity in acetate buffer solution with pH5.0. It is interesting that the active corrosion rate of Pd implanted iron is even higher than that of unimplanted one, when the oxide layer on the surface of iron has been damaged. (author)

  5. Magnetoreflection studies of ion implanted bismuth

    International Nuclear Information System (INIS)

    Nicolini, C.; Chieu, T.C.; Dresselhaus, M.S.; Massachusetts Inst. of Tech., Cambridge; Dresselhaus, G.

    1982-01-01

    The effect of the implantation of Sb ions on the electronic structure of the semimetal bismuth is studied by the magnetoreflection technique. The results show long electronic mean free paths and large implantation-induced increases in the band overlap and L-point band gap. These effects are opposite to those observed for Bi chemically doped with Sb. (author)

  6. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  7. Effect of surface modification by nitrogen ion implantation on the electrochemical and cellular behaviors of super-elastic NiTi shape memory alloy.

    Science.gov (United States)

    Maleki-Ghaleh, H; Khalil-Allafi, J; Sadeghpour-Motlagh, M; Shakeri, M S; Masoudfar, S; Farrokhi, A; Beygi Khosrowshahi, Y; Nadernezhad, A; Siadati, M H; Javidi, M; Shakiba, M; Aghaie, E

    2014-12-01

    The aim of this investigation was to enhance the biological behavior of NiTi shape memory alloy while preserving its super-elastic behavior in order to facilitate its compatibility for application in human body. The surfaces of NiTi samples were bombarded by three different nitrogen doses. Small-angle X-ray diffraction was employed for evaluating the generated phases on the bombarded surfaces. The electrochemical behaviors of the bare and surface-modified NiTi samples were studied in simulated body fluid (SBF) using electrochemical impedance and potentio-dynamic polarization tests. Ni ion release during a 2-month period of service in the SBF environment was evaluated using atomic absorption spectrometry. The cellular behavior of nitrogen-modified samples was studied using fibroblast cells. Furthermore, the effect of surface modification on super-elasticity was investigated by tensile test. The results showed the improvement of both corrosion and biological behaviors of the modified NiTi samples. However, no significant change in the super-elasticity was observed. Samples modified at 1.4E18 ion cm(-2) showed the highest corrosion resistance and the lowest Ni ion release.

  8. H and D implantation transforms Ti, Zr and Hf into good superconductors

    International Nuclear Information System (INIS)

    Meyer, J.D.; Stritzker, B.

    1981-01-01

    The elements Ti, Zr, and Hf from group IVB with superconducting transition temperatures of Tsub(c) = 0.4, 0.6 and 0.13 K, respectively, were implanted at liquid helium temperature with hydrogen, deuterium and helium. The He implantations were performed to simulate the Tsub(c) enhancement due to lattice disorder introduced during the implantation. In this case, only Zr showed a Tsub(c) increase above the measuring limit of 1 K. On the other hand, the implantation of H and D will change the electronic properties of the materials in addition to lattice damage. Indeed all H and D implantations lead to a substantial increase of Tsub(c). For example, a transition temperature of 4.65 K was achieved in D implanted Zr at a concentration of D/Zr = 0.13. Whereas a pronounced inverse isotope effects was observed for H(D) implanted Zr and Hf, H and D implanted Ti had essentially the same Tsub(c) of 4.9 K. Based on the similarity of most of these results to the Pd-H(D) system [1], similar mechanisms are proposed to explain the experimental observation, i.e: (1) the electron-phonon coupling is enhanced due to coupling to the protons (deuterons) and/or to the optic phonon modes; (2) anharmonic effects are responsible for the inverse isotope effect. (orig.)

  9. Biocorrosion studies of TiO2 nanoparticle-coated Ti-6Al-4V implant in simulated biofluids

    International Nuclear Information System (INIS)

    Zaveri, Nikita; McEwen, Gerald D.; Karpagavalli, Ramji; Zhou Anhong

    2010-01-01

    The corrosion behaviors of the TiO 2 nanoparticles coated bioimplant Ti-6Al-4V exposed to three different simulated biofluids (SBF), namely, (1) NaCl solution, (2) Hank's solution, and (3) Cigada solution, were studied by using micro-Raman spectroscopy, electrochemical techniques, and scanning electron microscopy (SEM) with energy dispersive X-ray spectroscopy (EDS). The different electrochemical impedance spectroscopy models were applied to fit the data obtained from the implants before and after the coating of TiO 2 nanoparticles (50-100 nm). It was found that the TiO 2 nanoparticle coatings increased the thickness of the pre-existing oxide layer on the Ti-6Al-4V surface, serving to improve the bioimplant corrosion resistance.

  10. Biocorrosion studies of TiO2 nanoparticle-coated Ti-6Al-4V implant in simulated biofluids

    Science.gov (United States)

    Zaveri, Nikita; McEwen, Gerald D.; Karpagavalli, Ramji; Zhou, Anhong

    2010-06-01

    The corrosion behaviors of the TiO2 nanoparticles coated bioimplant Ti-6Al-4V exposed to three different simulated biofluids (SBF), namely, (1) NaCl solution, (2) Hank's solution, and (3) Cigada solution, were studied by using micro-Raman spectroscopy, electrochemical techniques, and scanning electron microscopy (SEM) with energy dispersive X-ray spectroscopy (EDS). The different electrochemical impedance spectroscopy models were applied to fit the data obtained from the implants before and after the coating of TiO2 nanoparticles (50-100 nm). It was found that the TiO2 nanoparticle coatings increased the thickness of the pre-existing oxide layer on the Ti-6Al-4V surface, serving to improve the bioimplant corrosion resistance.

  11. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  12. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  13. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  14. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  15. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  16. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  17. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  18. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  19. Oxygen and sodium plasma-implanted nickel-titanium shape memory alloy: A novel method to promote hydroxyapatite formation and suppress nickel leaching

    International Nuclear Information System (INIS)

    Chan, Y.L.; Yeung, K.W.K.; Lu, W.W.; Ngan, A.H.W.; Luk, K.D.K.; Chan, D.; Wu, S.L.; Liu, X.M.; Chu, Paul K.; Cheung, K.M.C.

    2007-01-01

    This study aims at modifying the surface bioactivity of NiTi by sodium and oxygen plasma immersion ion implantation (PIII). Sodium ions were implanted into oxygen plasma-implanted NiTi and untreated NiTi. X-ray photoelectron spectroscopy (XPS) revealed that more sodium was implanted into the oxygen pre-implanted sample in comparison with the untreated surface. Scanning electron microscopy (SEM) coupled with energy dispersive X-ray analysis (EDX) detected calcium and phosphorus rich deposits on both samples after immersion in simulated body fluids for 7 and 21 days. Inductively-coupled plasma mass spectrometry (ICPMS) conducted on the deposits dissolved in diluted hydrochloric acid showed more calcium on the oxygen PIII samples. The improved corrosion resistance of the oxygen PIII NiTi was retained after sodium PIII as evaluated by potentiodynamic polarization tests. Better spreading and proliferation of osteoblasts were also observed on the treated samples

  20. PIIID-formed (Ti, O)/Ti, (Ti, N)/Ti and (Ti, O, N)/Ti coatings on NiTi shape memory alloy for medical applications

    Energy Technology Data Exchange (ETDEWEB)

    Sun Tao, E-mail: taosun@hotmail.com.hk [Department of Mechanical Engineering, University of Hong Kong, Pokfulam Road (Hong Kong); Institute of Microelectronics, Agency for Science, Technology and Research (A-STAR) (Singapore); Wang Langping, E-mail: aplpwang@hit.edu.cn [State Key Lab of Advanced Welding and Joining, Harbin Institute of Technology (China); Wang Min; Tong Howang [Department of Mechanical Engineering, University of Hong Kong, Pokfulam Road (Hong Kong); Lu, William W. [Department of Orthopedics and Traumatology, University of Hong Kong, Sassoon Road (Hong Kong)

    2012-08-01

    (Ti, O)/Ti, (Ti, N)/Ti and (Ti, O, N)/Ti composite coatings were fabricated on NiTi shape memory alloy via plasma immersion ion implantation and deposition (PIIID). Surface morphology of samples was investigated using atomic force microscopy (AFM) and scanning electron microscopy (SEM). Cross-sectional morphology indicated that the PIIID-formed coatings were dense and uniform. X-ray diffraction (XRD) was used to characterize the phase composition of samples. X-ray photoelectron spectroscopy (XPS) results showed that the surface of coated NiTi SMA samples was Ni-free. Nanoindentation measurements and pin-on-disc tests were carried out to evaluate mechanical properties and wear resistance of coated NiTi SMA, respectively. For the in vitro biological assessment of the composite coatings in terms of cell morphology and cell viability, osteoblast-like SaOS-2 cells and breast cancer MCF-7 cells were cultured on NiTi SMA samples, respectively. SaOS-2 cells attached and spread better on coated NiTi SMA. Viability of MCF-7 cells showed that the PIIID-formed composite coatings were noncytotoxic and coated samples were more biocompatible than uncoated samples. - Highlights: Black-Right-Pointing-Pointer PIIID-formed coatings were fabricated on NiTi SMA to improve its biocompatibility. Black-Right-Pointing-Pointer Microstructure, mechanical properties and biocompatibility of coatings were investigated. Black-Right-Pointing-Pointer All PIIID-formed composite coatings were noncytotoxic and cytocompatible.

  1. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  2. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  3. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  4. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  5. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  6. RIKEN 200 kV high current implanter for metal surface modification

    International Nuclear Information System (INIS)

    Iwaki, M.; Yoshida, K.; Sakudo, N.

    1985-01-01

    A high current, metal ion implanter was constructed in order to aid the formation of a new metastable surface alloy. This implanter, called a RIKEN 200 kV high current implanter, is a modified Lintott high current machine (Series III), which has the advantages of having its own microwave ion source and an extra target chamber. The microwave discharge ion source without a hot-filament has a comparatively long lifetime because the chloride ions and radicals in a plasma during discharge of metal chlorides might prevent metal to deposit on the inner walls of the discharge chamber by bombarding and chemically cleaning them. An extra target chamber for metal modification is able to control the surface composition by utilizing the sputtering effect of the ion beam during ion implantation. The use of this ion source and the extra target chamber is suggested to be suitable for the production of metallic ions and for the implantation into metals. The case study will be introduced for TI implantation into Fe. (orig.)

  7. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  8. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  9. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  10. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  11. Ion implantation for manufacturing bent and periodically bent crystals

    Energy Technology Data Exchange (ETDEWEB)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it; Mazzolari, Andrea; Paternò, Gianfranco [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat 1/c, 44122 Ferrara, Italy and INFN, Section of Ferrara (Italy); Mattei, Giovanni, E-mail: giovanni.mattei@unipd.it; Scian, Carlo [Department of Physics and Astronomy Galileo Galilei, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Lanzoni, Luca [Dipertimento di Economia e Tecnologia, Università degli Studi della Repubblica di San Marino, Salita alla Rocca, 44, 47890 San Marino Città (San Marino)

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to produce X-ray beams.

  12. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  13. Improved biological performance of low modulus Ti-24Nb-4Zr-7.9Sn implants due to surface modification by anodic oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Y. [School of Stomatology, Fourth Military Medical University, Xi' an 710032 (China); Gao, B., E-mail: gaobo_fmmu@163.com [School of Stomatology, Fourth Military Medical University, Xi' an 710032 (China); Wang, R. [Fengtai Health Center of Navy Outpatient Department, Beijing 100071 (China); Wu, J.; Zhang, L.J. [School of Stomatology, Fourth Military Medical University, Xi' an 710032 (China); Hao, Y.L.; Tao, X.J. [Institute of Metal Research Chinese Academy of Sciences, Shenyang 110016 (China)

    2009-02-15

    Dental implants are usually made from commercially pure titanium or titanium alloys. The purpose of this study was to evaluate the influence of surface treatment to low modulus Ti-24Nb-4Zr-7.9Sn (TNZS) on cell and bone responses. The TNZS alloy samples were modified using anodic oxidation (AD). Surface oxide properties were characterized by using various surface analytic techniques, involving scanning electron microscopy (SEM) equipped with energy dispersive spectrometer (EDS), X-ray diffractometry (XRD) and surface profilometer. During the AD treatment, porous titanium oxide layer was formed and Ca ions were incorporated into the oxide layer. The viability and morphology of osteoblasts on Ca-incorporated TNZS were studied. The bone responses of Ca-incorporated TNZS were evaluated by pull-out tests and morphological analysis after implantation in rabbit tibiae. The non-treated Ti and TNZS samples were used as the control. Significant increases in cell viability and pull-out forces (p < 0.05) were observed for Ca-incorporated TNZS implants compared with those for the control groups. Porous structures supplied positive guidance cues for osteoblasts to attach. The enhanced cell and bone responses to Ca-incorporated TNZS implants could be explained by the surface chemistry and microtopography.

  14. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  15. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  16. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  17. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  18. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  19. Implanted strontium titanate single crystals for energy storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Stoeber, Max; Cherkouk, Charaf; Walter, Juliane; Strohmeyer, Ralph; Leisegang, Tilmann; Meyer, Dirk Carl [TU Bergakademie, Freiberg (Germany); Schelter, Matthias; Zosel, Jens [Kurt Schwabe Institute, Meinsberg (Germany); Prucnal, Slawomir [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany)

    2016-07-01

    A rapid increase of the demand on efficient energy storage solutions requires new approaches beyond the Li-ion technology. In particular, metal-air batteries as well as solid-state fuel cells offer a great potential for high-energy-density storage devices. Since the efficiency of such devices is significantly limited by the activation of both the oxygen reduction reaction (ORR) and the ionic and electronic conductivities, an adequate porosity as well as a controlled doping are required. The ion implantation is a key technology to achieve this goal. In this work, p- and n-doped strontium titanate (SrTiO{sub 3}) single crystals were used as oxidic materials. The oxygen exchange kinetics as well as the structural changes of the SrTiO{sub 3} crystal surface induced by the ion implantation were investigated. On one hand, the depth profile of dopant concentration and dopant valence state were determined using sputtered X-ray photoelectron spectroscopy (XPS). On the other hand, the overall oxygen exchange kinetic of the implanted SrTiO{sub 3} crystal was quantitatively described by means of coulometric titration using Zirox system (ZIROX GmbH, Germany). Furthermore, the surface morphology of the samples was investigated using atomic force microscopy (AFM).

  20. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  1. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  2. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  3. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  4. Interaction of human endothelial cells and nickel-titanium materials modified with silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Lotkov, Aleksandr I., E-mail: lotkov@ispms.tsc.ru; Kashin, Oleg A., E-mail: okashin@ispms.tsc.ru [Institute of Strength Physics and Materials Science SB RAS, Tomsk, 634055 (Russian Federation); Kudryavtseva, Yuliya A., E-mail: yulia-k1970@mail.ru; Antonova, Larisa V., E-mail: antonova.la@mail.ru; Matveeva, Vera G., E-mail: matveeva-vg@mail.ru; Sergeeva, Evgeniya A., E-mail: sergeewa.ew@yandex.ru [Research Institute for Complex Issues of Cardiovascular Diseases, Kemerovo, 650002 (Russian Federation); Kudryashov, Andrey N., E-mail: kudryashov@angioline.ru [Angioline Interventional Device Ltd, Novosibirsk, 630090 (Russian Federation)

    2015-10-27

    The paper studies the influence of chemical and phase compositions of NiTi surface layers modified with Si ions by plasma immersion implantation on their interaction with endothelial cells. It is shown that certain technological modes of Si ion implantation enhance the adhesion, proliferation, and viability of endothelial cells. It is found that the Si-modified NiTi surface is capable of stimulating the formation of capillary-like structures in the cell culture.

  5. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  6. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  7. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  8. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  9. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  10. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  11. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  12. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  13. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  14. Positron-annihilation-induced ion desorption from TiO2(110)

    Science.gov (United States)

    Tachibana, T.; Hirayama, T.; Nagashima, Y.

    2014-05-01

    We have investigated the positron-stimulated desorption of ions from a TiO2(110) surface. Desorbed O+ ions were detected in coincidence with the emission of annihilation γ rays. The energy dependence of the ion yields shows that the O+ ions were detected at energies much lower than the previously reported threshold for electron impact desorption corresponding to the excitation energy of Ti(3p) core electrons. These results provide evidence that core-hole creation by positron annihilation with electrons in the core levels leads to ion desorption.

  15. Analysis of metal ion release from biomedical implants

    Directory of Open Access Journals (Sweden)

    Ivana Dimić

    2013-06-01

    Full Text Available Metallic biomaterials are commonly used for fixation or replacement of damaged bones in the human body due to their good combination of mechanical properties. The disadvantage of metals as implant materials is their susceptibility to corrosion and metal ion release, which can cause serious health problems. In certain concentrations metals and metal ions are toxic and their presence can cause diverse inflammatory reactions, genetic mutations or even cancer. In this paper, different approaches to metal ion release examination, from biometallic materials sample preparation to research results interpretation, will be presented. An overview of the analytical techniques, used for determination of the type and concentration of released ions from implants in simulated biofluids, is also given in the paper.

  16. Characterization of ion-implanted aluminum and iron by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Brodkin, J.S.; Franzen, W.; Culbertson, R.J.

    1990-01-01

    The change in the optical constants of aluminum alloy and iron samples caused by implantation with nitrogen and chromium ions has been investigated by spectroscopic ellipsometry. The objective is to develop a method for simple, non-destructive characterization of ion-implanted metals. 5 refs., 6 figs

  17. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs

  18. Antibacterial effect of copper-bearing titanium alloy (Ti-Cu) against Streptococcus mutans and Porphyromonas gingivalis

    Science.gov (United States)

    Liu, Rui; Memarzadeh, Kaveh; Chang, Bei; Zhang, Yumei; Ma, Zheng; Allaker, Robert P.; Ren, Ling; Yang, Ke

    2016-07-01

    Formation of bacterial biofilms on dental implant material surfaces (titanium) may lead to the development of peri-implant diseases influencing the long term success of dental implants. In this study, a novel Cu-bearing titanium alloy (Ti-Cu) was designed and fabricated in order to efficiently kill bacteria and discourage formation of biofilms, and then inhibit bacterial infection and prevent implant failure, in comparison with pure Ti. Results from biofilm based gene expression studies, biofilm growth observation, bacterial viability measurements and morphological examination of bacteria, revealed antimicrobial/antibiofilm activities of Ti-Cu alloy against the oral specific bacterial species, Streptococcus mutans and Porphyromonas gingivalis. Proliferation and adhesion assays with mesenchymal stem cells, and measurement of the mean daily amount of Cu ion release demonstrated Ti-Cu alloy to be biocompatible. In conclusion, Ti-Cu alloy is a promising dental implant material with antimicrobial/antibiofilm activities and acceptable biocompatibility.

  19. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  20. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  1. Structure and properties of combined coatings on C (graphite)/Al/Al2O3 base after Ti ion implantation with subsequent electron beam irradiation

    International Nuclear Information System (INIS)

    Pogrebnjak, A.D.; Pogrebnjak, N.A.; Gritsenko, B.P.; Kylyshkanov, M.K.; Ruzimov, Sh.M.

    2004-01-01

    Full text: The presented report deals with new results on deposition of combined coatings using Al metallization (by a plasma jet) and micro-arc (discharge) Al oxidation. After this, the coating was implanted by Ti ions with 5·10 I7 cm -2 dose (60 and 90 kV and about 200 μs duration). One series of samples with such coatings was irradiated using the accelerator Y-112 by an electron beam in melting regime (two regimes). Analysis of the structure and element composition was performed using SIMS, RBS, SEM with micro-analysis (WDS), XRD as well as measurements of microhardness, wear and adhesion. It had been demonstrated that the coating was able to sustain very high temperatures and oxidation medium. However, after electron beam irradiation temperature resistance decreased because the oxide coating was melted almost to the graphite surface. The work was funded by the Project of NANU 'Nanosystems, nanomaterials and nanotechnology'

  2. Biocompatibility study on Ni-free Ti-based and Zr-based bulk metallic glasses

    Energy Technology Data Exchange (ETDEWEB)

    Li, T.H. [Institute of Material Science and Engineering, National Central University, Taoyuan, Taiwan (China); Wong, P.C. [Department of Biomedical Engineering, National Yang-Ming University, Taipei, Taiwan (China); Chang, S.F. [Department of Mechanical Engineering, National Central University, Taoyuan, Taiwan (China); Tsai, P.H. [Institute of Material Science and Engineering, National Central University, Taoyuan, Taiwan (China); Jang, J.S.C., E-mail: jscjang@ncu.edu.tw [Institute of Material Science and Engineering, National Central University, Taoyuan, Taiwan (China); Department of Mechanical Engineering, National Central University, Taoyuan, Taiwan (China); Huang, J.C. [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung, Taiwan (China)

    2017-06-01

    Safety and reliability are crucial issues for medical instruments and implants. In the past few decays, bulk metallic glasses (BMGs) have drawn attentions due to their superior mechanical properties, good corrosion resistance, antibacterial and good biocompatibility. However, most Zr-based and Ti-based BMGs contain Ni as an important element which is prone to human allergy problem. In this study, the Ni-free Ti-based and Zr-based BMGs, Ti{sub 40}Zr{sub 10}Cu{sub 36}Pd{sub 14}, and Zr{sub 48}Cu{sub 36}Al{sub 8}Ag{sub 8}, were selected for systematical evaluation of their biocompatibility. Several biocompatibility tests, co-cultural with L929 murine fibroblast cell line, were carried out on these two BMGs, as well as the comparison samples of Ti6Al4V and pure Cu. The results in terms of cellular adhesion, cytotoxicity, and metallic ion release affection reveal that the Ti{sub 40}Zr{sub 10}Cu{sub 36}Pd{sub 14} BMG and Ti6Al4V exhibit the optimum biocompatibility; cells still being attached on the petri dish with good adhesion and exhibiting the spindle shape after direct contact test. Furthermore, the Ti{sub 40}Zr{sub 10}Cu{sub 36}Pd{sub 14} BMG showed very low Cu ion release level, in agreement with the MTT results. Based on the current findings, it is believed that Ni-free Ti-based BMG can act as an ideal candidate for medical implant. - Highlight: • Ni-free bulk metallic glass is promising material for medical implants. • Ni-free Ti-based BMG presents similar cellular adhesion as Ti6Al4V. • Ni-free Ti-based BMG shows less cytotoxicity, and metallic ion release than Ti6Al4V.

  3. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  4. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  5. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  6. Assessment of modified gold surfaced titanium implants on skeletal fixation

    DEFF Research Database (Denmark)

    Zainali, Kasra; Danscher, Gorm; Jakobsen, Thomas

    2013-01-01

    shown to liberate gold ions through the process termed dissolucytosis. Furthermore, gold ions are known to act in an anti-inflammatory manner by inhibiting cellular NF-κB-DNA binding. The present study investigated whether partial coating of titanium implants could augment early osseointegration...... and increase mechanical fixation. Cylindrical porous coated Ti-6Al4V implants partially coated with metallic gold were inserted in the proximal region of the humerus in ten canines and control implants without gold were inserted in contralateral humerus. Observation time was 4 weeks. Biomechanical push out...

  7. Feasibility study of self-lubrication by chlorine implantation

    International Nuclear Information System (INIS)

    Akhajdenung, T.; Aizawa, T.; Yoshitake, M.; Mitsuo, A.

    2003-01-01

    Implantation of chlorine into titanium nitride (TiN) coating on the high-speed steel substrate has succeeded in significant reduction of wear rate and friction coefficient for original TiN under dry wear condition. Through precise investigation on the surface reaction in the wear track, in situ formation of oxygen-deficient titanium oxides was found to play a role as a lubricious oxide. In the present paper, this self-lubrication mechanism is further investigated for various wearing conditions. For wide range of sliding speed and normal load in the wear map, the wear volume of a counter material is actually reduced with comparison to the un-implanted TiN. Effect of the ion implantation dose on this self-lubrication mechanism is also studied for practical use. Some comments are made on further application of this self-lubrication to manufacturing

  8. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  9. Formation of InN phase by sequential ion implantation

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Ravichandran, V.; Nair, K.G.M.; Kesavamoorthy, R.; Kalavathi, S.; Panigrahi, B.K.; Dhara, S.

    2006-01-01

    Formation of InN phase by sequentially implanting nitrogen on indium implanted silica was demonstrated. The growth of embedded InN phase on as-implanted and post-implantation annealed sample was studied using Glancing Incidence X-Ray Diffraction (GIXRD) and Raman spectroscopy. Existence of both cubic and hexagonal phases of InN was observed. Results of irradiation induced ripening of In nanoclusters due to N + ion implantation was also studied. (author)

  10. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  11. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  12. The release properties of silver ions from Ag-nHA/TiO{sub 2}/PA66 antimicrobial composite scaffolds

    Energy Technology Data Exchange (ETDEWEB)

    Wu Xia; Li Jidong; Wang Li; Huang Di; Zuo Yi; Li Yubao, E-mail: nic7504@scu.edu.c [Research Center for Nano-Biomaterials, Analytical and Testing Center, Sichuan University, Chengdu 610064 (China)

    2010-08-01

    Implant-associated bacterial infection can jeopardize the clinical success of implants and result in loss of supporting bone. The purpose of this study was to develop a novel porous scaffold with long-term antibacterial activity for bone repair or regeneration. Porous nano-hydroxyapatite/titania/polyamide66 scaffolds containing different amounts of silver ions (Ag-nHA/TiO{sub 2}/PA66) were prepared by a phase inversion technique. The release of silver ions from the porous scaffolds in simulated body fluid (SBF) and in the F12 cell culture medium was evaluated via atomic absorption spectrometry. The results showed that the release of Ag{sup +} was time and concentration dependent, increasing with the immersion time and the silver content in the scaffolds. On the other hand, the release property of Ag{sup +} was also influenced by the immersion medium. The cumulative Ag{sup +} release in the F12 medium with time increase parabolically, different from the linear increase or the zero-order release kinetics in the SBF medium. Compared to the slight fluctuation of the Ag{sup +} release rate in SBF during the whole immersion period, the initial fast release rate and the later sustained release rate of Ag{sup +} in the F12 medium could be more helpful for preventing implant-associated infection. Since the Ag-nHA/TiO{sub 2} particles were embedded in the PA66 matrix, the long-term-sustained release should be related both to the relaxation of PA macromolecular chains due to the penetration of water and to the slow release of the substituted Ag{sup +} ions in the HA lattice. The sustained Ag{sup +} release with time indicates that the composite scaffold is suitable for a long-term antimicrobial application during the scaffold-assisted bone repair or regeneration.

  13. Bone bonding bioactivity of Ti metal and Ti-Zr-Nb-Ta alloys with Ca ions incorporated on their surfaces by simple chemical and heat treatments.

    Science.gov (United States)

    Fukuda, A; Takemoto, M; Saito, T; Fujibayashi, S; Neo, M; Yamaguchi, S; Kizuki, T; Matsushita, T; Niinomi, M; Kokubo, T; Nakamura, T

    2011-03-01

    Ti15Zr4Nb4Ta and Ti29Nb13Ta4.6Zr, which do not contain the potentially cytotoxic elements V and Al, represent a new generation of alloys with improved corrosion resistance, mechanical properties, and cytocompatibility. Recently it has become possible for the apatite forming ability of these alloys to be ascertained by treatment with alkali, CaCl2, heat, and water (ACaHW). In order to confirm the actual in vivo bioactivity of commercially pure titanium (cp-Ti) and these alloys after subjecting them to ACaHW treatment at different temperatures, the bone bonding strength of implants made from these materials was evaluated. The failure load between implant and bone was measured for treated and untreated plates at 4, 8, 16, and 26 weeks after implantation in rabbit tibia. The untreated implants showed almost no bonding, whereas all treated implants showed successful bonding by 4 weeks, and the failure load subsequently increased with time. This suggests that a simple and economical ACaHW treatment could successfully be used to impart bone bonding bioactivity to Ti metal and Ti-Zr-Nb-Ta alloys in vivo. In particular, implants heat treated at 700 °C exhibited significantly greater bone bonding strength, as well as augmented in vitro apatite formation, in comparison with those treated at 600 °C. Thus, with this improved bioactive treatment process these advantageous Ti-Zr-Nb-Ta alloys can serve as useful candidates for orthopedic devices. Copyright © 2010 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  14. Optical spectroscopy of rare earth ion-doped TiO2 nanophosphors.

    Science.gov (United States)

    Chen, Xueyuan; Luo, Wenqin

    2010-03-01

    Trivalent rare-earth (RE3+) ion-doped TiO2 nanophosphors belong to one kind of novel optical materials and have attracted increasing attention. The luminescence properties of different RE3+ ions in various TiO2 nanomaterials have been reviewed. Much attention is paid to our recent progresses on the luminescence properties of RE3+ (RE = Eu, Er, Sm, Nd) ions in anatase TiO2 nanoparticles prepared by a sol-gel-solvothermal method. Using Eu3+ as a sensitive optical probe, three significantly different luminescence centers of Eu3+ in TiO2 nanoparticles were detected by means of site-selective spectroscopy at 10 K. Based on the crystal-field (CF) splitting of Eu3+ at each site, C2v and D2 symmetries were proposed for Eu3+ incorporated at two lattice sites. A structural model for the formation of multiple sites was proposed based on the optical behaviors of Eu3+ at different sites. Similar multi-site luminescence was observed in Sm(3+)- or Nd(3+)-doped TiO2 nanoparticles. In Eu(3+)-doped TiO2 nanoparticles, only weak energy transfer from the TiO2 host to the Eu3+ ions was observed at 10 K due to the mismatch of energy between the TiO2 band-gap and the Eu3+ excited states. On the contrary, efficient host-sensitized luminescences were realized in Sm(3+)- or Nd(3+)-doped anatase TiO2 nanoparticles due to the match of energy between TiO2 band-gap and the Sm3+ and Nd3+ excited states. The excitation spectra of both Sm(3+)- and Nd(3+)-doped samples exhibit a dominant broad peak centered at approximately 340 nm, which is associated with the band-gap of TiO2, indicating that sensitized emission is much more efficient than direct excitation of the Sm3+ and Nd3+ ions. Single lattice site emission of Er3+ in TiO2 nanocrystals can be achieved by modifying the experimental conditions. Upon excitation by a Ti: sapphire laser at 978 nm, intense green upconverted luminescence was observed. The characteristic emission of Er3+ ions was obtained both in the ultraviolet-visible (UV-vis) and

  15. Biocorrosion studies of TiO{sub 2} nanoparticle-coated Ti-6Al-4V implant in simulated biofluids

    Energy Technology Data Exchange (ETDEWEB)

    Zaveri, Nikita; McEwen, Gerald D.; Karpagavalli, Ramji; Zhou Anhong, E-mail: Anhong.Zhou@usu.ed [Utah State University, Biological Engineering Program (United States)

    2010-06-15

    The corrosion behaviors of the TiO{sub 2} nanoparticles coated bioimplant Ti-6Al-4V exposed to three different simulated biofluids (SBF), namely, (1) NaCl solution, (2) Hank's solution, and (3) Cigada solution, were studied by using micro-Raman spectroscopy, electrochemical techniques, and scanning electron microscopy (SEM) with energy dispersive X-ray spectroscopy (EDS). The different electrochemical impedance spectroscopy models were applied to fit the data obtained from the implants before and after the coating of TiO{sub 2} nanoparticles (50-100 nm). It was found that the TiO{sub 2} nanoparticle coatings increased the thickness of the pre-existing oxide layer on the Ti-6Al-4V surface, serving to improve the bioimplant corrosion resistance.

  16. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    International Nuclear Information System (INIS)

    Nikolaev, A.G.; Yushkov, G.Yu.; Oks, E.M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E.S.; Brown, I.G.

    2014-01-01

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material

  17. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    Energy Technology Data Exchange (ETDEWEB)

    Nikolaev, A.G., E-mail: nik@opee.hcei.tsc.ru [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Yushkov, G.Yu.; Oks, E.M. [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Oztarhan, A. [Izmir University, Izmir 35140 (Turkey); Akpek, A.; Hames-Kocabas, E.; Urkac, E.S. [Bioengineering Department, Ege University, Bornova 35100, Izmir (Turkey); Brown, I.G. [Lawrence Berkeley National Laboratory, Berkeley, CA 94708 (United States)

    2014-08-15

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  18. Trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions

    International Nuclear Information System (INIS)

    Kobayashi, Makoto; Suzuki, Sachiko; Wang, Wanjing; Kurata, Rie; Kida, Katsuya; Oya, Yasuhisa; Okuno, Kenji; Ashikawa, Naoko; Sagara, Akio; Yoshida, Naoaki

    2009-01-01

    The trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions was investigated by thermal desorption spectroscopy (TDS) and x-ray photoelectron spectroscopy (XPS). The D 2 TDS spectrum consisted of three desorption stages, namely desorption of deuterium trapped by intrinsic defects, ion-induced defects and carbon with the formation of the C-D bond. Although the deuterium retention trapped by intrinsic defects was almost constant, that by ion-induced defects increased as the ion fluence increased. The retention of deuterium with the formation of the C-D bond was saturated at an ion fluence of 0.5x10 22 D + m -2 , where the major process was changed from the sputtering of tungsten with the formation of a W-C mixture to the formation of a C-C layer, and deuterium retention as the C-D bond decreased. It was concluded that the C-C layer would enhance the chemical sputtering of carbon with deuterium with the formation of CD x and the chemical state of carbon would control the deuterium retention in tungsten under C + -D 2 + implantation.

  19. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  20. PREPARATION AND CHARACTERIZATION OF Ti-Al-Nb ALLOYS FOR ORTHOPEDIC IMPLANTS

    Directory of Open Access Journals (Sweden)

    Oliveira V.

    1998-01-01

    Full Text Available Pure titanium shows very interesting characteristics such as high strength-to-weight ratio, very good corrosion resistance and excellent biocompatibility, which make this material appropriate for use in orthopedic and dental implants. Due to the mechanical properties of pure titanium, its use in implants is restricted to applications which involve moderate mechanical stress, such as dental implants. In applications where high mechanical strength is necessary, like orthopedic implants, it is appropriate to employ titanium-based alloys, which have better properties than pure titanium. The present work is related to the microstructure and corrosion resistance characterization of the Ti-6Al-7Nb alloy, designed to be used in orthopedic prostheses.

  1. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  2. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  3. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  4. Positron annihilation lifetime characterization of oxygen ion irradiated rutile TiO2

    Science.gov (United States)

    Luitel, Homnath; Sarkar, A.; Chakrabarti, Mahuya; Chattopadhyay, S.; Asokan, K.; Sanyal, D.

    2016-07-01

    Ferromagnetic ordering at room temperature has been induced in rutile phase of TiO2 polycrystalline sample by O ion irradiation. 96 MeV O ion induced defects in rutile TiO2 sample has been characterized by positron annihilation spectroscopic techniques. Positron annihilation results indicate the formation of cation vacancy (VTi, Ti vacancy) in these irradiated TiO2 samples. Ab initio density functional theoretical calculations indicate that in TiO2 magnetic moment can be induced either by creating Ti or O vacancies.

  5. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  6. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  7. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  8. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  9. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  10. Ion implantation reinforcement of the protective efficiency of nickel in artificial sea-water

    International Nuclear Information System (INIS)

    Leroy, L.; Girault, P.; Grosseau-Poussard, J.L.; Dinhut, J.F.

    2002-01-01

    Ni bulk specimens have been implanted with Cr, Cu and Ar ions (4x10 16 ions/cm 2 , 60 keV) in order to distinguish between chemical and radiation damage effects on protection corrosion. The corrosion behaviour in artificial sea-water of ion-implanted and pure Ni has been studied at room temperature by electrochemical impedance spectroscopy (EIS) technique. EIS spectra of ion-implanted Ni exhibit one capacitance loop while in pure Ni two distinct loops are observed. Moreover an important increase in the polarisation resistance is noticed for all implanted ions. Theses changes in EIS behaviour with implantation is related to the increase of the superficial layer density resulting in a decrease of heterogeneity of the passive layer. Equivalent circuits are proposed to fit the impedance spectra and corresponding electrochemical parameters are deduced

  11. Forming controlled inset regions by ion implantation and laser bombardment

    International Nuclear Information System (INIS)

    Gibbons, J.F.

    1981-01-01

    A semiconductor integrated circuit structure in which the inset regions are ion implanted and laser annealed to maintain substantially the dimensions of the implantation and the method of forming inset implanted regions having controlled dimensions

  12. Modelling of ion implantation in SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chakarov, Ivan [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)]. E-mail: ivan.chakarov@silvaco.com; Temkin, Misha [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)

    2006-01-15

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator.

  13. Modelling of ion implantation in SiC crystals

    International Nuclear Information System (INIS)

    Chakarov, Ivan; Temkin, Misha

    2006-01-01

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator

  14. Corrosion resistance characteristics of a Ti-6Al-4V alloy scaffold that is fabricated by electron beam melting and selective laser melting for implantation in vivo.

    Science.gov (United States)

    Zhao, Bingjing; Wang, Hong; Qiao, Ning; Wang, Chao; Hu, Min

    2017-01-01

    The purpose of this study is to determine the corrosion resistance of Ti-6Al-4V alloy fabricated with electron beam melting and selective laser melting for implantation in vivo. Ti-6Al-4V alloy specimens were fabricated with electron beam melting (EBM) and selective laser melting (SLM). A wrought form of Ti-6Al-4V alloy was used as a control. Surface morphology observation, component analysis, corrosion resistance experimental results, electrochemical impedance spectroscopy, crevice corrosion resistance experimental results, immersion test and metal ions precipitation analysis were processed, respectively. The thermal stability of EBM specimen was the worst, based on the result of open circuit potential (OCP) result. The result of electrochemical impedance spectroscopy indicated that the corrosion resistance of the SLM specimen was the best under the low electric potential. The result of potentiodynamic polarization suggested that the corrosion resistance of the SLM specimen was the best under the low electric potential (1.5V).The crevice corrosion resistance of the EBM specimen was the best. The corrosion resistance of SLM specimen was the best, based on the result of immersion test. The content of Ti, Al and V ions of EBM, SLM and wrought specimens was very low. In general, the scaffolds that were fabricated with EBM and SLM had good corrosion resistance, and were suitable for implantation in vivo. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  16. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  17. Algorithm for statistical noise reduction in three-dimensional ion implant simulations

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Arias, J.; Jaraiz, M.; Bailon, L.; Barbolla, J.

    2001-01-01

    As integrated circuit devices scale into the deep sub-micron regime, ion implantation will continue to be the primary means of introducing dopant atoms into silicon. Different types of impurity profiles such as ultra-shallow profiles and retrograde profiles are necessary for deep submicron devices in order to realize the desired device performance. A new algorithm to reduce the statistical noise in three-dimensional ion implant simulations both in the lateral and shallow/deep regions of the profile is presented. The computational effort in BCA Monte Carlo ion implant simulation is also reduced

  18. Physical property of disordered-GaAs produced by ion implantation

    International Nuclear Information System (INIS)

    Nojima, Shunji

    1979-01-01

    The properties of disordered-GaAs produced by ion implantation and its annealing behaviors are investigated for ion species of H, Be, P, and As, from the viewpoints of both the electrical property and the physical structure of the disordered layer. From the study of the electron diffraction for implanted layers and of the conductivity due to defects as a function of dose, depth, measuring temperature, and annealing temperature, the following two facts are clarified: first, the conductivity due to defects can be a good measure for the degree of disorder in GaAs produced by ion implantation, when it is less than --1 Ω -1 cm -1 . Second, the localized states originating from defects are distributed with the same density in the high dose implanted layer, in spite of the degree of disorder in the physical structure. (author)

  19. Statistical 3D damage accumulation model for ion implant simulators

    CERN Document Server

    Hernandez-Mangas, J M; Enriquez, L E; Bailon, L; Barbolla, J; Jaraiz, M

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided.

  20. Statistical 3D damage accumulation model for ion implant simulators

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Lazaro, J.; Enriquez, L.; Bailon, L.; Barbolla, J.; Jaraiz, M.

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided

  1. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  2. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  3. Sectioning studies of biomimetic collagen-hydroxyapatite coatings on Ti-6Al-4V substrates using focused ion beam

    Science.gov (United States)

    Hu, Changmin; Yu, Le; Wei, Mei

    2018-06-01

    A biomimetic bone-like collagen-hydroxyapatite (Col-HA) composite coating was formed on a surface-treated Ti-6Al-4V alloy substrate via simultaneous collagen self-assembly and hydroxyapatite nucleation. The coating process has been carried out by immersing sand-blasted, acid-etched and UV irradiated Ti-6Al-4V alloy in type I collagen-containing modified simulated body fluid (m-SBF). The surface morphology and phase composition of the coating were characterized using various techniques. More importantly, dual-beam FIB/SEMs with either gallium ion source (GFIB) or xenon plasma ion source (PFIB) were used to investigate the cross-sectional features of the biomimetic Col-HA composite coating in great details. As a result, the cross-sectional images and thin transmission electron microscopy (TEM) specimens were successfully obtained from the composite coating with no obvious damages or milling ion implantations. Both the cross-sectional SEM and TEM results have confirmed that the Col-HA coating demonstrates a similar microstructure to that of pure HA coating with homogeneously distributed elements across the whole cross section. Both coatings consist of a uniform, crack-free gradient structure with a dense layer adjacent to the interface between the Ti-6Al-4V substrate and the coating facilitating a strong bonding, while a porous structure at the coating surface aiding cell attachment.

  4. Effect of nano-hydroxyapatite reinforcement in mechanically alloyed NiTi composites for biomedical implant

    International Nuclear Information System (INIS)

    Akmal, Muhammad; Raza, Ahmad; Khan, Muhammad Mudasser; Khan, M. Imran; Hussain, Muhammad Asif

    2016-01-01

    Equi-atomic NiTi alloy composites reinforced with 0, 2, 4 and 6 vol.% nano-hydroxyapatite (HA) were successfully synthesized using pressureless sintering. Pure Ni and Ti elements were ball milled for 10 h in order to produce a mechanically alloyed equi-atomic NiTi alloy (MA-NiTi). Mechanically alloyed NiTi and HA powders were blended, compacted and then sintered for 3 h at 1325 K. The sintered density varied inversely with volume percent of HA reinforcement. The X-Ray diffraction spectra and SEM images showed the formation of multiple phases like NiTi, NiTi 2 , Ni 3 Ti, and Ni 4 Ti 3 . The back scattered-SEM image analysis confirmed the presence of Ni-rich and Ti-rich phases with increasing HA content. The 6 vol.% HA reinforced composite showed Ni 3 Ti as the major phase having the highest hardness value which can be attributed to the presence of relatively harder phases along with higher HA content as a reinforcement. The composite of MA-NiTi with 2 vol.% HA manifested the most desirable results in the form of better sintering density mainly due to the minute decomposition of NiTi into other phases. Therefore, the 2 vol.% reinforced MA-NiTi composite can be exploited as a novel material for manufacturing biomedical implants. - Highlights: • NiTi-HA composites were synthesized using powder metallurgy route. • New phases such as NiTi 2 , Ni 3 Ti and Ni 4 Ti 3 were observed for sintered composites. • Mechanical properties enhanced with the increasing content of HA and new phases. • No martensitic transformation was observed for all composites by DSC analysis. • 2 vol.% HA composite is a novel candidate for biomedical implants.

  5. Effect of nano-hydroxyapatite reinforcement in mechanically alloyed NiTi composites for biomedical implant

    Energy Technology Data Exchange (ETDEWEB)

    Akmal, Muhammad, E-mail: muhammad.akmal@giki.edu.pk [Faculty of Materials and Chemical Engineering, GIK Institute of Engineering Sciences and Technology, Topi 23640 (Pakistan); Raza, Ahmad, E-mail: ahmadrazac@yahoo.com [Faculty of Materials and Chemical Engineering, GIK Institute of Engineering Sciences and Technology, Topi 23640 (Pakistan); Khan, Muhammad Mudasser; Khan, M. Imran [Faculty of Materials and Chemical Engineering, GIK Institute of Engineering Sciences and Technology, Topi 23640 (Pakistan); Hussain, Muhammad Asif [Department of Chemical Engineering, Kangwon National University, Samcheok, 25913 (Korea, Republic of)

    2016-11-01

    Equi-atomic NiTi alloy composites reinforced with 0, 2, 4 and 6 vol.% nano-hydroxyapatite (HA) were successfully synthesized using pressureless sintering. Pure Ni and Ti elements were ball milled for 10 h in order to produce a mechanically alloyed equi-atomic NiTi alloy (MA-NiTi). Mechanically alloyed NiTi and HA powders were blended, compacted and then sintered for 3 h at 1325 K. The sintered density varied inversely with volume percent of HA reinforcement. The X-Ray diffraction spectra and SEM images showed the formation of multiple phases like NiTi, NiTi{sub 2}, Ni{sub 3}Ti, and Ni{sub 4}Ti{sub 3}. The back scattered-SEM image analysis confirmed the presence of Ni-rich and Ti-rich phases with increasing HA content. The 6 vol.% HA reinforced composite showed Ni{sub 3}Ti as the major phase having the highest hardness value which can be attributed to the presence of relatively harder phases along with higher HA content as a reinforcement. The composite of MA-NiTi with 2 vol.% HA manifested the most desirable results in the form of better sintering density mainly due to the minute decomposition of NiTi into other phases. Therefore, the 2 vol.% reinforced MA-NiTi composite can be exploited as a novel material for manufacturing biomedical implants. - Highlights: • NiTi-HA composites were synthesized using powder metallurgy route. • New phases such as NiTi{sub 2}, Ni{sub 3}Ti and Ni{sub 4}Ti{sub 3} were observed for sintered composites. • Mechanical properties enhanced with the increasing content of HA and new phases. • No martensitic transformation was observed for all composites by DSC analysis. • 2 vol.% HA composite is a novel candidate for biomedical implants.

  6. Dopant profile engineering of advanced Si MOSFET's using ion implantation

    International Nuclear Information System (INIS)

    Stolk, P.A.; Ponomarev, Y.V.; Schmitz, J.; Brandenburg, A.C.M.C. van; Roes, R.; Montree, A.H.; Woerlee, P.H.

    1999-01-01

    Ion implantation has been used to realize non-uniform, steep retrograde (SR) dopant profiles in the active channel region of advanced Si MOSFET's. After defining the transistor configuration, SR profiles were formed by dopant implantation through the polycrystalline Si gate and the gate oxide (through-the-gate, TG, implantation). The steep nature of the as-implanted profile was retained by applying rapid thermal annealing for dopant activation and implantation damage removal. For NMOS transistors, TG implantation of B yields improved transistor performance through increased carrier mobility, reduced junction capacitances, and reduced susceptibility to short-channel effects. Electrical measurements show that the gate oxide quality is not deteriorated by the ion-induced damage, demonstrating that transistor reliability is preserved. For PMOS transistors, TG implantation of P or As leads to unacceptable source/drain junction broadening as a result of transient enhanced dopant diffusion during thermal activation

  7. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  8. Plasma immersion ion implantation of Pebax polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kondyurin, A. [Applied and Plasma Physics, School of Physics (A28), University of Sydney, Sydney, NSW 2006 (Australia)]. E-mail: kond@mailcity.com; Volodin, P. [Leibniz Institute of Polymer Research Dresden e.v., Hohe Str.6, Dresden 01069 (Germany); Weber, J. [Boston Scientific Corporation, One Scimed Place, Maple Grove, MN 55311-1566 (United States)

    2006-10-15

    Nitrogen plasma immersion ion implantation (PIII) was applied to Pebax thin films and plates using doses ranging from 5 x 10{sup 14} to 10{sup 17} ions/cm{sup 2} at applied voltages of 5, 10, 20 and 30 kV. The analysis of the Pebax structure after implantation was performed using FTIR ATR, Raman, UV-vis transmission spectra, tensile and AFM contact mode data. The carbonization and depolymerisation processes were observed in the surface layer of Pebax. It was found, that graphitic- and diamond-like structures in Pebax are formed at PIII treatment of 30 kV applied voltage. AFM measurement data showed that the hardness of the Pebax surface layer increased sharply at PIII treatment with a dose higher then 10{sup 16} ions/cm{sup 2}. The bulk mechanical properties of the Pebax film after PIII remained unchanged.

  9. Effect of Ti3+ ion on the Corrosion Behavior of Alloy 600

    International Nuclear Information System (INIS)

    Lee, Chang Bong; Lim, Han Gwi; Kim, Bok Hee; Kim, Ki Ju

    1999-01-01

    Alloy 600 has been widely used as a steam generator tubing material in pressurized water reactors(PWRs) nuclear power plants. Corrosion of steam generator tubing mainly occurs on the secondary water side. The purpose of this work is primarily concerned with examining the effect of Ti 3+ ion concentrations on the corrosion behavior of the Alloy 600 steam generator tubing material. Corrosion behavior of the Alloy 600 steam generator tubing material was studied in aqueous solutions with varying Ti 3+ ion concentration at room temperature. Potentiodynamic and potentiostatic polarization techniques were used to determine the corrosion and pitting potentials for the Alloy 600 test material. The addition of Ti 3+ ion to 1000ppm, showed inhibition effect on the corrosion of Alloy 600. But the corrosion of Alloy 600 was accelerated when the concentration of Ti 3+ ion exceeded 1000ppm, it is assumed that the effect of general corrosion of Alloy 600 is more sensitive than pitting corrosion. It is considered that the passive film which was formed on the Alloy 600 surface in the 100ppm Ti 3+ ion containing solution is mainly consisted of TiO 2

  10. Simulation of ion implantation for ULSI technology

    International Nuclear Information System (INIS)

    Hoessinger, A.

    2000-07-01

    In modern semiconductor technology ion implantation has turned out to be the most important technique to introduce dopant atoms into semiconducting materials. The major advantage of the ion implantation technique is the high controllability and reproducibility of the process parameters influencing the doping distributions. Furthermore, very shallow doping profiles can be formed, which are a prerequisite for ULSI (ultra large scale integration) technology. Since it is mainly ion implantation which determines the distribution of the dopants and thereby the electrical properties of the semiconductor devices highly accurate simulation methods for ion implantation processes are required to be able to predict and optimize the behavior of integrated circuits. In recent years successively shrinking device dimensions and new design concepts have shown the necessity of a full three-dimensional treatment of simulation problems, e.g. the simulation of MOS transistors with narrow gates, or vertical transistors. Three-dimensional simulations obviously require large computation times and a lot of memory. Therefore, it is a waste of computational resources if a three-dimensional simulation would be applied to all applications. Several problems, like the buried layer or the well formation of an MOS transistor can be analyzed as accurate by simpler two-dimensional or even one-dimensional simulations. Since it should be easy to switch the dimension of the simulation without recalibrating a simulator, it is not desirable to use different simulators, which eventually use different models, for the simulation of one-dimensional, two-dimensional and three-dimensional problems. The goal of this work was to further improve a Monte-Carlo ion implantation simulator developed over the last fifteen years within the scope of several PhD theses. As part of this work several new models and methods have been developed and implemented to improve the accuracy and the efficiency of the simulator, in

  11. Mechanism of degradation of surface hardening at elevated temperature in TiAlV-alloys by in situ synchrotron radiation diffraction

    CERN Document Server

    Berberich, F; Kreissig, U; Schell, N; Mücklich, A

    2003-01-01

    The surface hardness of the technically important alloy Ti-6Al-4V (wt.%) can be improved by nitrogen implantation. The structural mechanisms of hardening and of the stability of the improved hardness at elevated temperatures are studied. Ion implanted (II) and plasma immersion ion implanted (PII) samples were used. The formation of small TiN crystallites was detected in the as-implanted state, but only for the II samples a considerable surface hardness increase (factor 3) is observed. The in situ XRD experiments showed, that the TiN phase is stable up to temperatures of 650 deg. C for both types of implantation. At higher temperature Ti sub 2 N is formed which is stable up to 770 deg. C. ERDA results indicate a diffusion of nitrogen into the bulk material. The redistribution of N is responsible for the hardness changes: a slight decrease for II samples but an improvement by a factor of 2.5 for PII samples. The improvements/degradations of hardness and wear are discussed in correlation with the nitrogen depth ...

  12. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  13. Ion implantation reinforcement of the protective efficiency of nickel in artificial sea-water

    CERN Document Server

    Leroy, L; Grosseau-Poussard, J L; Dinhut, J F

    2002-01-01

    Ni bulk specimens have been implanted with Cr, Cu and Ar ions (4x10 sup 1 sup 6 ions/cm sup 2 , 60 keV) in order to distinguish between chemical and radiation damage effects on protection corrosion. The corrosion behaviour in artificial sea-water of ion-implanted and pure Ni has been studied at room temperature by electrochemical impedance spectroscopy (EIS) technique. EIS spectra of ion-implanted Ni exhibit one capacitance loop while in pure Ni two distinct loops are observed. Moreover an important increase in the polarisation resistance is noticed for all implanted ions. Theses changes in EIS behaviour with implantation is related to the increase of the superficial layer density resulting in a decrease of heterogeneity of the passive layer. Equivalent circuits are proposed to fit the impedance spectra and corresponding electrochemical parameters are deduced.

  14. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  15. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  16. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  17. Development of a versatile procedure for the biofunctionalization of Ti-6Al-4V implants

    International Nuclear Information System (INIS)

    Rezvanian, Parsa; Arroyo-Hernández, María; Ramos, Milagros; Daza, Rafael; Elices, Manuel; Guinea, Gustavo V.; Pérez-Rigueiro, José

    2016-01-01

    Highlights: • Surface of Ti-6Al-4V was functionalized by Activated Vapor Silanization (AVS). • AVS is proven to be a reliable procedure for functionalizing Ti-6Al-4V samples. • The functional layer was characterized by AFM and fluorescence microscopy. • The cytocompatibility of the functionalized samples was assessed by cell cultures. • The stability of the functional layer under physiological conditions was confirmed. - Abstract: Titanium (Ti) and titanium alloys are among the most-commonly used metallic materials for implantation in the human body for the purpose of replacing hard tissue. Although Ti and its alloys are widely used for such an aim, in implants of a long duration they exhibit some shortcomings due to the loosening of the very implant. This phenomenon is highly dependent on the interaction between the organic tissues and the surface of the implant. In this study, the authors introduce a surface treatment technique for functionalization of the surface of Ti-6Al-4V alloy with amino groups that could help to control this interaction. The functionalized layer was deposited by activated vapor silanization (AVS), which has been proven as a reliable and robust technique with other materials. The resulting biofunctional layers were characterized by atomic force microscopy and fluorescence microscopy, with the optimal conditions for the deposition of a homogeneous film with a high density of amino groups being determined. Additionally, the non-toxic nature and stability of the biofunctional layer were confirmed by cell culturing. The results show the formation of a homogeneous biofunctional amine layer on Ti-6Al-4V alloy that may be used as a platform for the subsequent covalent immobilization of proteins or other biomolecules.

  18. Development of a versatile procedure for the biofunctionalization of Ti-6Al-4V implants

    Energy Technology Data Exchange (ETDEWEB)

    Rezvanian, Parsa; Arroyo-Hernández, María [Centro de Tecnología Biomédica, Universidad Politécnica de Madrid, 28223 Pozuelo de Alarcón, Madrid (Spain); Departamento de Ciencia de Materiales, ETSI Caminos, Canales y Puertos, Universidad Politécnica de Madrid, 28040 Madrid (Spain); Ramos, Milagros [Centro de Tecnología Biomédica, Universidad Politécnica de Madrid, 28223 Pozuelo de Alarcón, Madrid (Spain); Daza, Rafael; Elices, Manuel; Guinea, Gustavo V. [Centro de Tecnología Biomédica, Universidad Politécnica de Madrid, 28223 Pozuelo de Alarcón, Madrid (Spain); Departamento de Ciencia de Materiales, ETSI Caminos, Canales y Puertos, Universidad Politécnica de Madrid, 28040 Madrid (Spain); Pérez-Rigueiro, José, E-mail: jperez@mater.upm.es [Centro de Tecnología Biomédica, Universidad Politécnica de Madrid, 28223 Pozuelo de Alarcón, Madrid (Spain); Departamento de Ciencia de Materiales, ETSI Caminos, Canales y Puertos, Universidad Politécnica de Madrid, 28040 Madrid (Spain)

    2016-11-30

    Highlights: • Surface of Ti-6Al-4V was functionalized by Activated Vapor Silanization (AVS). • AVS is proven to be a reliable procedure for functionalizing Ti-6Al-4V samples. • The functional layer was characterized by AFM and fluorescence microscopy. • The cytocompatibility of the functionalized samples was assessed by cell cultures. • The stability of the functional layer under physiological conditions was confirmed. - Abstract: Titanium (Ti) and titanium alloys are among the most-commonly used metallic materials for implantation in the human body for the purpose of replacing hard tissue. Although Ti and its alloys are widely used for such an aim, in implants of a long duration they exhibit some shortcomings due to the loosening of the very implant. This phenomenon is highly dependent on the interaction between the organic tissues and the surface of the implant. In this study, the authors introduce a surface treatment technique for functionalization of the surface of Ti-6Al-4V alloy with amino groups that could help to control this interaction. The functionalized layer was deposited by activated vapor silanization (AVS), which has been proven as a reliable and robust technique with other materials. The resulting biofunctional layers were characterized by atomic force microscopy and fluorescence microscopy, with the optimal conditions for the deposition of a homogeneous film with a high density of amino groups being determined. Additionally, the non-toxic nature and stability of the biofunctional layer were confirmed by cell culturing. The results show the formation of a homogeneous biofunctional amine layer on Ti-6Al-4V alloy that may be used as a platform for the subsequent covalent immobilization of proteins or other biomolecules.

  19. Mutation effect of ion implantation on tomato breeding

    International Nuclear Information System (INIS)

    Wu Baoshan; Ling Haiqiu; Mao Peihong; Jin Xiang; Zeng Xianxian

    2003-01-01

    The mutation effects of N + ion implantation on cultivated tomato, Catchup type and Eatable type were studied. The result show that the mutation ranges of single-fruit weight and fruit number per plant were increased and their mutation frequencies were high, however the effect of ion implantation on germination rate of seed and quality of fruit was very weak. Using doses of 4 x 10 16 and 6 x 10 16 N + /cm 2 , the yield was greatly improved. The optimum mutation dosage was slightly different for seed of 2 tomato lines

  20. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    Science.gov (United States)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.