Directory of Open Access Journals (Sweden)
Kae Dal Kwack
2011-01-01
Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.
Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal
2011-01-01
A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.
Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal
2011-01-01
A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353
International Nuclear Information System (INIS)
Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.
2006-01-01
Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon
Size Control of Porous Silicon-Based Nanoparticles via Pore-Wall Thinning.
Secret, Emilie; Leonard, Camille; Kelly, Stefan J; Uhl, Amanda; Cozzan, Clayton; Andrew, Jennifer S
2016-02-02
Photoluminescent silicon nanocrystals are very attractive for biomedical and electronic applications. Here a new process is presented to synthesize photoluminescent silicon nanocrystals with diameters smaller than 6 nm from a porous silicon template. These nanoparticles are formed using a pore-wall thinning approach, where the as-etched porous silicon layer is partially oxidized to silica, which is dissolved by a hydrofluoric acid solution, decreasing the pore-wall thickness. This decrease in pore-wall thickness leads to a corresponding decrease in the size of the nanocrystals that make up the pore walls, resulting in the formation of smaller nanoparticles during sonication of the porous silicon. Particle diameters were measured using dynamic light scattering, and these values were compared with the nanocrystallite size within the pore wall as determined from X-ray diffraction. Additionally, an increase in the quantum confinement effect is observed for these particles through an increase in the photoluminescence intensity of the nanoparticles compared with the as-etched nanoparticles, without the need for a further activation step by oxidation after synthesis.
Energy Technology Data Exchange (ETDEWEB)
Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others
1996-05-01
The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.
Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications
International Nuclear Information System (INIS)
Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.
2001-01-01
The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)
Silicon epitaxy on textured double layer porous silicon by LPCVD
International Nuclear Information System (INIS)
Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang
2010-01-01
Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.
Graphene synthesized on porous silicon for active electrode material of supercapacitors
Su, B. B.; Chen, X. Y.; Halvorsen, E.
2016-11-01
We present graphene synthesized by chemical vapour deposition under atmospheric pressure on both porous nanostructures and flat wafers as electrode scaffolds for supercapacitors. A 3nm thin gold layer was deposited on samples of both porous and flat silicon for exploring the catalytic influence during graphene synthesis. Micro-four-point probe resistivity measurements revealed that the resistivity of porous silicon samples was nearly 53 times smaller than of the flat silicon ones when all the samples were covered by a thin gold layer after the graphene growth. From cyclic voltammetry, the average specific capacitance of porous silicon coated with gold was estimated to 267 μF/cm2 while that without catalyst layer was 145μF/cm2. We demonstrated that porous silicon based on nanorods can play an important role in graphene synthesis and enable silicon as promising electrodes for supercapacitors.
Study on structural properties of epitaxial silicon films on annealed double layer porous silicon
International Nuclear Information System (INIS)
Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin
2012-01-01
In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.
XPS study of palladium sensitized nano porous silicon thin film
Indian Academy of Sciences (India)
Keywords. Porous silicon; passivation; palladium; oxidation; XPS. Abstract. Nano porous silicon (PS) was formed on -type monocrystalline silicon of 2–5 cm resistivity and (100) orientation by electrochemical anodization method using HF and ethanol as the electrolytes. High density of surface states, arising due to its ...
Graphene synthesized on porous silicon for active electrode material of supercapacitors
International Nuclear Information System (INIS)
Su, B B; Chen, X Y; Halvorsen, E
2016-01-01
We present graphene synthesized by chemical vapour deposition under atmospheric pressure on both porous nanostructures and flat wafers as electrode scaffolds for supercapacitors. A 3nm thin gold layer was deposited on samples of both porous and flat silicon for exploring the catalytic influence during graphene synthesis. Micro-four-point probe resistivity measurements revealed that the resistivity of porous silicon samples was nearly 53 times smaller than of the flat silicon ones when all the samples were covered by a thin gold layer after the graphene growth. From cyclic voltammetry, the average specific capacitance of porous silicon coated with gold was estimated to 267 μF/cm 2 while that without catalyst layer was 145μF/cm 2 . We demonstrated that porous silicon based on nanorods can play an important role in graphene synthesis and enable silicon as promising electrodes for supercapacitors. (paper)
Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates
Energy Technology Data Exchange (ETDEWEB)
Naderi, N., E-mail: naderi.phd@gmail.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)
2013-03-05
Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated
Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates
International Nuclear Information System (INIS)
Naderi, N.; Hashim, M.R.
2013-01-01
Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated
Chaieb, Sahraoui
2015-04-09
Embodiments of the present disclosure provide for a colloidal photoluminescent amorphous porous silicon particle suspension, methods of making a colloidal photoluminescent amorphous porous silicon particle suspension, methods of using a colloidal photoluminescent amorphous porous silicon particle suspension, and the like.
Chaieb, Saharoui; Mughal, Asad Jahangir
2015-01-01
Embodiments of the present disclosure provide for a colloidal photoluminescent amorphous porous silicon particle suspension, methods of making a colloidal photoluminescent amorphous porous silicon particle suspension, methods of using a colloidal photoluminescent amorphous porous silicon particle suspension, and the like.
Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng
2011-01-01
In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999
Porous silicon: silicon quantum dots for photonic applications
International Nuclear Information System (INIS)
Pavesi, L.; Guardini, R.
1996-01-01
Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs
Energy Technology Data Exchange (ETDEWEB)
Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)
2011-03-15
One of the most important factors influencing silicon solar cells performances is the front side reflectivity. Consequently, new methods for efficient reduction of this reflectivity are searched. This has always been done by creating a rough surface that enables incident light of being absorbed within the solar cell. Combination of texturization-porous silicon surface treatment was found to be an attractive technical solution for lowering the reflectivity of monocrystalline silicon (c-Si). The texturization of the monocrystalline silicon wafer was carried out by means of mechanical grooving. A specific etching procedure was then applied to form a thin porous silicon layer enabling to remove mechanical damages. This simple and low cost method reduces the total reflectivity from 29% to 7% in the 300 - 950 nm wavelength range and enhances the diffusion length of the minority carriers from 100 {mu}m to 790 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Selective formation of porous silicon
Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)
1993-01-01
A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.
Porous silicon carbide (SIC) semiconductor device
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1996-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
Porous silicon: X-rays sensitivity
International Nuclear Information System (INIS)
Gerstenmayer, J.L.; Vibert, Patrick; Mercier, Patrick; Rayer, Claude; Hyvernage, Michel; Herino, Roland; Bsiesy, Ahmad
1994-01-01
We demonstrate that high porosity anodically porous silicon is radioluminescent. Interests of this study are double. Firstly: is the construction of porous silicon X-rays detectors (imagers) possible? Secondly: is it necessary to protect silicon porous based optoelectronic systems from ionising radiations effects (spatial environment)? ((orig.))
Fabrication and characterization of porous silicon for photonic applications
Directory of Open Access Journals (Sweden)
Arvin I. Mabilangan
2013-06-01
Full Text Available Porous silicon (PSi thin films from p-type silicon (100 substrates were fabricated using a simple table top electrochemical etching setup with a 1:1 HF:EtOh electrolyte solution. Porous silicon f ilms with different morphologies and optical properties were achieved by varying the etching parameters, such as HF concentration, etching time andanodization current. It was observed that the f ilm thickness of the fabricated PSi increased with etch time and HF concentration. The etch rate increased with the applied anodization current. Reflection spectroscopy at normal incidence was used to determine the refractive indices of the fabricated f ilms. Using the Sellmeier equation, the chromatic dispersion of the f ilms was obtained for different HF concentrations and anodization currents.
Enhanced Raman scattering in porous silicon grating.
Wang, Jiajia; Jia, Zhenhong; Lv, Changwu
2018-03-19
The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.
Optical performance of hybrid porous silicon-porous alumina multilayers
Cencha, L. G.; Antonio Hernández, C.; Forzani, L.; Urteaga, R.; Koropecki, R. R.
2018-05-01
In this work, we study the optical response of structures involving porous silicon and porous alumina in a multi-layered hybrid structure. We performed a rational design of the optimal sequence necessary to produce a high transmission and selective filter, with potential applications in chemical and biosensors. The combination of these porous materials can be used to exploit its distinguishing features, i.e., high transparency of alumina and high refractive index of porous silicon. We assembled hybrid microcavities with a central porous alumina layer between two porous silicon Bragg reflectors. In this way, we constructed a Fabry-Perot resonator with high reflectivity and low absorption that improves the quality of the filter compared to a microcavity built only with porous silicon or porous alumina. We explored a simpler design in which one of the Bragg reflectors is replaced by the aluminium that remains bound to the alumina after its fabrication. We theoretically explored the potential of the proposal and its limitations when considering the roughness of the layers. We found that the quality of a microcavity made entirely with porous silicon shows a limit in the visible range due to light absorption. This limitation is overcome in the hybrid scheme, with the roughness of the layers determining the ultimate quality. Q-factors of 220 are experimentally obtained for microcavities supported on aluminium, while Q-factors around 600 are reached for microcavities with double Bragg reflectors, centred at 560 nm. This represents a four-fold increase with respect to the optimal porous silicon microcavity at this wavelength.
Energy Technology Data Exchange (ETDEWEB)
Ben Rabha, M.; Bessais, B. [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)
2010-03-15
Photovoltaic properties of buried metallic contacts (BMCs) with and without application of a front porous silicon (PS) layer on multicrystalline silicon (mc-Si) solar cells were investigated. A Chemical Vapor Etching (CVE) method was used to perform front PS layer and BMCs of mc-Si solar cells. Good electrical performance for the mc-Si solar cells was observed after combination of BMCs and thin PS films. As a result the current-voltage (I-V) characteristics and the internal quantum efficiency (IQE) were improved, and the effective minority carrier diffusion length (Ln) increases from 75 to 110 {mu}m after BMCs achievement. The reflectivity was reduced to 8% in the 450-950 nm wavelength range. This simple and low cost technology induces a 12% conversion efficiency (surface area = 3.2 cm{sup 2}). The obtained results indicate that the BMCs improve charge carrier collection while the PS layer passivates the front surface. (author)
Luminescence of porous silicon doped by erbium
International Nuclear Information System (INIS)
Bondarenko, V.P.; Vorozov, N.N.; Dolgij, L.N.; Dorofeev, A.M.; Kazyuchits, N.M.; Leshok, A.A.; Troyanova, G.N.
1996-01-01
The possibility of the 1.54 μm intensive luminescence in the silicon dense porous layers, doped by erbium, with various structures is shown. Low-porous materials of both porous type on the p-type silicon and porous silicon with wood-like structure on the n + type silicon may be used for formation of light-emitting structures
Process of preparing tritiated porous silicon
Tam, Shiu-Wing
1997-01-01
A process of preparing tritiated porous silicon in which porous silicon is equilibrated with a gaseous vapor containing HT/T.sub.2 gas in a diluent for a time sufficient for tritium in the gas phase to replace hydrogen present in the pore surfaces of the porous silicon.
Porous silicon technology for integrated microsystems
Wallner, Jin Zheng
With the development of micro systems, there is an increasing demand for integrable porous materials. In addition to those conventional applications, such as filtration, wicking, and insulating, many new micro devices, including micro reactors, sensors, actuators, and optical components, can benefit from porous materials. Conventional porous materials, such as ceramics and polymers, however, cannot meet the challenges posed by micro systems, due to their incompatibility with standard micro-fabrication processes. In an effort to produce porous materials that can be used in micro systems, porous silicon (PS) generated by anodization of single crystalline silicon has been investigated. In this work, the PS formation process has been extensively studied and characterized as a function of substrate type, crystal orientation, doping concentration, current density and surfactant concentration and type. Anodization conditions have been optimized for producing very thick porous silicon layers with uniform pore size, and for obtaining ideal pore morphologies. Three different types of porous silicon materials: meso porous silicon, macro porous silicon with straight pores, and macro porous silicon with tortuous pores, have been successfully produced. Regular pore arrays with controllable pore size in the range of 2mum to 6mum have been demonstrated as well. Localized PS formation has been achieved by using oxide/nitride/polysilicon stack as masking materials, which can withstand anodization in hydrofluoric acid up to twenty hours. A special etching cell with electrolytic liquid backside contact along with two process flows has been developed to enable the fabrication of thick macro porous silicon membranes with though wafer pores. For device assembly, Si-Au and In-Au bonding technologies have been developed. Very low bonding temperature (˜200°C) and thick/soft bonding layers (˜6mum) have been achieved by In-Au bonding technology, which is able to compensate the potentially
International Nuclear Information System (INIS)
Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.
1998-01-01
The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)
Light emitting structures porous silicon-silicon substrate
International Nuclear Information System (INIS)
Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.
1999-01-01
The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different
Mechanically flexible optically transparent porous mono-crystalline silicon substrate
Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa
2012-01-01
For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.
Metal-assisted chemical etch porous silicon formation method
Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.
2004-09-14
A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.
Method of fabricating porous silicon carbide (SiC)
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1995-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
HRTEM analysis of the nanostructure of porous silicon
International Nuclear Information System (INIS)
Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.
2006-01-01
The nanometric structure of porous silicon makes this material to be very suitable for its use in many different fields, including optoelectronics and biological applications. In the present work, the structure of porous silicon was investigated in detail by means of cross-sectional high-resolution transmission electron microscopy and digital image processing, together with electron energy loss spectroscopy. The structure of the Si/porous silicon interface and that of the silicon nanocrystals that compose porous silicon have been analyzed in detail. A strong strain contrast in the Si/porous silicon interface caused by high stresses was observed. Accordingly, dislocation pairs are found to be a possible mechanism of lattice matching between porous silicon and the Si substrate. Finally, high relative concentration of oxygen in the porous silicon layer was observed, together with low relative electron concentration in the conduction band when compared to Si
High-efficient solar cells with porous silicon
International Nuclear Information System (INIS)
Migunova, A.A.
2002-01-01
It has been shown that the porous silicon is multifunctional high-efficient coating on silicon solar cells, modifies its surface and combines in it self antireflection and passivation properties., The different optoelectronic effects in solar cells with porous silicon were considered. The comparative parameters of uncovered photodetectors also solar cells with porous silicon and other coatings were resulted. (author)
Porous silicon: Synthesis and optical properties
International Nuclear Information System (INIS)
Naddaf, M.; Awad, F.
2006-01-01
Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)
Porous silicon: Synthesis and optical properties
International Nuclear Information System (INIS)
Naddaf, M.; Awad, F.
2006-06-01
Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)
Metrology of nanosize biopowders using porous silicon surface
International Nuclear Information System (INIS)
Zhuravel', L.V.; Latukhina, N.V.; Pisareva, E.V.; Vlasov, M.Yu.; Volkov, A.V.; Volodkin, B.O.
2008-01-01
Powders of hydroxyapatite deposited on porous silicon surface were investigated by TEM and STM methods. Thickness of porous lay was 1-100 micrometers; porous diameter was 0.01-10 micrometers. Images of porous silicon surface with deposited particles give possibility to estimate particles size and induce that only proportionate porous diameter particles have good adhesion to porous silicon surface.
Angle-resolved diffraction grating biosensor based on porous silicon
Energy Technology Data Exchange (ETDEWEB)
Lv, Changwu; Li, Peng [School of Physical Science and Technology, Xinjiang University, Urumqi 830046 (China); Jia, Zhenhong, E-mail: jzhh@xju.edu.cn; Liu, Yajun; Mo, Jiaqing; Lv, Xiaoyi [College of Information Science and Engineering, Xinjiang University, Urumqi 830046 (China)
2016-03-07
In this study, an optical biosensor based on a porous silicon composite structure was fabricated using a simple method. This structure consists of a thin, porous silicon surface diffraction grating and a one-dimensional porous silicon photonic crystal. An angle-resolved diffraction efficiency spectrum was obtained by measuring the diffraction efficiency at a range of incident angles. The angle-resolved diffraction efficiency of the 2nd and 3rd orders was studied experimentally and theoretically. The device was sensitive to the change of refractive index in the presence of a biomolecule indicated by the shift of the diffraction efficiency spectrum. The sensitivity of this sensor was investigated through use of an 8 base pair antifreeze protein DNA hybridization. The shifts of the angle-resolved diffraction efficiency spectrum showed a relationship with the change of the refractive index, and the detection limit of the biosensor reached 41.7 nM. This optical device is highly sensitive, inexpensive, and simple to fabricate. Using shifts in diffraction efficiency spectrum to detect biological molecules has not yet been explored, so this study establishes a foundation for future work.
Photonic Crystal Sensors Based on Porous Silicon
Directory of Open Access Journals (Sweden)
Claudia Pacholski
2013-04-01
Full Text Available Porous silicon has been established as an excellent sensing platform for the optical detection of hazardous chemicals and biomolecular interactions such as DNA hybridization, antigen/antibody binding, and enzymatic reactions. Its porous nature provides a high surface area within a small volume, which can be easily controlled by changing the pore sizes. As the porosity and consequently the refractive index of an etched porous silicon layer depends on the electrochemial etching conditions photonic crystals composed of multilayered porous silicon films with well-resolved and narrow optical reflectivity features can easily be obtained. The prominent optical response of the photonic crystal decreases the detection limit and therefore increases the sensitivity of porous silicon sensors in comparison to sensors utilizing Fabry-Pérot based optical transduction. Development of porous silicon photonic crystal sensors which allow for the detection of analytes by the naked eye using a simple color change or the fabrication of stacked porous silicon photonic crystals showing two distinct optical features which can be utilized for the discrimination of analytes emphasize its high application potential.
Photonic Crystal Sensors Based on Porous Silicon
Pacholski, Claudia
2013-01-01
Porous silicon has been established as an excellent sensing platform for the optical detection of hazardous chemicals and biomolecular interactions such as DNA hybridization, antigen/antibody binding, and enzymatic reactions. Its porous nature provides a high surface area within a small volume, which can be easily controlled by changing the pore sizes. As the porosity and consequently the refractive index of an etched porous silicon layer depends on the electrochemial etching conditions photonic crystals composed of multilayered porous silicon films with well-resolved and narrow optical reflectivity features can easily be obtained. The prominent optical response of the photonic crystal decreases the detection limit and therefore increases the sensitivity of porous silicon sensors in comparison to sensors utilizing Fabry-Pérot based optical transduction. Development of porous silicon photonic crystal sensors which allow for the detection of analytes by the naked eye using a simple color change or the fabrication of stacked porous silicon photonic crystals showing two distinct optical features which can be utilized for the discrimination of analytes emphasize its high application potential. PMID:23571671
Vibrational modes of porous silicon
International Nuclear Information System (INIS)
Sabra, M.; Naddaf, M.
2012-01-01
On the basis of theoretical and experimental investigations, the origin of room temperature photoluminescence (PL) from porous silicon is found to related to chemical complexes constituted the surface, in particular, SiHx, SiOx and SiOH groups. Ab initio atomic and molecular electronic structure calculations on select siloxane compounds were used for imitation of infrared (IR) spectra of porous silicon. These are compared to the IR spectra of porous silicon recorded by using Fourier Transform Infrared Spectroscopy (FTIR). In contrast to linear siloxane, the suggested circular siloxane terminated with linear siloxane structure is found to well-imitate the experimental spectra. These results are augmented with EDX (energy dispersive x-ray spectroscopy) measurements, which showed that the increase of SiOx content in porous silicon due to rapid oxidation process results in considerable decrease in PL peak intensity and a blue shift in the peak position. (author)
Porous silicon-based direct hydrogen sulphide fuel cells.
Dzhafarov, T D; Yuksel, S Aydin
2011-10-01
In this paper, the use of Au/porous silicon/Silicon Schottky type structure, as a direct hydrogen sulphide fuel cell is demonstrated. The porous silicon filled with hydrochlorid acid was developed as a proton conduction membrane. The Au/Porous Silicon/Silicon cells were fabricated by first creating the porous silicon layer in single-crystalline Si using the anodic etching under illumination and then deposition Au catalyst layer onto the porous silicon. Using 80 mM H2S solution as fuel the open circuit voltage of 0.4 V was obtained and maximum power density of 30 W/m2 at room temperature was achieved. These results demonstrate that the Au/Porous Silicon/Silicon direct hydrogen sulphide fuel cell which uses H2S:dH2O solution as fuel and operates at room temperature can be considered as the most promising type of low cost fuel cell for small power-supply units.
High-density oxidized porous silicon
International Nuclear Information System (INIS)
Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel
2012-01-01
We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)
Infrared reflectance studies of hillock-like porous zinc oxide thin films
International Nuclear Information System (INIS)
Ching, C.G.; Lee, S.C.; Ng, S.S.; Hassan, Z.; Abu Hassan, H.
2013-01-01
We investigated the infrared (IR) reflectance characteristics of hillock-like porous zinc oxide (ZnO) thin films on silicon substrates. The IR reflectance spectra of the porous samples exhibited an extra resonance hump in the reststrahlen region of ZnO compared with the as-grown sample. Oscillation fringes with different behaviors were also observed in the non-reststrahlen region of ZnO. Standard multilayer optic technique was used with the effective medium theory to analyze the observations. Results showed that the porous ZnO layer consisted of several sublayers with different porosities and thicknesses. These findings were confirmed by scanning electron microscopy measurements. - Highlights: • Multilayer porous assumption qualitatively increased the overall spectra fitting. • IR reflectance is a sensitive method to probe the multilayer porous structure. • Hillock-like porous ZnO thin films fabricated using electrochemical etching method. • The thickness and porosity of the samples were determined. • Formation of extra resonance hump was due to splitting of reststrahlen band
Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Riahi, R., E-mail: riahirim01@gmail.com [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Faculty of Sciences Tunis–El Manar University (Tunisia); Derbali, L. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Ouertani, B. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Environment Science and Technology of Borj-Cedria (Tunisia); Ezzaouia, H. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia)
2017-05-15
Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total
Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon
International Nuclear Information System (INIS)
Riahi, R.; Derbali, L.; Ouertani, B.; Ezzaouia, H.
2017-01-01
Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total
Current-voltage characteristics of porous-silicon structures
International Nuclear Information System (INIS)
Diligenti, A.; Nannini, A.; Pennelli, G.; Pieri, F.; Fuso, F.; Allegrini, M.
1996-01-01
I-V DC characteristics have been measured on metal/porous-silicon structures. In particular, the measurements on metal/free-standing porous-silicon film/metal devices confirmed the result, already obtained, that the metal/porous-silicon interface plays a crucial role in the transport of any device. Four-contacts measurements on free-standing layers showed that the current linearly depends on the voltage and that the conduction process is thermally activated, the activation energy depending on the porous silicon film production parameters. Finally, annealing experiments performed in order to improve the conduction of rectifying contacts, are described
Tunable photoluminescence of porous silicon by liquid crystal infiltration
International Nuclear Information System (INIS)
Ma Qinglan; Xiong Rui; Huang Yuanming
2011-01-01
The photoluminescence (PL) of porous silicon films has been investigated as a function of the amount of liquid crystal molecules that are infiltrated into the constricted geometry of the porous silicon films. A typical nematic liquid crystal 4-pentyl-4'-cyanobiphenyl was employed in our experiment as the filler to modify the PL of porous silicon. It is found that the originally red PL of porous silicon films can be tuned to blue by simply adjusting the amount of liquid crystal molecules in the microchannels of the porous films. The chromaticity coordinates are calculated for the recorded PL spectra. The mechanism of the tunable PL is discussed. Our results have demonstrated that the luminescent properties of porous silicon films can be efficiently tuned by liquid crystal infiltration. - Highlights: → Liquid crystal infiltration can tune the photoluminescence of porous silicon. → Red emission of porous silicon can be switched to blue by the infiltration. → Chromaticity coordinates are calculated for the tuned emissions. → White emission is realized for porous silicon by liquid crystal infiltration.
Formation and properties of porous silicon layers
International Nuclear Information System (INIS)
Vitanov, P.; Kamenova, M.; Dimova-Malinovska, D.
1993-01-01
Preparation, properties and application of porous silicon films are investigated. Porous silicon structures were formed by an electrochemical etching process resulting in selective dissolution of the silicon substrate. The silicon wafers used with a resistivity of 5-10Ω.cm were doped with B to concentrations 6x10 18 -1x10 19 Ω.cm -3 in the temperature region 950 o C-1050 o C. The density of each porous films was determined from the weight loss during the anodization and it depends on the surface resistivity of the Si wafer. The density decreases with decreasing of the surface resistivity. The surface of the porous silicon layers was studied by X-ray photoelectron spectroscopy which indicates the presence of SiF 4 . The kinetic dependence of the anode potential and the porous layer thickness on the time of anodization in a galvanostatic regime for the electrolytes with various HF concentration were studied. In order to compare the properties of the resulting porous layers and to establish the dependence of the porosity on the electrolyte, three types of electrolytes were used: concentrated HF, diluted HF:H 2 O=1:1 and ethanol-hydrofluoric solutions HF:C 2 H 5 OH:H 2 O=2:1:1. High quality uniform and reproducible layers were formed using aqueous-ethanol-hydrofluoric electrolyte. Both Kikuchi's line and ring patterns were observed by TEM. The porous silicon layer was single crystal with the same orientation as the substrate. The surface shows a polycrystalline structure only. The porous silicon layers exhibit visible photoluminescence (PL) at room temperature under 480 nm Ar + laser line excitation. The peak of PL was observed at about 730 nm with FWHM about 90 nm. Photodiodes was made with a W-porous silicon junction. The current voltage and capacity voltage characteristics were similar to those of an isotype heterojunction diode. (orig.)
International Nuclear Information System (INIS)
Badawy, Waheed A.
2008-01-01
Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures
Micropatterned arrays of porous silicon: toward sensory biointerfaces.
Flavel, Benjamin S; Sweetman, Martin J; Shearer, Cameron J; Shapter, Joseph G; Voelcker, Nicolas H
2011-07-01
We describe the fabrication of arrays of porous silicon spots by means of photolithography where a positive photoresist serves as a mask during the anodization process. In particular, photoluminescent arrays and porous silicon spots suitable for further chemical modification and the attachment of human cells were created. The produced arrays of porous silicon were chemically modified by means of a thermal hydrosilylation reaction that facilitated immobilization of the fluorescent dye lissamine, and alternatively, the cell adhesion peptide arginine-glycine-aspartic acid-serine. The latter modification enabled the selective attachment of human lens epithelial cells on the peptide functionalized regions of the patterns. This type of surface patterning, using etched porous silicon arrays functionalized with biological recognition elements, presents a new format of interfacing porous silicon with mammalian cells. Porous silicon arrays with photoluminescent properties produced by this patterning strategy also have potential applications as platforms for in situ monitoring of cell behavior.
Study of double porous silicon surfaces for enhancement of silicon solar cell performance
Razali, N. S. M.; Rahim, A. F. A.; Radzali, R.; Mahmood, A.
2017-09-01
In this work, design and simulation of double porous silicon surfaces for enhancement of silicon solar cell is carried out. Both single and double porous structures are constructed by using TCAD ATHENA and TCAD DEVEDIT tools of the SILVACO software respectively. After the structures were created, I-V characteristics and spectral response of the solar cell were extracted using ATLAS device simulator. Finally, the performance of the simulated double porous solar cell is compared with the performance of both single porous and bulk-Si solar cell. The results showed that double porous silicon solar cell exhibited 1.8% efficiency compared to 1.3% and 1.2% for single porous silicon and bulk-Si solar cell.
Porosity-dependent fractal nature of the porous silicon surface
Energy Technology Data Exchange (ETDEWEB)
Rahmani, N.; Dariani, R. S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of)
2015-07-15
Porous silicon films with porosity ranging from 42% to 77% were fabricated by electrochemical anodization under different current density. We used atomic force microscopy and dynamic scaling theory for deriving the surface roughness profile and processing the topography of the porous silicon layers, respectively. We first compared the topography of bare silicon surface with porous silicon and then studied the effect of the porosity of porous silicon films on their scaling behavior by using their self-affinity nature. Our work demonstrated that silicon compared to the porous silicon films has the highest Hurst parameter, indicating that the formation of porous layer due to the anodization etching of silicon surface leads to an increase of its roughness. Fractal analysis revealed that the evolution of the nanocrystallites’ fractal dimension along with porosity. Also, we found that both interface width and Hurst parameter are affected by the increase of porosity.
FTIR studies of swift silicon and oxygen ion irradiated porous silicon
International Nuclear Information System (INIS)
Bhave, Tejashree M.; Hullavarad, S.S.; Bhoraskar, S.V.; Hegde, S.G.; Kanjilal, D.
1999-01-01
Fourier Transform Infrared Spectroscopy has been used to study the bond restructuring in silicon and oxygen irradiated porous silicon. Boron doped p-type (1 1 1) porous silicon was irradiated with 10 MeV silicon and a 14 MeV oxygen ions at different doses ranging between 10 12 and 10 14 ions cm -2 . The yield of PL in porous silicon irradiated samples was observed to increase considerably while in oxygen irradiated samples it was seen to improve only by a small extent for lower doses whereas it decreased for higher doses. The results were interpreted in view of the relative intensities of the absorption peaks associated with O-Si-H and Si-H stretch bonds
Photoluminescence studies on porous silicon/polymer heterostructure
International Nuclear Information System (INIS)
Mishra, J.K.; Bhunia, S.; Banerjee, S.; Banerji, P.
2008-01-01
Hybrid devices formed by filling porous silicon with MEH-PPV or poly [2-methoxy-5(2-ethylhexyloxy-p-phenylenevinylene)] have been investigated in this work. Analyses of the structures by scanning electron microscopy (SEM) demonstrated that the porous silicon layer was filled by the polymer with no significant change of the structures except that the polymer was infiltrated in the pores. The photoluminescence (PL) of the structures at 300 K showed that the emission intensity was very high as compared with that of the MEH-PPV films on different substrates such as crystalline silicon (c-Si) and indium tin oxide (ITO). The PL peak in the MEH-PPV/porous silicon composite structure is found to be shifted towards higher energy in comparison with porous silicon PL. A number of possibilities are discussed to explain the observations
Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology
Directory of Open Access Journals (Sweden)
Eugenijus SHATKOVSKIS
2012-09-01
Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428
Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.
1991-01-01
A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.
Study of porous silicon morphologies for electron transport
International Nuclear Information System (INIS)
Pang, Y.; Demroff, H.P.; Elliott, T.S.; Lee, B.; Lu, J.; Madduri, V.B.; Mazumdar, T.K.; McIntyre, P.M.; Smith, D.D.; Trost, H.J.
1993-01-01
Field emitter devices are being developed for the gigatron, a high-efficiency, high frequency and high power microwave source. One approach being investigated is porous silicon, where a dense matrix of nanoscopic pores are galvanically etched into a silicon surface. In the present paper pore morphologies were used to characterize these materials. Using of Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) images of both N-type and P-type porous layers, it is found that pores propagate along the crystallographic direction, perpendicular to the surface of (100) silicon. Distinct morphologies were observed systematically near the surface, in the main bulk and near the bottom of N-type (100) silicon lift-off samples. It is seen that the pores are not cylindrical but exhibit more or less approximately square cross sections. X-ray diffraction spectra and electron diffraction patterns verified that bulk porous silicon is still a single crystal. In addition, a Scanning Tunnelling Microscope (STM) and an Atomic Force Microscope (AFM) were successfully applied to image the 40 angstrom gold film structure which was coated upon a cooled porous silicon layer. By associating the morphology study with the measured emitting current density of the Oxidized Porous Silicon Field Emission Triode (OPSFET), techniques for the surface treatment of porous silicon will be optimized
A study of positron irradiated porous silicon
International Nuclear Information System (INIS)
Huang Yuanming; Xue Qing; Zhai Baogai; Xu Aijun; Liu Shewen; Yu Weizhong
1998-01-01
The effect of positron irradiation on photoluminescence (PL) of porous silicon has been studied. After four hour positron irradiation, the red PL spectrum of porous silicon blue shifts into greenish spectral region, and a higher energy luminescence band is introduced into this blueshifted spectrum. The fourier transform infrared absorption experiment shows that the positron irradiation can cause further oxidization of porous silicon. A possible mechanism causing this change of PL spectra after positron irradiation is suggested
Electrochemical impedance spectroscopy of oxidized porous silicon
Energy Technology Data Exchange (ETDEWEB)
Mula, Guido, E-mail: guido.mula@unica.it [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Tiddia, Maria V. [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Ruffilli, Roberta [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Falqui, Andrea [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Palmas, Simonetta; Mascia, Michele [Dipartimento di Ingegneria Meccanica Chimica e dei Materiali, Università degli Studi di Cagliari, Piazza d' Armi, 09126 Cagliari (Italy)
2014-04-01
We present a study of the electrochemical oxidation process of porous silicon. We analyze the effect of the layer thickness (1.25–22 μm) and of the applied current density (1.1–11.1 mA/cm{sup 2}, values calculated with reference to the external samples surface) on the oxidation process by comparing the galvanostatic electrochemical impedance spectroscopy (EIS) measurements and the optical specular reflectivity of the samples. The results of EIS were interpreted using an equivalent circuit to separate the contribution of different sample parts. A different behavior of the electrochemical oxidation process has been found for thin and thick samples: whereas for thin samples the oxidation process is univocally related to current density and thickness, for thicker samples this is no more true. Measurements by Energy Dispersive Spectroscopy using a Scanning Electron Microscopy confirmed that the inhomogeneity of the electrochemical oxidation process is increased by higher thicknesses and higher currents. A possible explanation is proposed to justify the different behavior of thin and thick samples during the electrochemical process. - Highlights: • A multidisciplinary approach on porous Si electrochemical oxidation is proposed. • Electrochemical, optical, and structural characterizations are used. • Layer thickness and oxidation current effects are shown. • An explanation of the observed behavior is proposed.
Scattering characteristics from porous silicon
Directory of Open Access Journals (Sweden)
R. Sabet-Dariani
2000-12-01
Full Text Available Porous silicon (PS layers come into existance as a result of electrochemical anodization on silicon. Although a great deal of research has been done on the formation and optical properties of this material, the exact mechanism involved is not well-understood yet. In this article, first, the optical properties of silicon and porous silicon are described. Then, previous research and the proposed models about reflection from PS and the origin of its photoluminescence are reveiwed. The reflecting and scattering, absorption and transmission of light from this material, are then investigated. These experiments include,different methods of PS sample preparation their photoluminescence, reflecting and scattering of light determining different characteristics with respect to Si bulk.
Photovoltaic characteristics of porous silicon /(n+ - p) silicon solar cells
International Nuclear Information System (INIS)
Dzhafarov, T.D.; Aslanov, S.S.; Ragimov, S.H.; Sadigov, M.S.; Nabiyeva, A.F.; Yuksel, Aydin S.
2012-01-01
Full text : The purpose of this work is to improve the photovoltaic parameters of the screen-printed silicon solar cells by formation the nano-porous silicon film on the frontal surface of the cell. The photovoltaic characteristics of two type silicon solar cells with and without porous silicon layer were measured and compared. A remarkable increment of short-circuit current density and the efficiency by 48 percent and 20 percent, respectively, have been achieved for PS/(n + - pSi) solar cell comparing to (n + - p)Si solar cell without PS layer
Polycrystalline Silicon Gettered by Porous Silicon and Heavy Phosphorous Diffusion
Institute of Scientific and Technical Information of China (English)
LIU Zuming(刘祖明); Souleymane K Traore; ZHANG Zhongwen(张忠文); LUO Yi(罗毅)
2004-01-01
The biggest barrier for photovoltaic (PV) utilization is its high cost, so the key for scale PV utilization is to further decrease the cost of solar cells. One way to improve the efficiency, and therefore lower the cost, is to increase the minority carrier lifetime by controlling the material defects. The main defects in grain boundaries of polycrystalline silicon gettered by porous silicon and heavy phosphorous diffusion have been studied. The porous silicon was formed on the two surfaces of wafers by chemical etching. Phosphorous was then diffused into the wafers at high temperature (900℃). After the porous silicon and diffusion layers were removed, the minority carrier lifetime was measured by photo-conductor decay. The results show that the lifetime's minority carriers are increased greatly after such treatment.
Carbon Nanotube Templated Microfabrication of Porous Silicon-Carbon Materials
Song, Jun; Jensen, David; Dadson, Andrew; Vail, Michael; Linford, Matthew; Vanfleet, Richard; Davis, Robert
2010-10-01
Carbon nanotube templated microfabrication (CNT-M) of porous materials is demonstrated. Partial chemical infiltration of three dimensional carbon nanotube structures with silicon resulted in a mechanically robust material, precisely structured from the 10 nm scale to the 100 micron scale. Nanoscale dimensions are determined by the diameter and spacing of the resulting silicon/carbon nanotubes while the microscale dimensions are controlled by lithographic patterning of the CNT growth catalyst. We demonstrate the utility of this hierarchical structuring approach by using CNT-M to fabricate thin layer chromatography (TLC) separations media with precise microscale channels for fluid flow control and nanoscale porosity for high analyte capacity.
Directory of Open Access Journals (Sweden)
Claudia Renata Borges Miranda
2004-12-01
Full Text Available Porous silicon layers (PSL were produced by stain etching from a HF:HNO3 500:1 mixture with etching time varying in the range of 1 up to 10 min. The samples have presented nanometric porosity as a function of etching time, characteristic of heavily doped p type silicon. The residual stress and the correlation length of the layers were obtained through the analysis of the micro-Raman spectra using a phonon confinement model including a term to account for the amorphous phase. The residual compressive stress tends to increase as expected due to the contribution of smaller crystallites to be more representative as the etching time increases. PbTe thin films were electrodeposited on PSL from aqueous alkaline solutions of Pb(CH3COO2, disodium salt of ethylendiaminetetraacetic acid (EDTA and TeO2 by galvanostatic and potentiostatic method. It was also obtained nanostructured PbTe thin films with polycrystalline morphology evidenced by X-ray Diffraction (XRD spectra. Scanning Electron Microscopy (SEM analysis has demonstrated good films reproducibility with an average grain size of 100 nm.
Element depth profiles of porous silicon
International Nuclear Information System (INIS)
Kobzev, A.P.; Nikonov, O.A.; Kulik, M.; Zuk, J.; Krzyzanowska, H.; Ochalski, T.J.
1997-01-01
Element depth profiles of porous silicon were measured on the Van-de-Graaff accelerator in the energy range of 4 He + ions from 2 to 3.2 MeV. Application of complementary RBS, ERD and 16 O(α,α) 16 O nuclear reaction methods permits us to obtain: 1) the exact silicon, oxygen and hydrogen distribution in the samples, 2) the distribution of partial pore concentrations. The oxygen concentration in porous silicon reaches 30%, which allows one to assume the presence of silicon oxide in the pores and to explain the spectrum shift of luminescence into the blue area
A Mechanochemical Approach to Porous Silicon Nanoparticles Fabrication
Directory of Open Access Journals (Sweden)
Luca De Stefano
2011-06-01
Full Text Available Porous silicon samples have been reduced in nanometric particles by a well known industrial mechanical process, the ball grinding in a planetary mill; the process has been extended to crystalline silicon for comparison purposes. The silicon nanoparticles have been studied by X-ray diffraction, infrared spectroscopy, gas porosimetry and transmission electron microscopy. We have estimated crystallites size from about 50 nm for silicon to 12 nm for porous silicon. The specific surface area of the powders analyzed ranges between 100 m2/g to 29 m2/g depending on the milling time, ranging from 1 to 20 h. Electron microscopy confirms the nanometric size of the particles and reveals a porous structure in the powders obtained by porous silicon samples which has been preserved by the fabrication conditions. Chemical functionalization during the milling process by a siloxane compound has also been demonstrated.
Porous silicon localization for implementation in matrix biosensors
International Nuclear Information System (INIS)
Benilov, A.; Cabrera, M.; Skryshevsky, V.; Martin, J.-R.
2007-01-01
The search of appropriate substrates and methods of surface DNA functionalisation is one of the important tasks of semiconductor biosensors. In this work we develop a method of light-assisted porous silicon etching in order to localize porous silicon spots on silicon substrate for matrix fluorophore-labeled DNA sensors implementation. The principal difference of porous spots localization proposed is considered for n- and p-type Si substrates under the condition of supplementary illumination. The tuning of the porous profile via applying of lateral electric field is proposed and experimentally proved
White-light emission from porous-silicon-aluminium Schottky junctions
International Nuclear Information System (INIS)
Masini, G.; La Monica, S.; Maiello, G.
1996-01-01
Porous-silicon-based white-light-emitting devices are presented. The fabrication process on different substrates is described. The peculiarities of technological steps for device fabrication (porous-silicon formation and aluminium treatment) are underlined. Doping profile of the porous layer, current-voltage characteristics, time response, lifetime tests and electroluminescence emission spectrum of the device are presented. A model for electrical behaviour of Al/porous silicon Schottky junction is presented. Electroluminescence spectrum of the presented devices showed strong similarities with white emission from crystalline silicon junctions in the breakdown region
Investigation of the interface region between a porous silicon layer and a silicon substrate
International Nuclear Information System (INIS)
Lee, Ki-Won; Park, Dae-Kyu; Kim, Young-You; Shin, Hyun-Joon
2005-01-01
Atomic force microscopy (AFM) measurement and X-ray diffraction (XRD) analysis were performed to investigate the physical and structural characteristics of the interface region between a porous silicon layer and a silicon substrate. We discovered that, when anodization time was increased under a constant current density, the Si crystallites in the interface region became larger and formed different lattice parameters than observed in the porous silicon layer. Secondary ion mass spectrometry (SIMS) analysis also revealed that the Si was more concentrated in the interface region than in the porous silicon layer. These results were interpreted by the deficiency of the HF solution in reaching to the interface through the pores during the porous silicon formation
Porous silicon damage enhanced phosphorus and aluminium gettering of p-type Czochralski silicon
International Nuclear Information System (INIS)
Hassen, M.; Ben Jaballah, A.; Hajji, M.; Rahmouni, H.; Selmi, A.; Ezzaouia, H.
2005-01-01
In this work, porous silicon damage (PSD) is presented as a simple sequence for efficient external purification techniques. The method consists of using thin nanoporous p-type silicon on both sides of the silicon substrates with randomly hemispherical voids. Then, two main sample types are processed. In the first type, thin aluminium layers (≥1 μm) are thermally evaporated followed by photo-thermal annealing treatments in N 2 atmosphere at one of several temperatures ranging between 600 and 800 deg. C. In the second type, phosphorus is continually diffused in N 2 /O 2 ambient in a solid phase from POCl 3 solution during heating at one of several temperatures ranging between 750 and 1000 deg. C for 1 h. Hall Effect and Van Der Pauw methods prove the existence of an optimum temperature in the case of phosphorus gettering at 900 deg. C yielding a Hall mobility of about 982 cm 2 V -1 s -1 . However, in the case of aluminium gettering, there is no gettering limit in the as mentioned temperature range. Metal/Si Schottky diodes are elaborated to clarify these improvements. In this study, we demonstrate that enhanced metal solubility model cannot explain the gettering effect. The solid solubility of aluminium is higher than that of P atoms in silicon; however, the device yield confirms the effectiveness of phosphorus as compared to aluminium
Joining of porous silicon carbide bodies
Bates, Carl H.; Couhig, John T.; Pelletier, Paul J.
1990-05-01
A method of joining two porous bodies of silicon carbide is disclosed. It entails utilizing an aqueous slip of a similar silicon carbide as was used to form the porous bodies, including the sintering aids, and a binder to initially join the porous bodies together. Then the composite structure is subjected to cold isostatic pressing to form a joint having good handling strength. Then the composite structure is subjected to pressureless sintering to form the final strong bond. Optionally, after the sintering the structure is subjected to hot isostatic pressing to further improve the joint and densify the structure. The result is a composite structure in which the joint is almost indistinguishable from the silicon carbide pieces which it joins.
Use of porous silicon to minimize oxidation induced stacking fault defects in silicon
International Nuclear Information System (INIS)
Shieh, S.Y.; Evans, J.W.
1992-01-01
This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters
Application of porous silicon in solar cell
Maniya, Nalin H.; Ashokan, Jibinlal; Srivastava, Divesh N.
2018-05-01
Silicon is widely used in solar cell applications with over 95% of all solar cells produced worldwide composed of silicon. Nanostructured thin porous silicon (PSi) layer acting as anti-reflecting coating is used in photovoltaic solar cells due to its advantages including simple and low cost fabrication, highly textured surfaces enabling lowering of reflectance, controllability of thickness and porosity of layer, and high surface area. PSi layers have previously been reported to reduce the reflection of light and replaced the conventional anti-reflective coating layers on solar cells. This can essentially improve the efficiency and decrease the cost of silicon solar cells. Here, we investigate the reflectance of different PSi layers formed by varying current density and etching time. PSi layers were formed by a combination of current density including 60 and 80 mA/cm2 and time for fabrication as 2, 4, 6, and 8 seconds. The fabricated PSi layers were characterized using reflectance spectroscopy and field emission scanning electron microscopy. Thickness and pore size of PSi layer were increased with increase in etching time and current density, respectively. The reflectance of PSi layers was decreased with increase in etching time until 6 seconds and increased again after 6 seconds, which was observed across both the current density. Reduction in reflectance indicates the increase of absorption of light by silicon due to the thin PSi layer. In comparison with the reflectance of silicon wafer, PSi layer fabricated at 80 mA/cm2 for 6 seconds gave the best result with reduction in reflectance up to 57%. Thus, the application of PSi layer as an effective anti-reflecting coating for the fabrication of solar cell has been demonstrated.
Correlation between surface microstructure and optical properties of porous silicon
Directory of Open Access Journals (Sweden)
Saeideh Rhramezani Sani
2007-12-01
Full Text Available We have studied the effect of increasing porosity and its microstructure surface variation on the optical and dielectric properties of porous silicon. It seems that porosity, as the surface roughness within the range of a few microns, shows quantum effect in the absorption and reflection process of porous silicon. Optical constants of porous silicon at normal incidence of light with wavelength in the range of 250-3000 nm have been calculated by Kramers-Kroning method. Our experimental analysis shows that electronic structure and dielectric properties of porous silicon are totally different from silicon. Also, it shows that porous silicon has optical response in the visible region. This difference was also verified by effective media approximation (EMA.
Lighting emitting microstructures in porous silicon
International Nuclear Information System (INIS)
Squire, E.
1999-01-01
Experimental and theoretical techniques are used to examine microstructuring effects on the optical properties of single layer, multilayer, single and multiple microcavity structures fabricated from porous silicon. Two important issues regarding the effects of the periodic structuring of this material are discussed. Firstly, the precise role played by this microstructuring, given that the luminescence is distributed throughout the entire structure and the low porosity layers are highly absorbing at short wavelengths. The second issue examined concerns the observed effects on the optical spectra of the samples owing to the emission bandwidth of the material being greater than the optical stopband of the structure. Measurements of the reflectivity and photoluminescence spectra of different porous silicon microstructures are presented and discussed. The results are modelled using a transfer matrix technique. The matrix method has been modified to calculate the optical spectra of porous silicon specifically by accounting for the effects of dispersion, absorption and emission within the material. Layer thickness and porosity gradients have also been included in the model. The dielectric function of the two component layers (i.e. silicon and air) is calculated using the Looyenga formula. This approach can be adapted to suit other porous semiconductors if required. Examination of the experimental results have shown that the emitted light is strongly controlled by the optical modes of the structures. Furthermore, the data display an interplay of a wide variety of effects dependent upon the structural composition. Comparisons made between the experimental and calculated reflectivity and photoluminescence spectra of many different porous silicon microstructures show very good agreement. (author)
Ion beam analysis of thin films. Applications to porous silicon
International Nuclear Information System (INIS)
Ortega, C.; Grosman, A.; Morazzani, V.
1995-01-01
The aim of this paper is twofold: (1)- to present a summary of the fundamental interactions between ion beam (such as proton, deuteron or helium) of MeV energy and solids, interactions that are used in material analysis techniques such as Rutherford Backscattering Spectrometry (RBS), Elastic Recoil Detection Analysis (ERDA) and Nuclear Reaction Analysis (NRA), and (2)- to illustrate the use of these techniques to determine the composition of the surface and outer microns of material. Some examples will be given concerning porous silicon layers. (authors). 38 refs., 25 figs., 3 tabs
Porosity and thickness effect of porous silicon layer on photoluminescence spectra
Husairi, F. S.; Eswar, K. A.; Guliling, Muliyadi; Khusaimi, Z.; Rusop, M.; Abdullah, S.
2018-05-01
The porous silicon nanostructures was prepared by electrochemical etching of p-type silicon wafer. Porous silicon prepared by using different current density and fix etching time with assistance of halogen lamp. The physical structure of porous silicon measured by the parameters used which know as experimental factor. In this work, we select one of those factors to correlate which optical properties of porous silicon. We investigated the surface morphology by using Surface Profiler (SP) and photoluminescence using Photoluminescence (PL) spectrometer. Different physical characteristics of porous silicon produced when current density varied. Surface profiler used to measure the thickness of porous and the porosity calculated using mass different of silicon. Photoluminescence characteristics of porous silicon depend on their morphology because the size and distribution of pore its self will effect to their exciton energy level. At J=30 mA/cm2 the shorter wavelength produced and it followed the trend of porosity with current density applied.
Surface wave photonic device based on porous silicon multilayers
International Nuclear Information System (INIS)
Guillermain, E.; Lysenko, V.; Benyattou, T.
2006-01-01
Porous silicon is widely studied in the field of photonics due to its interesting optical properties. In this work, we present theoretical and first experimental studies of a new kind of porous silicon photonic device based on optical surface wave. A theoretical analysis of the device is presented using plane-wave approximation. The porous silicon multilayered structures are realized using electrochemical etching of p + -type silicon. Morphological and optical characterizations of the realized structures are reported
Process for forming a porous silicon member in a crystalline silicon member
Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.
1999-01-01
Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.
Intravitreal properties of porous silicon photonic crystals
Cheng, L; Anglin, E; Cunin, F; Kim, D; Sailor, M J; Falkenstein, I; Tammewar, A; Freeman, W R
2009-01-01
Aim To determine the suitability of porous silicon photonic crystals for intraocular drug-delivery. Methods A rugate structure was electrochemically etched into a highly doped p-type silicon substrate to create a porous silicon film that was subsequently removed and ultrasonically fractured into particles. To stabilise the particles in aqueous media, the silicon particles were modified by surface alkylation (using thermal hydrosilylation) or by thermal oxidation. Unmodified particles, hydrosilylated particles and oxidised particles were injected into rabbit vitreous. The stability and toxicity of each type of particle were studied by indirect ophthalmoscopy, biomicroscopy, tonometry, electroretinography (ERG) and histology. Results No toxicity was observed with any type of the particles during a period of >4 months. Surface alkylation led to dramatically increased intravitreal stability and slow degradation. The estimated vitreous half-life increased from 1 week (fresh particles) to 5 weeks (oxidised particles) and to 16 weeks (hydrosilylated particles). Conclusion The porous silicon photonic crystals showed good biocompatibility and may be used as an intraocular drug-delivery system. The intravitreal injectable porous silicon photonic crystals may be engineered to host a variety of therapeutics and achieve controlled drug release over long periods of time to treat chronic vitreoretinal diseases. PMID:18441177
Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices
Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)
1998-01-01
Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.
Effect of potential steps on porous silicon formation
International Nuclear Information System (INIS)
Cheng Xuan; Feng Zude; Luo Guangfeng
2003-01-01
Porous silicon microstructures were fabricated by applying potential steps through which both anodic and cathodic potentials were periodically applied to silicon wafers. The electrochemical behaviors of porous silicon layers were examined by performing polarization measurements, followed by analyzing the open-circuit potential (E ocp ) and the reaction rate in terms of corrosion current density (j corr ). The surface morphologies and surface products of porous silicon were characterized by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). It was found that the values of E ocp and j corr varied more significantly and irregularly during different polarization stages when the potentials were continuously applied to the wafer surface, while virtually unchanged after 2 min of periodic potential application. In addition, slower reaction rates were observed with applying potential steps, as indicated by smaller values of j corr . The enhancement on refreshment of silicon surfaces by periodic potential polarization significantly accelerated the growth of porous silicon. The microstructures became more uniformed and better defined due to the improved passivating nature of wafer surfaces
Porous Silicon Sensors- Elusive and Erudite
H. Saha, Prof.
2017-01-01
Porous Silicon Sensors have been fabricated and tested successfully over the last few years as humidity sensors, vapour sensors, gas sensors, piezoresistive pressure sensors and bio- sensors. In each case it has displayed remarkably sensitivity, relatively low temperature operation and ease of fabrication. Brief description of fabrication and properties of all these types of different sensors is reported in this paper. The barriers of porous silicon like contact, non- uniformity, instability ...
Effect of gamma irradiation on the photoluminescence of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Goryachev, D. N. [Russian Academy of Sciences, Ioffe Institute (Russian Federation); Zakharova, I. B. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Sreseli, O. M. [Russian Academy of Sciences, Ioffe Institute (Russian Federation)
2017-04-15
The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a change in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.
Electrical behavior of free-standing porous silicon layers
International Nuclear Information System (INIS)
Bazrafkan, I.; Dariani, R.S.
2009-01-01
The electrical behavior of porous silicon (PS) layers has been investigated on one side of p-type silicon with various anodization currents and electrolytes. The two contact I-V characteristic is assigned by the metal/porous silicon rectifying interface, whereas, by using the van der Pauw technique, a nonlinear dependence of the current vs voltage was found. By using Dimethylformamide (DMF) in electrolyte, regular structures and columns were formed and porosity increased. Our results showed that by using DMF, surface resistivity of PS samples increased and became double for free-standing porous silicon (FPS). The reason could be due to increasing surface area and adsorbing some more gas molecules. Activation energy of PS samples was also increased from 0.31 to 0.34 eV and became 0.35 eV for FPS. The changes induced by storage are attributed to the oxidation process of the internal surface of free-standing porous silicon layers.
Wavelength tuning of porous silicon microcavities
International Nuclear Information System (INIS)
Mulders, J.; Reece, P.; Zheng, W.H.; Lerondel, G.; Sun, B.; Gal, M.
2002-01-01
Full text: In the last decade much attention has been given to porous silicon (PS) for optoelectronic applications, which include efficient room temperature light emission as well as microcavity formation. Due to the large specific surface area, the use of porous silicon microcavities (PSMs) has been proposed for chemical sensing. Large wavelength shifts have indicated that the optical properties of PSMs are indeed strongly dependent on the environment. In this paper, we report the shifting of the resonance frequency of high quality PSMs, with the aim of tuning a future PS device to a certain required wavelength. The PSM samples were prepared by anodically etching p + -doped (5mΩcm) bulk silicon wafer in a solution (25%) of aqueous HF and ethanol. The device structure consisted of a PS layer sandwiched between 2 stacks of thin PS layers with alternating high and low effective refractive indices (RI), i.e. distributed Bragg mirrors (DBM). The layer thickness depends on the etch time while the porosity and hence refractive index is determined by the current density as the Si is etched. The position and the width of the stop-band can be fully controlled by the design of the DBMs, with the microcavity resonance mode sitting within the stop-band. We achieved tuning of the microcavity resonance by a number of methods, including temperature dependent tuning. The temperature induced wavelength shift was found to be of the order of 10 -15 nm. Computer modeling of these changes in the reflectivity spectra allowed us to quantify the changes of the effective refractive index and the respective layer thicknesses
Corrosion of porous silicon in tetramethylammonium hydroxide solution
International Nuclear Information System (INIS)
Lai, Chuan; Li, Xue-Ming; Zou, Li-Ke; Chen, Qiang; Xie, Bin; Li, Yu-Lian; Li, Xiao-Lin; Tao, Zhi
2014-01-01
Highlights: • The corrosion of porous silicon in (CH 3 ) 4 NOH solution was studied. • The residue of corrosion products was a mixture of [(CH 3 ) 4 N] 2 SiO 3 and SiO 2 . • The effect factors for porous silicon corrosion were elaborately investigated. • The additive of ethanol in (CH 3 ) 4 NOH solution could reduce the corrosion rate. • The 1.0 M (CH 3 ) 4 NOH could act as an applicable and novel corrosion solution. - Abstract: Corrosion of porous silicon in tetramethylammonium hydroxide (TMAH) solution was studied using weight loss measurements and scanning electron microscope. The effects of temperature, concentration of TMAH and volume ratio of ethanol in 1.0 M TMAH on corrosion rate and corrosion time were elaborately investigated. The residue of corrosion products were characterized as a mixture of [(CH 3 ) 4 N] 2 SiO 3 and SiO 2 . A comparative test among TMAH, KOH and NaOH illustrated that the 1.0 M TMAH could act as an applicable and novel corrosion solution to remove porous silicon layer for determining the porosity of porous silicon
Small-scale, self-propagating combustion realized with on-chip porous silicon.
Piekiel, Nicholas W; Morris, Christopher J
2015-05-13
For small-scale energy applications, energetic materials represent a high energy density source that, in certain cases, can be accessed with a very small amount of energy input. Recent advances in microprocessing techniques allow for the implementation of a porous silicon energetic material onto a crystalline silicon wafer at the microscale; however, combustion at a small length scale remains to be fully investigated, particularly with regards to the limitations of increased relative heat loss during combustion. The present study explores the critical dimensions of an on-chip porous silicon energetic material (porous silicon + sodium perchlorate (NaClO4)) required to propagate combustion. We etched ∼97 μm wide and ∼45 μm deep porous silicon channels that burned at a steady rate of 4.6 m/s, remaining steady across 90° changes in direction. In an effort to minimize the potential on-chip footprint for energetic porous silicon, we also explored the minimum spacing between porous silicon channels. We demonstrated independent burning of porous silicon channels at a spacing of 0.5 m on a chip surface area of 1.65 cm(2). Smaller porous silicon channels of ∼28 μm wide and ∼14 μm deep were also utilized. These samples propagated combustion, but at times, did so unsteadily. This result may suggest that we are approaching a critical length scale for self-propagating combustion in a porous silicon energetic material.
Drug delivery via porous silicon: a focused patent review.
Kulyavtsev, Paulina A; Spencer, Roxanne P
2017-03-01
Although silicon is more commonly associated with computer chips than with drug delivery, with the discovery that porous silicon is a viable biocompatible material, mesoporous silicon with pores between 2 and 50 nm has been loaded with small molecule and biomolecule therapeutics and safely implanted for controlled release. As porous silicon is readily oxidized, porous silica must also be considered for drug delivery applications. Since 2010, only a limited number of US patents have been granted, primarily for ophthalmologic and immunotherapy applications, in contrast to the growing body of technical literature in this area.
The processing and potential applications of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Syyuan Shieh.
1992-07-01
Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O{sub 2}, NH{sub 3}) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.
The processing and potential applications of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Shieh, Syyuan [Univ. of California, Berkeley, CA (United States)
1992-07-01
Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O2, NH3) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.
Bahar, Mahmood; Dermani, Ensieh Khalili
The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.
Microelectromechanical pump utilizing porous silicon
Lantz, Jeffrey W [Albuquerque, NM; Stalford, Harold L [Norman, OK
2011-07-19
A microelectromechanical (MEM) pump is disclosed which includes a porous silicon region sandwiched between an inlet chamber and an outlet chamber. The porous silicon region is formed in a silicon substrate and contains a number of pores extending between the inlet and outlet chambers, with each pore having a cross-section dimension about equal to or smaller than a mean free path of a gas being pumped. A thermal gradient is provided along the length of each pore by a heat source which can be an electrical resistance heater or an integrated circuit (IC). A channel can be formed through the silicon substrate so that inlet and outlet ports can be formed on the same side of the substrate, or so that multiple MEM pumps can be connected in series to form a multi-stage MEM pump. The MEM pump has applications for use in gas-phase MEM chemical analysis systems, and can also be used for passive cooling of ICs.
Porous silicon nanoparticles for target drag delivery: structure and morphology
International Nuclear Information System (INIS)
Spivak, Yu M; Belorus, A O; Somov, P A; Bespalova, K A; Moshnikov, V A; Tulenin, S S
2015-01-01
Nanoparticles of porous silicon were obtained by electrochemical anodic etching. Morphology and structure of the particles was investigated by means dynamic light scattering and scanning electron microscopy. The influence of technological conditions of preparation on geometrical parameters of the porous silicon particles (particle size distribution, pore shape and size, the specific surface area of the porous silicon) is discussed. (paper)
Influence of surface wettability on cathode electroluminescence of porous silicon
International Nuclear Information System (INIS)
Goryachev, D.N.; Sreseli, O.M.; Belyakov, L.V.
1997-01-01
Influence of porous silicon wettability on efficiency of its cathode electroluminescence in electrolytes was investigated. It was revealed that increase of porous silicon wettability by electrolyte improved contact with a sublayer and provided generation of sufficient quantity of charge carriers. Diffusion - ionic, not electronic mechanism of charge transfer to the centers of micro crystallite electroluminescence is observed in porous silicon - electrolyte systems
Surface engineered porous silicon for stable, high performance electrochemical supercapacitors
Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.
2013-10-01
Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10-40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage.
Surface engineered porous silicon for stable, high performance electrochemical supercapacitors
Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.
2013-01-01
Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10–40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage. PMID:24145684
Refractive index contrast in porous silicon multilayers
Energy Technology Data Exchange (ETDEWEB)
Nava, R.; Mora, M.B. de la; Tagueena-Martinez, J. [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Temixco, Morelos (Mexico); Rio, J.A. del [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Temixco, Morelos (Mexico); Centro Morelense de Innovacion y Transferencia Tecnologica, Consejo de Ciencia y Tecnologia del Estado de Morelos (Mexico)
2009-07-15
Two of the most important properties of a porous silicon multilayer for photonic applications are flat interfaces and a relative large refractive index contrast between layers in the optical wavelength range. In this work, we studied the effect of the current density and HF electrolyte concentration on the refractive index of porous silicon. With the purpose of increasing the refractive index contrast in a multilayer, the refractive index of porous silicon produced at low current was studied in detail. The current density applied to produce the low porosity layers was limited in order to keep the electrolyte flow through the multilayer structure and to avoid deformation of layer interfaces. We found that an electrolyte composed of hydrofluoric acid, ethanol and glycerin in a ratio of 3:7:1 gives a refractive index contrast around 1.3/2.8 at 600 nm. Several multilayer structures with this refractive index contrast were fabricated, such as dielectric Bragg mirrors and microcavities. Reflectance spectra of the structures show the photonic quality of porous silicon multilayers produced under these electrochemical conditions. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Confocal imaging of protein distributions in porous silicon optical structures
International Nuclear Information System (INIS)
De Stefano, Luca; D'Auria, Sabato
2007-01-01
The performances of porous silicon optical biosensors depend strongly on the arrangement of the biological probes into their sponge-like structures: it is well known that in this case the sensing species do not fill the pores but instead cover their internal surface. In this paper, the direct imaging of labelled proteins into different porous silicon structures by using a confocal laser microscope is reported. The distribution of the biological matter in the nanostructured material follows a Gaussian behaviour which is typical of the diffusion process in the porous media but with substantial differences between a porous silicon monolayer and a multilayer such as a Bragg mirror. Even if semi-quantitative, the results can be very useful in the design of the porous silicon based biosensing devices
Structural modification of silicon during the formation process of porous silicon
International Nuclear Information System (INIS)
Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.
2005-01-01
Direct examination of porous silicon (PS) by the use of high resolution transmission electron microscopy (HRTEM) allowed us to perform a deep insight into the formation mechanisms of this material. In particular, the structure of the PS/Si interface and that of the silicon nanocrystals that compose porous silicon were analyzed in detail. Furthermore, image processing was used to study in detail the structure of PS. The mechanism of PS formation and lattice matching between the PS layer and the Si substrate is analyzed and discussed. Finally, a formation mechanism for PS based on the experimental observations is proposed
Electrochemical Fabrication of Nanostructures on Porous Silicon for Biochemical Sensing Platforms.
Ko, Euna; Hwang, Joonki; Kim, Ji Hye; Lee, Joo Heon; Lee, Sung Hwan; Tran, Van-Khue; Chung, Woo Sung; Park, Chan Ho; Choo, Jaebum; Seong, Gi Hun
2016-01-01
We present a method for the electrochemical patterning of gold nanoparticles (AuNPs) or silver nanoparticles (AgNPs) on porous silicon, and explore their applications in: (1) the quantitative analysis of hydroxylamine as a chemical sensing electrode and (2) as a highly sensitive surface-enhanced Raman spectroscopy (SERS) substrate for Rhodamine 6G. For hydroxylamine detection, AuNPs-porous silicon can enhance the electrochemical oxidation of hydroxylamine. The current changed linearly for concentrations ranging from 100 μM to 1.32 mM (R(2) = 0.995), and the detection limit was determined to be as low as 55 μM. When used as SERS substrates, these materials also showed that nanoparticles decorated on porous silicon substrates have more SERS hot spots than those decorated on crystalline silicon substrates, resulting in a larger SERS signal. Moreover, AgNPs-porous silicon provided five-times higher signal compared to AuNPs-porous silicon. From these results, we expect that nanoparticles decorated on porous silicon substrates can be used in various types of biochemical sensing platforms.
Porous silicon advances in drug delivery and immunotherapy.
Savage, David J; Liu, Xuewu; Curley, Steven A; Ferrari, Mauro; Serda, Rita E
2013-10-01
Biomedical applications of porous silicon include drug delivery, imaging, diagnostics and immunotherapy. This review summarizes new silicon particle fabrication techniques, dynamics of cellular transport, advances in the multistage vector approach to drug delivery, and the use of porous silicon as immune adjuvants. Recent findings support superior therapeutic efficacy of the multistage vector approach over single particle drug delivery systems in mouse models of ovarian and breast cancer. With respect to vaccine development, multivalent presentation of pathogen-associated molecular patterns on the particle surface creates powerful platforms for immunotherapy, with the porous matrix able to carry both antigens and immune modulators. Copyright © 2013 Elsevier Ltd. All rights reserved.
Porous silicon based anode material formed using metal reduction
Anguchamy, Yogesh Kumar; Masarapu, Charan; Deng, Haixia; Han, Yongbong; Venkatachalam, Subramanian; Kumar, Sujeet; Lopez, Herman A.
2015-09-22
A porous silicon based material comprising porous crystalline elemental silicon formed by reducing silicon dioxide with a reducing metal in a heating process followed by acid etching is used to construct negative electrode used in lithium ion batteries. Gradual temperature heating ramp(s) with optional temperature steps can be used to perform the heating process. The porous silicon formed has a high surface area from about 10 m.sup.2/g to about 200 m.sup.2/g and is substantially free of carbon. The negative electrode formed can have a discharge specific capacity of at least 1800 mAh/g at rate of C/3 discharged from 1.5V to 0.005V against lithium with in some embodiments loading levels ranging from about 1.4 mg/cm.sup.2 to about 3.5 mg/cm.sup.2. In some embodiments, the porous silicon can be coated with a carbon coating or blended with carbon nanofibers or other conductive carbon material.
High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation
Energy Technology Data Exchange (ETDEWEB)
Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)
2012-12-15
Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.
Modification of porous silicon rugate filters through thiol-yne photochemistry
International Nuclear Information System (INIS)
Soeriyadi, Alexander H.; Zhu, Ying; Gooding, J. Justin; Reece, Peter
2014-01-01
Porous silicon (PSi) has a considerable potential as biosensor platform. In particular, the ability to modify the surface chemistry of porous silicon is of interest. Here we present a generic method to modify the surface of porous silicon through thiol-yne photochemistry initiated by a radical initiator. Firstly, a freshly etched porous silicon substrate is modified through thermal hydrosilylation with 1,8-nonadiyne to passivate the surface and introduce alkyne functionalities. The alkyne functional surface could then be further reacted with thiol species in the presence of a radical initiator and UV light. Functionalization of the PSi rugate filter is followed with optical reflectivity measurements as well as high resolution X-ray photoelectron spectroscopy (XPS)
Erbium doped stain etched porous silicon
International Nuclear Information System (INIS)
Gonzalez-Diaz, B.; Diaz-Herrera, B.; Guerrero-Lemus, R.; Mendez-Ramos, J.; Rodriguez, V.D.; Hernandez-Rodriguez, C.; Martinez-Duart, J.M.
2008-01-01
In this work a simple erbium doping process applied to stain etched porous silicon layers (PSLs) is proposed. This doping process has been developed for application in porous silicon solar cells, where conventional erbium doping processes are not affordable because of the high processing cost and technical difficulties. The PSLs were formed by immersion in a HF/HNO 3 solution to properly adjust the porosity and pore thickness to an optimal doping of the porous structure. After the formation of the porous structure, the PSLs were analyzed by means of nitrogen BET (Brunauer, Emmett and Teller) area measurements and scanning electron microscopy. Subsequently, the PSLs were immersed in a saturated erbium nitrate solution in order to cover the porous surface. Then, the samples were subjected to a thermal process to activate the Er 3+ ions. Different temperatures and annealing times were used in this process. The photoluminescence of the PSLs was evaluated before and after the doping processes and the composition was analyzed by Fourier transform IR spectroscopy
Studies on the reactive melt infiltration of silicon and silicon-molybdenum alloys in porous carbon
Singh, M.; Behrendt, D. R.
1992-01-01
Investigations on the reactive melt infiltration of silicon and silicon-1.7 and 3.2 at percent molybdenum alloys into porous carbon preforms have been carried out by process modeling, differential thermal analysis (DTA) and melt infiltration experiments. These results indicate that the initial pore volume fraction of the porous carbon preform is a critical parameter in determining the final composition of the raction-formed silicon carbide and other residual phases. The pore size of the carbon preform is very detrimental to the exotherm temperatures due to liquid silicon-carbon reactions encountered during the reactive melt infiltration process. A possible mechanism for the liquid silicon-porous (glassy) carbon reaction has been proposed. The composition and microstructure of the reaction-formed silicon carbide has been discussed in terms of carbon preform microstructures, infiltration materials, and temperatures.
Positron annihilation spectroscopy study of porous silicon
International Nuclear Information System (INIS)
Britkov, O.M.; Gavrilov, S.A.; Kalugin, V.V.; Timoshenkov, S.P.; Grafutin, V.I.; Ilyukhina, O.V.; Myasishcheva, G.G.; Prokop'ev, E.P.; Funtikov, Yu.V.
2007-01-01
Experimental studies of porous silicon by means of a standard positron annihilation technique based on measuring the angular distribution of annihilation photons, are reported. It was shown that the spectra of angular correlation of annihilation radiation in porous silicon are approximated well by a parabola (I p ) and two Gaussians (I g1 , I g2 ). The narrow Gaussian component I g1 is most likely due to the annihilation of localized para-positronium in pores. The full width at half maximum is on the order of 0.8 mrad, a value that corresponds to the kinetic energy of an annihilating positron-electron pair (0.079 ± 0.012 eV), and its intensity is about 1.5%. The total positronium yield in porous silicon reaches 6% in this case. The particle radius determined in the study is about 10-20 A [ru
Enhanced photoluminescence from porous silicon by hydrogen-plasma etching
International Nuclear Information System (INIS)
Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.
2005-01-01
Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties
Kooij, Ernst S.; Butter, K.; Kelly, J.J.
1998-01-01
The reduction mechanism of oxidizing agents at silicon and porous silicon electrodes has been investigated in relation to light emission from the porous semiconductor. Oxidizing agents with a positive redox potential are shown to inject holes into HF-pretreated silicon. However, as the degree of
Magnetite nanoparticles embedded in biodegradable porous silicon
International Nuclear Information System (INIS)
Granitzer, P.; Rumpf, K.; Roca, A.G.; Morales, M.P.; Poelt, P.; Albu, M.
2010-01-01
Magnetite nanoparticles, which are coated with oleic acid in a hexane solution and exhibit an average diameter of 7.7 nm, were embedded in a porous silicon (PS) matrix by immersion under defined parameters (e.g. concentration, temperature, time). The porous silicon matrix is prepared by anodization of a highly n-doped silicon wafer in an aqueous HF-solution. Magnetic characterization of the samples has been performed by SQUID-magnetometry. The superparamagnetic behaviour of the magnetite nanoparticles is represented by temperature-dependent magnetization measurements. Zero field (ZFC)/field cooled (FC) experiments indicate magnetic interactions between the particles. For the infiltration into the PS-templates different concentrations of the magnetite nanoparticles are used and magnetization measurements are performed in respect with magnetic interactions between the particles. The achieved porous silicon/magnetite specimens are not only interesting due to their transition between superparamagnetic and ferromagnetic behaviour, and thus for magnetic applications but also because of the non-toxicity of both materials giving the opportunity to employ the system in medical applications as drug delivery or in medical diagnostics.
Effect of γ irradiation on the photoluminescence kinetics of porous silicon
International Nuclear Information System (INIS)
Agekyan, V.F.; Stepanov, Yu.A.; Emtsev, V.V.; Lebedev, A.A.; Poloskin, D.S.; Remenyuk, A.D.
1999-01-01
The effect of γ irradiation on the photoluminescence decay dynamics in porous silicon is investigated. Growth of the photoluminescence intensity and decrease of the decay time in irradiated porous silicon are explained by a lowering of the barriers to recombination of spatially separated electrons and holes via tunneling. The γ irradiation of porous silicon leads to a greater dispersion of the decay time
Making Porous Luminescent Regions In Silicon Wafers
Fathauer, Robert W.; Jones, Eric W.
1994-01-01
Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).
International Nuclear Information System (INIS)
Alfaro, Pedro; Palavicini, Alessio; Wang, Chumin
2014-01-01
Based on the density functional perturbation theory (DFPT), infrared absorption spectra of porous silicon are calculated by using an ordered pore model, in which columns of silicon atoms are removed along the [001] direction and dangling bonds are initially saturated with hydrogen atoms. When these atoms on the pore surface are gradually replaced by oxygen ones, the ab-initio infrared absorption spectra reveal oxygen, hydroxyl, and coupled hydrogen–oxygen vibrational modes. In a parallel way, freestanding porous silicon samples were prepared by using electrochemical etching and they were further thermally oxidized in a dry oxygen ambient. Fourier transform infrared spectroscopy was used to investigate the surface modifications caused by oxygen adsorption. In particular, the predicted hydroxyl and oxygen bound to the silicon pore surface are confirmed. Finally, a global analysis of measured transmittance spectra has been performed by means of a combined DFPT and thin-film optics approach. - Highlights: • The density functional perturbation theory is used to study infrared absorption. • An ordered pore model is used to investigate the oxidation in porous silicon (PSi). • Infrared transmittance spectra of oxidized PSi freestanding samples are measured
Luminescence and optical absorption determination in porous silicon
International Nuclear Information System (INIS)
Nogal, U.; Calderon, A.; Marin, E.; Rojas T, J. B.; Juarez, A. G.
2012-10-01
We applied the photoacoustic spectroscopy technique in order to obtain the optical absorption spectrum in porous silicon samples prepared by electrochemical anodic etching on n-type, phosphorous doped, (100)-oriented crystal-line silicon wafer with thickness of 300 μm and 1-5 ωcm resistivity. The porous layers were prepared with etching times of 13, 20, 30, 40 and 60 minutes. Also, we realized a comparison among the optical absorption spectrum with the photoluminescence and photo reflectance ones, both obtained at room temperature. Our results show that the absorption spectrum of the samples of porous silicon depends notably of the etching time an it consist of two distinguishable absorption bands, one in the Vis region and the other one in the UV region. (Author)
The oxidized porous silicon field emission array
International Nuclear Information System (INIS)
Smith, D.D.; Demroff, H.P.; Elliott, T.S.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Trost, H.J.
1993-01-01
The goal of developing a highly efficient microwave power source has led the authors to investigate new methods of electron field emission. One method presently under consideration involves the use of oxidized porous silicon thin films. The authors have used this technology to fabricate the first working field emission arrays from this substance. This approach reduces the diameter of an individual emitter to the nanometer scale. Tests of the first samples are encouraging, with extracted electron currents to nearly 1 mA resulting from less than 20 V of pulsed DC gate voltage. Modulated emission at 5 MHz was also observed. Developments of a full-scale emission array capable of delivering an electron beam at 18 GHz of minimum density 100 A/cm 2 is in progress
Energy Technology Data Exchange (ETDEWEB)
Nouri, H.; Bessais, B. [Laboratoire de Nanomateriaux et des Systemes pour l' Energie, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Bouaicha, M. [Laboratoire de Photovoltaique, des Semi-conducteurs et des Nanostructures, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)
2009-10-15
In this work we analyse the effect of porous silicon on the performances of multicrystalline silicon (mc-Si) solar cells during the porous silicon-based gettering procedure. This procedure consists of forming PS layers on both front and back sides of the mc-Si wafers followed by an annealing in an infrared furnace under a controlled atmosphere at different temperatures. Three sets of samples (A, B and C) have been prepared; for samples A and B, the PS films were removed before and after annealing, respectively. In order to optimize the annealing temperature, we measure the defect density at a selected grain boundary (GB) using the dark current-voltage (I-V) characteristics across the GB itself. The annealing temperature was optimized to 1000 C. The effect of these treatments on the performances of mc-Si solar cells was studied by means of the current-voltage characteristic (at AM 1.5) and the internal quantum efficiency (IQE). The results obtained for cell A and cell B were compared to those obtained on a reference cell (C). (author)
Rationally designed porous silicon as platform for optical biosensors
International Nuclear Information System (INIS)
Priano, G.; Acquaroli, L.N.; Lasave, L.C.; Battaglini, F.; Arce, R.D.; Koropecki, R.R.
2012-01-01
Optical porous silicon multilayer structures are able to work as sensitive chemical sensors or biosensors based in their optical response. An algorithm to simulate the optical response of these multilayers was developed, considering the optical properties of the individual layers. The algorithm allows designing and customizing the porous silicon structures according to a given application. The results obtained by the simulation were experimentally verified; for this purpose different photonic structures were prepared, such as Bragg reflectors and microcavities. Some of these structures have been derivatized by the introduction of aminosilane groups on the porous silicon surface. The algorithm also permits to simulate the effects produced by a non uniform derivatization of the multilayer. - Highlights: ► Mesoporous silicon structure ► Functionalization of mesoporous silicon as sensors ► Design of the one-dimensional photonic crystal ► Simulation of non-uniformity in covering the sensor structure
Formation of nanosize poly(p-phenylene vinylene) in porous silicon substrate
International Nuclear Information System (INIS)
Le Rendu, P.; Nguyen, T.P.; Cheah, K.; Joubert, P.
2003-01-01
We report the results of optical investigations in porous silicon (PS)/poly(p-phenylene vinylene) (PPV) systems obtained by filling the pores of silicon wafers with polymer. By scanning electron microscopy (SEM), IR, and Raman spectroscopy, we observed that the porous silicon layer was thoroughly filled by the polymer with no significant change in the structure of the materials. This suggests that there is no interaction between the components. On the other hand, the photoluminescence (PL) spectra of the devices investigated at different temperatures (from 11 to 290 K) showed that both materials are active at low temperatures. Porous silicon has a band located at 398 nm while PPV has two bands at 528 and 570 nm. As the temperature increases, the PL intensity of porous silicon decreases and that PPV is blue shifted. A new band emerging at 473 nm may indicate an energy transfer from the porous silicon to PPV, involving short segments of the polymer. The band of PPV located at 515 nm becomes more dominant and indicates that the nanosize polymer films are formed in the pores of the silicon layer, in agreement with the results obtained by SEM, IR, and Raman analyses
Mobility of charge carriers in porous silicon layers
International Nuclear Information System (INIS)
Forsh, P. A.; Martyshov, M. N.; Latysheva, A. P.; Vorontsov, A. S.; Timoshenko, V. Yu.; Kashkarov, P. K.
2008-01-01
The (conduction) mobility of majority charge carriers in porous silicon layers of the n and p types is estimated by joint measurements of electrical conductivity and free charge carrier concentration, which is determined from IR absorption spectra. Adsorption of donor and acceptor molecules leading to a change in local electric fields in the structure is used to identify the processes controlling the mobility in porous silicon. It is found that adsorption of acceptor and donor molecules at porous silicon of the p and n types, respectively, leads to a strong increase in electrical conductivity, which is associated with an increase in the concentration of free carrier as well as in their mobility. The increase in the mobility of charge carriers as a result of adsorption indicates the key role of potential barriers at the boundaries of silicon nanocrystals and may be due to a decrease in the barrier height as a result of adsorption
Electroless porous silicon formation applied to fabrication of boron-silica-glass cantilevers
DEFF Research Database (Denmark)
Teva, Jordi; Davis, Zachary James; Hansen, Ole
2010-01-01
This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5-1 mm3) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases...... where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing...... for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH...
Fluorescent porous silicon biological probes with high quantum efficiency and stability.
Tu, Chang-Ching; Chou, Ying-Nien; Hung, Hsiang-Chieh; Wu, Jingda; Jiang, Shaoyi; Lin, Lih Y
2014-12-01
We demonstrate porous silicon biological probes as a stable and non-toxic alternative to organic dyes or cadmium-containing quantum dots for imaging and sensing applications. The fluorescent silicon quantum dots which are embedded on the porous silicon surface are passivated with carboxyl-terminated ligands through stable Si-C covalent bonds. The porous silicon bio-probes have shown photoluminescence quantum yield around 50% under near-UV excitation, with high photochemical and thermal stability. The bio-probes can be efficiently conjugated with antibodies, which is confirmed by a standard enzyme-linked immunosorbent assay (ELISA) method.
Observation of time-varying photoconductivity and persistent photoconductivity in porous silicon
DEFF Research Database (Denmark)
Frello, T.; Veje, E.; Leistiko, Otto
1996-01-01
We have observed time-varying photoconductivity and persistent photoconductivity in porous silicon, both with time-evolution scales of the order of several minutes or hours. The time evolutions depend on the wavelength and the intensity of the illuminating light. The data indicate the presence...... of at least two competing mechanisms, one is tentatively related to photoinduced creation of charge carriers in the silicon substrate followed by diffusion into the porous silicon layer, and the other is tentatively related to desorption of hydrogen from the porous silicon. ©1996 American Institute of Physics....
Rationally designed porous silicon as platform for optical biosensors
Energy Technology Data Exchange (ETDEWEB)
Priano, G. [INQUIMAE, DQIAyQF, FCEN, Universidad de Buenos Aires, Ciudad Universitaria, Pabellon 2 (C1428EHA) Buenos Aires (Argentina); Acquaroli, L.N.; Lasave, L.C. [Instituto De Desarrollo Tecnologico Para La Industria Quimica, UNL, CONICET, Gueemes 3450 (S3000GLN) Santa Fe (Argentina); Battaglini, F. [INQUIMAE, DQIAyQF, FCEN, Universidad de Buenos Aires, Ciudad Universitaria, Pabellon 2 (C1428EHA) Buenos Aires (Argentina); Arce, R.D., E-mail: rarce@intec.unl.edu.ar [Instituto De Desarrollo Tecnologico Para La Industria Quimica, UNL, CONICET, Gueemes 3450 (S3000GLN) Santa Fe (Argentina); Departamento De Materiales, Facultad De Ingenieria Quimica, UNL, Santiago del Estero 2829 (S3000) Santa Fe (Argentina); Koropecki, R.R. [Instituto De Desarrollo Tecnologico Para La Industria Quimica, UNL, CONICET, Gueemes 3450 (S3000GLN) Santa Fe (Argentina); Departamento De Materiales, Facultad De Ingenieria Quimica, UNL, Santiago del Estero 2829 (S3000) Santa Fe (Argentina)
2012-08-01
Optical porous silicon multilayer structures are able to work as sensitive chemical sensors or biosensors based in their optical response. An algorithm to simulate the optical response of these multilayers was developed, considering the optical properties of the individual layers. The algorithm allows designing and customizing the porous silicon structures according to a given application. The results obtained by the simulation were experimentally verified; for this purpose different photonic structures were prepared, such as Bragg reflectors and microcavities. Some of these structures have been derivatized by the introduction of aminosilane groups on the porous silicon surface. The algorithm also permits to simulate the effects produced by a non uniform derivatization of the multilayer. - Highlights: Black-Right-Pointing-Pointer Mesoporous silicon structure Black-Right-Pointing-Pointer Functionalization of mesoporous silicon as sensors Black-Right-Pointing-Pointer Design of the one-dimensional photonic crystal Black-Right-Pointing-Pointer Simulation of non-uniformity in covering the sensor structure.
The effect of porosity on energetic porous silicon solid propellant micro-propulsion
International Nuclear Information System (INIS)
Churaman, Wayne A; Morris, Christopher J; Ramachandran, Raghav; Bergbreiter, Sarah
2015-01-01
Energetic porous silicon is investigated as an actuator for micro-propulsion based on thrust and impulse measurements for a variety of porous silicon porosity conditions. Porosity of 2 mm diameter, porous silicon microthruster devices was varied by changing the concentration of hydrofluoric acid and ethanol in an etch solution, by changing porous silicon etch depth, and by changing the resistivity of silicon wafers used for the etch process. The porosity varied from 30% to 75% for these experiments. The highest mean thrust and impulse values measured with a calibrated Kistler 9215 force sensor were 674 mN and 271 μN s, respectively, with a 73% porosity, 2 mm diameter porous silicon device etched in a 3 : 1 etch solution on a 3.6 Ω cm wafer to a target etch depth of 30 μm. As a result of changing porosity, a 23× increase in thrust performance and a 36× increase in impulse performance was demonstrated. Impulse values were also validated using a pendulum experiment in which the porous silicon microthruster was unconstrained, but several non-linearities in the pendulum experimental setup resulted in less consistent data than when measured by the force sensor for microthrusters at this size scale. These thrust and impulse results complement previous work in determining the effect of porosity on other porous silicon reaction metrics such as flame speed. (paper)
Porous silicon investigated by positron annihilation
International Nuclear Information System (INIS)
Cruz, R.M. de la; Pareja, R.
1989-01-01
The effect of the anodic conversion in silicon single crystals is investigated by positron lifetime measurements. Anodization at constant current induces changes in the positron lifetime spectrum of monocrystalline silicon samples. It is found that theses changes are primarily dependent on the silicon resistivity. The annihilation parameter behaviour of anodized samples, treated at high temperature under reducing conditions, is also investigated. The results reveal that positron annihilation can be a useful technique to characterize porous silicon formed by anodizing as well as to investigate its thermal behaviour. (author)
Investigation of beam effect on porous silicon
Energy Technology Data Exchange (ETDEWEB)
Kotai, E. E-mail: kotai@rmki.kfki.hu; Paszti, F.; Szilagyi, E
2000-03-01
When performing Rutherford Backscattering Spectroscopy (RBS) measurements combined with channeling on 'columnar' porous silicon (PS) samples with beam aligned to the direction of the pores, a strong beam effect was observed. The minimum yield as a function of the beam dose for different porous samples was compared with the yield measured on single crystal silicon. It was demonstrated that the beam effect strongly depends on the porosity of the sample. Bombardment in the random direction caused about 10% higher change in the minimum yield than in the channel direction.
Investigation of beam effect on porous silicon
International Nuclear Information System (INIS)
Kotai, E.; Paszti, F.; Szilagyi, E.
2000-01-01
When performing Rutherford Backscattering Spectroscopy (RBS) measurements combined with channeling on 'columnar' porous silicon (PS) samples with beam aligned to the direction of the pores, a strong beam effect was observed. The minimum yield as a function of the beam dose for different porous samples was compared with the yield measured on single crystal silicon. It was demonstrated that the beam effect strongly depends on the porosity of the sample. Bombardment in the random direction caused about 10% higher change in the minimum yield than in the channel direction
Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon
International Nuclear Information System (INIS)
Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.
2011-01-01
We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been
Porous silicon structures with high surface area/specific pore size
Northrup, M.A.; Yu, C.M.; Raley, N.F.
1999-03-16
Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gases in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters. 9 figs.
Energy Technology Data Exchange (ETDEWEB)
Rabha, M. Ben; Bessais, B. [Laboratoire de Nanomateriaux et des Systemes pour l' Energie, Centre de Recherches et des Technologies de l' Energie - Technopole de Borj-Cedria BP 95, 2050 Hammam-Lif (Tunisia); Dimassi, W.; Bouaicha, M.; Ezzaouia, H. [Laboratoire de photovoltaique, des semiconducteurs et des nanostructures, Centre de Recherches et des Technologies de l' Energie - Technopole de Borj-Cedria BP 95, 2050 Hammam-Lif (Tunisia)
2009-05-15
In the present work, we report on the effect of introducing a superficial porous silicon (PS) layer on the performance of polycrystalline silicon (pc-Si) solar cells. Laser-beam-induced current (LBIC) mapping shows that the PS treatment on the emitter of pc-Si solar cells improves their quantum response and reduce the grain boundaries (GBs) activity. After the porous silicon treatment, mapping investigation shows an enhancement of the LBIC and the internal quantum efficiency (IQE), due to an improvement of the minority carrier diffusion length and the passivation of recombination centers at the GBs as compared to the reference substrate. It was quantitatively shown that porous silicon treatment can passivate both the grains and GBs. (author)
Development of an oxidized porous silicon vacuum microtriode
Energy Technology Data Exchange (ETDEWEB)
Smith, II, Don Deewayne [Texas A & M Univ., College Station, TX (United States)
1994-05-01
In order to realize a high-power microwave amplifier design known as a gigatron, a gated field emission array must be developed that can deliver a high-intensity electron beam at gigahertz frequencies. No existing field emission device meets the requirements for a gigatron cathode. In the present work, a porous silicon-based approach is evaluated. The use of porous silicon reduces the size of a single emitter to the nanometer scale, and a true two-dimensional array geometry can be approached. A wide number of applications for such a device exist in various disciplines. Oxidized porous silicon vacuum diodes were first developed in 1990. No systematic study had been done to characterize the performance of these devices as a function of the process parameters. The author has done the first such study, fabricating diodes from p<100>, p<111>, and n<100> silicon substrates. Anodization current densities from 11 mA/cm2 to 151 mA/cm2 were used, and Fowler-Nordheim behavior was observed in over 80% of the samples. In order to effectively adapt this technology to mainstream vacuum microelectronic applications, a means of creating a gated triodic structure must be found. No previous attempts had successfully yielded such a device. The author has succeeded in utilizing a novel metallization method to fabricate the first operational oxidized porous silicon vacuum microtriodes, and results are encouraging.
Generation of reactive oxygen species from porous silicon microparticles in cell culture medium.
Low, Suet Peng; Williams, Keryn A; Canham, Leigh T; Voelcker, Nicolas H
2010-06-01
Nanostructured (porous) silicon is a promising biodegradable biomaterial, which is being intensively researched as a tissue engineering scaffold and drug-delivery vehicle. Here, we tested the biocompatibility of non-treated and thermally-oxidized porous silicon particles using an indirect cell viability assay. Initial direct cell culture on porous silicon determined that human lens epithelial cells only poorly adhered to non-treated porous silicon. Using an indirect cell culture assay, we found that non-treated microparticles caused complete cell death, indicating that these particles generated a toxic product in cell culture medium. In contrast, thermally-oxidized microparticles did not reduce cell viability significantly. We found evidence for the generation of reactive oxygen species (ROS) by means of the fluorescent probe 2',7'-dichlorofluorescin. Our results suggest that non-treated porous silicon microparticles produced ROS, which interacted with the components of the cell culture medium, leading to the formation of cytotoxic species. Oxidation of porous silicon microparticles not only mitigated, but also abolished the toxic effects.
Grafting of functionalized polymer on porous silicon surface using Grignard reagent
Tighilt, F.-Z.; Belhousse, S.; Sam, S.; Hamdani, K.; Lasmi, K.; Chazalviel, J. N.; Gabouze, N.
2017-11-01
Recently, considerable attention has been paid to the manipulation and the control of the physicochemical properties of porous silicon surfaces because of their crucial importance to the modern microelectronics industry. Hybrid structures consisting of deposited polymer on porous silicon surfaces are important to applications in microelectronics, photovoltaics and sensors (Ensafi et al., 2016; Kashyout et al., 2015; Osorio et al.; 2015; Hejjo et al., 2002) [1-4]. In many cases, the polymer can provide excellent mechanical and chemical protection of the substrate, changes the electrochemical interface characteristics of the substrate, and provides new ways to the functionalization of porous silicon surfaces for molecular recognition and sensing. In this work, porous silicon surface was modified by anodic treatment in ethynylmagnesium bromide electrolyte leading to the formation of a polymeric layer bearing some bromine substituents. Subsequently, the formed polymer is functionalized with amine molecules containing functional groups (carboxylic acid or pyridine) by a substitution reaction between bromine sites and amine groups (Hofmann reaction). The chemical composition of the modified porous silicon surfaces was investigated and the grafting of polymeric chains and functional groups on the porous silicon surface was confirmed by Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) which displayed the principal characteristic peaks attributed to the different functional groups. Furthermore, the surface of the material was examined by scanning electron microscopy (SEM).
Porous siliconformation and etching process for use in silicon micromachining
Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.
1991-01-01
A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.
Porous silicon used as an oxide diffusion mask to produce a periodic micro doped n{sup ++}/n regions
Energy Technology Data Exchange (ETDEWEB)
Dimassi, Wissem; Jafel, Hayet; Lajnef, Mohamed; Ali Kanzari, M.; Bouaicha, Mongi; Bessais, Brahim; Ezzaouia, Hatem [Laboratoire de Photovoltaique, Centre de Recherche et des Technologies de l' Energie, PB: 95, Hammam Lif 2050 (Tunisia)
2011-06-15
The realization of screen-printed contacts on silicon solar cells requires highly doped regions under the fingers and lowly doped and thin ones between them. In this work, we present a low-cost approach to fabricate selective emitter (n{sup ++}/n doped silicon regions), using oxidized porous silicon (ox-PS) as a mask. Micro-periodic fingers were opened on the porous silicon layer using a micro groove machining process. Optimized phosphorous diffusion through the micro grooved ox-PS let us obtain n{sup ++} doped regions in opened zones and n doped large regions underneath the ox-PS layer. The dark I-V characteristics of the obtained device and Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer show the possibility to use PS as a dielectric layer. The Light Beam Induced Current (LBIC) mapping of the realized device, confirm the presence of a micro periodic n{sup ++}/n type structure. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Photo and electroluminescence of porous silicon layers
International Nuclear Information System (INIS)
Keshmini, S.H.; Samadpour, S.; Haji-Ali, E.; Rokn-Abadi, M.R.
1995-01-01
Porous silicon (PSi) layers were prepared by both chemical and electrochemical methods on n- and p-type Si substrates. In the former technique, light emission was obtained from p-type and n-type samples. It was found that intense light illumination during the preparation process was essential for PSi formation on n-type substrates. An efficient electrochemical cell with some useful features was designed for electrochemical etching of silicon. Various preparation parameters were studied and photoluminescence emissions ranging from dark red to light blue were obtained from PSi samples prepared on p-type substrates. N-type samples produced emission ranging from dark red to orange yellow. Electroluminescence of porous silicon samples showed that the color of the emission was the same as the photoluminescence color of the sample, and its intensity and duration depended on the current density passed through the sample. The effects of exposure of samples to air, storage in vacuum and heat treatment in air on luminescence intensity of the samples and preparation of patterned porous layers were also studied. (author)
Electroluminescence from porous silicon due to electron injection from solution
Kooij, Ernst S.; Despo, R.W.; Kelly, J.J.
1995-01-01
We report on the electroluminescence from p‐type porous silicon due to minority carrier injection from an electrolyte solution. The MV+• radical cation formed in the reduction of divalent methylviologen is able to inject electrons into the conduction band of crystalline and porous silicon. The
Optimization of chemical displacement deposition of copper on porous silicon.
Bandarenka, Hanna; Redko, Sergey; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly
2012-11-01
Copper (II) sulfate was used as a source of copper to achieve uniform distribution of Cu particles deposited on porous silicon. Layers of the porous silicon were formed by electrochemical anodization of Si wafers in a mixture of HF, C3H7OH and deionized water. The well-known chemical displacement technique was modified to grow the copper particles of specific sizes. SEM and XRD analysis revealed that the outer surface of the porous silicon was covered with copper particles of the crystal orientation inherited from the planes of porous silicon skeleton. The copper crystals were found to have the cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were identified. The dimensions of Cu particles were determined by the Feret's analysis of the SEM images. The sizes of the particles varied widely from a few to hundreds of nanometers. A phenomenological model of copper deposition was proposed.
Optical properties of erbium-doped porous silicon waveguides
Energy Technology Data Exchange (ETDEWEB)
Najar, A. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France); Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Charrier, J. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France)]. E-mail: joel.charier@univ-rennes1.fr; Ajlani, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Lorrain, N. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France); Elhouichet, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Oueslati, M. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Haji, L. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France)
2006-12-15
Planar and buried channel porous silicon waveguides (WG) were prepared from p{sup +}-type silicon substrate by a two-step anodization process. Erbium ions were incorporated into pores of the porous silicon layers by an electrochemical method using ErCl{sub 3}-saturated solution. Erbium concentration of around 10{sup 20} at/cm{sup 3} was determined by energy-dispersive X-ray analysis performed on SEM cross-section. The luminescence properties of erbium ions in the IR range were determined and a luminescence time decay of 420 {mu}s was measured. Optical losses were studied on these WG. The increased losses after doping were discussed.
Multifunctional substrates of thin porous alumina for cell biosensors
Toccafondi, Chiara; Thorat, Sanjay B.; La Rocca, Rosanna; Scarpellini, Alice; Salerno, Marco; Dante, Silvia; Das, Gobind
2014-01-01
We have fabricated anodic porous alumina from thin films (100/500 nm) of aluminium deposited on technological substrates of silicon/glass, and investigated the feasibility of this material as a surface for the development of analytical biosensors aiming to assess the status of living cells. To this goal, porous alumina surfaces with fixed pitch and variable pore size were analyzed for various functionalities. Gold coated (about 25 nm) alumina revealed surface enhanced Raman scattering increasing with the decrease in wall thickness, with factor up to values of approximately 104 with respect to the flat gold surface. Bare porous alumina was employed for micro-patterning and observation via fluorescence images of dye molecules, which demonstrated the surface capability for a drug-loading device. NIH-3T3 fibroblast cells were cultured in vitro and examined after 2 days since seeding, and no significant (P > 0.05) differences in their proliferation were observed on porous and non-porous materials. The effect on cell cultures of pore size in the range of 50–130 nm—with pore pitch of about 250 nm—showed no significant differences in cell viability and similar levels in all cases as on a control substrate. Future work will address combination of all above capabilities into a single device.
Multifunctional substrates of thin porous alumina for cell biosensors
Toccafondi, Chiara
2014-02-27
We have fabricated anodic porous alumina from thin films (100/500 nm) of aluminium deposited on technological substrates of silicon/glass, and investigated the feasibility of this material as a surface for the development of analytical biosensors aiming to assess the status of living cells. To this goal, porous alumina surfaces with fixed pitch and variable pore size were analyzed for various functionalities. Gold coated (about 25 nm) alumina revealed surface enhanced Raman scattering increasing with the decrease in wall thickness, with factor up to values of approximately 104 with respect to the flat gold surface. Bare porous alumina was employed for micro-patterning and observation via fluorescence images of dye molecules, which demonstrated the surface capability for a drug-loading device. NIH-3T3 fibroblast cells were cultured in vitro and examined after 2 days since seeding, and no significant (P > 0.05) differences in their proliferation were observed on porous and non-porous materials. The effect on cell cultures of pore size in the range of 50–130 nm—with pore pitch of about 250 nm—showed no significant differences in cell viability and similar levels in all cases as on a control substrate. Future work will address combination of all above capabilities into a single device.
Porous silicon confers bioactivity to polycaprolactone composites in vitro.
Henstock, J R; Ruktanonchai, U R; Canham, L T; Anderson, S I
2014-04-01
Silicon is an essential element for healthy bone development and supplementation with its bioavailable form (silicic acid) leads to enhancement of osteogenesis both in vivo and in vitro. Porous silicon (pSi) is a novel material with emerging applications in opto-electronics and drug delivery which dissolves to yield silicic acid as the sole degradation product, allowing the specific importance of soluble silicates for biomaterials to be investigated in isolation without the elution of other ionic species. Using polycaprolactone as a bioresorbable carrier for porous silicon microparticles, we found that composites containing pSi yielded more than twice the amount of bioavailable silicic acid than composites containing the same mass of 45S5 Bioglass. When incubated in a simulated body fluid, the addition of pSi to polycaprolactone significantly increased the deposition of calcium phosphate. Interestingly, the apatites formed had a Ca:P ratio directly proportional to the silicic acid concentration, indicating that silicon-substituted hydroxyapatites were being spontaneously formed as a first order reaction. Primary human osteoblasts cultured on the surface of the composite exhibited peak alkaline phosphatase activity at day 14, with a proportional relationship between pSi content and both osteoblast proliferation and collagen production over 4 weeks. Culturing the composite with J744A.1 murine macrophages demonstrated that porous silicon does not elicit an immune response and may even inhibit it. Porous silicon may therefore be an important next generation biomaterial with unique properties for applications in orthopaedic tissue engineering.
Synthesis of porous silicon nano-wires and the emission of red luminescence
International Nuclear Information System (INIS)
Congli, Sun; Hao, Hu; Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao; Xiaosong, Sun
2013-01-01
This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO 3 solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO 3 solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H 2 O 2 - treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.
Synthesis of porous silicon nano-wires and the emission of red luminescence
Energy Technology Data Exchange (ETDEWEB)
Congli, Sun [School of Materials Science and Engineering, Sichuan University (China); Hao, Hu [National Engineering Research Center for Biomaterials, Sichuan University, Chengdu 610064, Sichuan (China); Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao [School of Materials Science and Engineering, Sichuan University (China); Xiaosong, Sun, E-mail: sunxs@scu.edu.cn [School of Materials Science and Engineering, Sichuan University (China)
2013-10-01
This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO{sub 3} solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO{sub 3} solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H{sub 2}O{sub 2}- treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.
Agglomeration of Luminescent Porous Silicon Nanoparticles in Colloidal Solutions.
Herynková, Kateřina; Šlechta, Miroslav; Šimáková, Petra; Fučíková, Anna; Cibulka, Ondřej
2016-12-01
We have prepared colloidal solutions of clusters composed from porous silicon nanoparticles in methanol, water and phosphate-buffered saline (PBS). Even if the size of the nanoclusters is between 60 and 500 nm, due to their highly porous "cauliflower"-like structure, the porous silicon nanoparticles are composed of interconnected nanocrystals having around 2.5 nm in size and showing strong visible luminescence in the orange-red spectral region (centred at 600-700 nm). Hydrophilic behaviour and good solubility of the nanoclusters in water and water-based solutions were obtained by adding hydrogen peroxide into the etching solution during preparation and 16 min long after-bath in hydrogen peroxide. By simple filtration of the solutions with syringe filters, we have extracted smaller nanoclusters with sizes of approx. 60-70 nm; however, these nanoclusters in water and PBS solution (pH neutral) are prone to agglomeration, as was confirmed by zeta potential measurements. When the samples were left at ambient conditions for several weeks, the typical nanocluster size increased to approx. 330-400 nm and then remained stable. However, both freshly filtered and aged samples (with agglomerated porous silicon nanoparticles) of porous silicon in water and PBS solutions can be further used for biological studies or as luminescent markers in living cells.
Optical and microstructural investigations of porous silicon
Indian Academy of Sciences (India)
Raman scattering and photoluminescence (PL) measurements on (100) oriented -type crystalline silicon (-Si) and porous silicon (PS) samples were carried out. PS samples were prepared by anodic etching of -Si under the illumination of light for different etching times of 30, 60 and 90 min. Raman scattering from the ...
Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films
Directory of Open Access Journals (Sweden)
Akarapu Ashok
2014-01-01
Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.
In vivo time-gated fluorescence imaging with biodegradable luminescent porous silicon nanoparticles.
Gu, Luo; Hall, David J; Qin, Zhengtao; Anglin, Emily; Joo, Jinmyoung; Mooney, David J; Howell, Stephen B; Sailor, Michael J
2013-01-01
Fluorescence imaging is one of the most versatile and widely used visualization methods in biomedical research. However, tissue autofluorescence is a major obstacle confounding interpretation of in vivo fluorescence images. The unusually long emission lifetime (5-13 μs) of photoluminescent porous silicon nanoparticles can allow the time-gated imaging of tissues in vivo, completely eliminating shorter-lived (50-fold in vitro and by >20-fold in vivo when imaging porous silicon nanoparticles. Time-gated imaging of porous silicon nanoparticles accumulated in a human ovarian cancer xenograft following intravenous injection is demonstrated in a live mouse. The potential for multiplexing of images in the time domain by using separate porous silicon nanoparticles engineered with different excited state lifetimes is discussed.
Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon
Energy Technology Data Exchange (ETDEWEB)
Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)
2012-04-16
Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.
International Nuclear Information System (INIS)
Ben Rabha, M.; Boujmil, M.F.; Meddeb, N.; Saadoun, M.; Bessais, B.
2006-01-01
We investigate the impacts of achieving buried grid metallic contacts (BGMC), with and without application of a front porous silicon (PS) layer, on the photovoltaic properties of polycrystalline silicon (pc-Si) solar cells. A grooving method based on Chemical Vapor Etching (CVE) was used to perform buried grid contacts on the emitter of pc-Si solar cells. After realizing the n + /p junction using a phosphorus diffusion source, BGMCs were realized using the screen printing technique. We found that the buried metallic contacts improve the short circuit current from 16 mA/cm 2 (for reference cell without buried contacts) to about 19 mA/cm 2 . After application of a front PS layer on the n + emitter, we observe an enhancement of the short circuit current from 19 to 24 mA/cm 2 with a decrease of the reflectivity by about 40% of its initial value. The dark I-V characteristics of the pc-Si cells with PS-based emitter show an important reduction of the reverse current together with an improvement of the rectifying behaviour. Spectral response measurements performed at a wavelength range of 400-1100 nm showed a significant increase in the quantum efficiency, particularly at shorter wavelength (400-650 nm). These results indicate that the BGMCs improve the carrier collection and that the PS layer acts as an antireflective coating that reduces reflection losses and passivates the front surface. This low cost and simple technology based on the CVE technique could enable preparing efficient polycrystalline silicon solar cells
Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon
2015-11-01
Platinum Electrodes for Metal Assisted Etching of Porous Silicon by Matthew H Ervin and Brian Isaacson Sensors and Electron Devices Directorate...SUBTITLE Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT
Highly stable porous silicon-carbon composites as label-free optical biosensors.
Tsang, Chun Kwan; Kelly, Timothy L; Sailor, Michael J; Li, Yang Yang
2012-12-21
A stable, label-free optical biosensor based on a porous silicon-carbon (pSi-C) composite is demonstrated. The material is prepared by electrochemical anodization of crystalline Si in an HF-containing electrolyte to generate a porous Si template, followed by infiltration of poly(furfuryl) alcohol (PFA) and subsequent carbonization to generate the pSi-C composite as an optically smooth thin film. The pSi-C sensor is significantly more stable toward aqueous buffer solutions (pH 7.4 or 12) compared to thermally oxidized (in air, 800 °C), hydrosilylated (with undecylenic acid), or hydrocarbonized (with acetylene, 700 °C) porous Si samples prepared and tested under similar conditions. Aqueous stability of the pSi-C sensor is comparable to related optical biosensors based on porous TiO(2) or porous Al(2)O(3). Label-free optical interferometric biosensing with the pSi-C composite is demonstrated by detection of rabbit IgG on a protein-A-modified chip and confirmed with control experiments using chicken IgG (which shows no affinity for protein A). The pSi-C sensor binds significantly more of the protein A capture probe than porous TiO(2) or porous Al(2)O(3), and the sensitivity of the protein-A-modified pSi-C sensor to rabbit IgG is found to be ~2× greater than label-free optical biosensors constructed from these other two materials.
International Nuclear Information System (INIS)
Mouro, J.; Gualdino, A.; Chu, V.; Conde, J. P.
2013-01-01
Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n + -type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force
Energy Technology Data Exchange (ETDEWEB)
Mouro, J.; Gualdino, A.; Chu, V. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Conde, J. P. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Department of Bioengineering, Instituto Superior Técnico (IST), 1049-001 Lisbon (Portugal)
2013-11-14
Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.
The fabrication of nitrogen detector porous silicon nanostructures
Husairi, F. S.; Othman, N.; Eswar, K. A.; Guliling, Muliyadi; Khusaimi, Z.; Rusop, M.; Abdullah, S.
2018-05-01
In this study the porous silicon nanostructure used as a the nitrogen detector was fabricated by using anodization method because of simple and easy to handle. This method using 20 mA/ cm2 of current density and the etching time is from 10 - 40 minutes. The properties of the porous silicon nanostructure analyzed using I-V testing (electrical properties) and photoluminescence spectroscopy. From the I-V testing, sample PsiE40 where the sensitivity is 25.4% is a sensitivity of PSiE40 at 10 seconds exposure time.
Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon
Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.
2004-01-01
A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.
International Nuclear Information System (INIS)
Kiraly, Brian; Yang, Shikuan; Huang, Tony Jun
2013-01-01
We have fabricated porous silicon nanopillar arrays over large areas with a rapid, simple, and low-cost technique. The porous silicon nanopillars show unique longitudinal features along their entire length and have porosity with dimensions on the single-nanometer scale. Both Raman spectroscopy and photoluminescence data were used to determine the nanocrystallite size to be <3 nm. The porous silicon nanopillar arrays also maintained excellent ensemble properties, reducing reflection nearly fivefold from planar silicon in the visible range without any optimization, and approaching superhydrophobic behavior with increasing aspect ratio, demonstrating contact angles up to 138°. Finally, the porous silicon nanopillar arrays were made into sensitive surface-enhanced Raman scattering (SERS) substrates by depositing metal onto the pillars. The SERS performance of the substrates was demonstrated using a chemical dye Rhodamine 6G. With their multitude of properties (i.e., antireflection, superhydrophobicity, photoluminescence, and sensitive SERS), the porous silicon nanopillar arrays described here can be valuable in applications such as solar harvesting, electrochemical cells, self-cleaning devices, and dynamic biological monitoring. (paper)
Integration of lateral porous silicon membranes into planar microfluidics.
Leïchlé, Thierry; Bourrier, David
2015-02-07
In this work, we present a novel fabrication process that enables the monolithic integration of lateral porous silicon membranes into single-layer planar microchannels. This fabrication technique relies on the patterning of local electrodes to guide pore formation horizontally within the membrane and on the use of silicon-on-insulator substrates to spatially localize porous silicon within the channel depth. The feasibility of our approach is studied by current flow analysis using the finite element method and supported by creating 10 μm long mesoporous membranes within 20 μm deep microchannels. The fabricated membranes are demonstrated to be potentially useful for dead-end microfiltration by adequately retaining 300 nm diameter beads while macromolecules such as single-stranded DNA and immunoglobulin G permeate the membrane. The experimentally determined fluidic resistance is in accordance with the theoretical value expected from the estimated pore size and porosity. The work presented here is expected to greatly simplify the integration of membranes capable of size exclusion based separation into fluidic devices and opens doors to the use of porous silicon in planar lab on a chip devices.
A parametric study of laser induced ablation-oxidation on porous silicon surfaces
International Nuclear Information System (INIS)
De Stefano, Luca; Rea, Ilaria; Nigro, M Arcangela; Della Corte, Francesco G; Rendina, Ivo
2008-01-01
We have investigated the laser induced ablation-oxidation process on porous silicon layers having different porosities and thicknesses by non-destructive optical techniques. In particular, the interaction between a low power blue light laser and the porous silicon surfaces has been characterized by variable angle spectroscopic ellipsometry and Fourier transform infrared spectroscopy. The oxidation profiles etched on the porous samples can be tuned as functions of the layer porosity and laser fluence. Oxide stripes of width less than 2 μm and with thicknesses between 100 nm and 5 μm have been produced, depending on the porosity of the porous silicon, by using a 40 x focusing objective
Hydrogen isotopic substitution experiments in nanostructured porous silicon
International Nuclear Information System (INIS)
Palacios, W.D.; Koropecki, R.R.; Arce, R.D.; Busso, A.
2008-01-01
Nanostructured porous silicon is usually prepared by electrochemical anodization of monocrystalline silicon using a fluorine-rich electrolyte. As a result of this process, the silicon atoms conserve their original crystalline location, and many of the dangling bonds appearing on the surface of the nanostructure are saturated by hydrogen coming from the electrolyte. This work presents an IR study of the effects produced by partial substitution of water in the electrolytic solution by deuterium oxide. The isotopic effects on the IR spectra are analyzed for the as-prepared samples and for the samples subjected to partial thermal effusion of hydrogen and deuterium. We demonstrate that, although deuterium is chemically indistinguishable from hydrogen, it presents a singular behaviour when used in porous silicon preparation. We found that deuterium preferentially bonds forming Si-DH groups. A possible explanation of the phenomenon is presented, based on the different diffusivities of hydrogen and deuterium
Hydrogen isotopic substitution experiments in nanostructured porous silicon
Energy Technology Data Exchange (ETDEWEB)
Palacios, W.D. [Facultad de Ciencias Exactas y Naturales y Agrimensura - (UNNE), Avenida Libertad 5500, 3400 Corrientes (Argentina); Koropecki, R.R. [INTEC (CONICET-UNL), Gueemes 3450, 3000 Santa Fe (Argentina)], E-mail: rkoro@intec.ceride.gov.ar; Arce, R.D. [INTEC (CONICET-UNL), Gueemes 3450, 3000 Santa Fe (Argentina); Busso, A. [Facultad de Ciencias Exactas y Naturales y Agrimensura - (UNNE), Avenida Libertad 5500, 3400 Corrientes (Argentina)
2008-04-30
Nanostructured porous silicon is usually prepared by electrochemical anodization of monocrystalline silicon using a fluorine-rich electrolyte. As a result of this process, the silicon atoms conserve their original crystalline location, and many of the dangling bonds appearing on the surface of the nanostructure are saturated by hydrogen coming from the electrolyte. This work presents an IR study of the effects produced by partial substitution of water in the electrolytic solution by deuterium oxide. The isotopic effects on the IR spectra are analyzed for the as-prepared samples and for the samples subjected to partial thermal effusion of hydrogen and deuterium. We demonstrate that, although deuterium is chemically indistinguishable from hydrogen, it presents a singular behaviour when used in porous silicon preparation. We found that deuterium preferentially bonds forming Si-DH groups. A possible explanation of the phenomenon is presented, based on the different diffusivities of hydrogen and deuterium.
Electroless porous silicon formation applied to fabrication of boron–silica–glass cantilevers
International Nuclear Information System (INIS)
Teva, J; Davis, Z J; Hansen, O
2010-01-01
This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5–1 mm 3 ) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing the etching rate and reproducibility of the etching. In addition to that, a study of the morphology of the pore that is obtained by this technique is presented. The results from the characterization of the process are applied to the fabrication of boron–silica–glass cantilevers that serve as a platform for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH solution
Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.
Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N
2018-05-21
The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.
Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon
Directory of Open Access Journals (Sweden)
Hanna V. Bandarenka
2018-05-01
Full Text Available The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs, and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.
Chadwick, E G; Clarkin, O M; Raghavendra, R; Tanner, D A
2014-01-01
The properties of porous silicon make it a promising material for a host of applications including drug delivery, molecular and cell-based biosensing, and tissue engineering. Porous silicon has previously shown its potential for the controlled release of pharmacological agents and in assisting bone healing. Hydroxyapatite, the principle constituent of bone, allows osteointegration in vivo, due to its chemical and physical similarities to bone. Synthetic hydroxyapatite is currently applied as a surface coating to medical devices and prosthetics, encouraging bone in-growth at their surface and improving osseointegration. This paper examines the potential for the use of an economically produced porous silicon particulate-polytetrafluoroethylene sheet for use as a guided bone regeneration device in periodontal and orthopaedic applications. The particulate sheet is comprised of a series of microparticles in a polytetrafluoroethylene matrix and is shown to produce a stable hydroxyapatite on its surface under simulated physiological conditions. The microstructure of the material is examined both before and after simulated body fluid experiments for a period of 1, 7, 14 and 30 days using Scanning Electron Microscopy. The composition is examined using a combination of Energy Dispersive X-ray Spectroscopy, Thin film X-ray diffraction, Attenuated Total Reflectance-Fourier Transform Infrared Spectroscopy and the uptake/release of constituents at the fluid-solid interface is explored using Inductively Coupled Plasma-Optical Emission Spectroscopy. Microstructural and compositional analysis reveals progressive growth of crystalline, 'bone-like' apatite on the surface of the material, indicating the likelihood of close bony apposition in vivo.
X-ray and synchrotron studies of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)
2013-08-15
The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.
Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy
International Nuclear Information System (INIS)
Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.
2011-01-01
Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.
International Nuclear Information System (INIS)
Dian, J.; Macek, A.; Niznansky, D.; Nemec, I.; Vrkoslav, V.; Chvojka, T.; Jelinek, I.
2004-01-01
We studied the dependence of porous silicon (PS) morphology on fabrication conditions and the link between morphology, porosity and optical properties. P-type (1 0 0) silicon wafers with resistivity of 10 Ω cm were electrochemically etched in a HF:ethanol:water mixture at various HF concentrations and current densities. Porosity and thickness of the samples were determined gravimetrically. Detailed information about evolution of porous silicon layer morphology with variation of preparation conditions was obtained by scanning electron microscope (SEM), the presence of silicon nanoparticles was confirmed by high resolution transmission electron microscopy. Decrease of the mean size of silicon nanoparticles with increasing porous silicon porosity was revealed in a monotonous blue shift of photoluminescence (PL) maximum in room temperature photoluminescence spectra of studied samples. This blue shift is consistent with quantum confinement model of photoluminescence mechanism. We observed that total porosity of porous films cannot fully explain observed photoluminescence behavior and correct interpretation of the blue shift of photoluminescence spectra requires detailed knowledge of porous silicon morphology
International Nuclear Information System (INIS)
Sheng, Chan Kok; Mahmood Mat Yunus, W.; Yunus, Wan Md. Zin Wan; Abidin Talib, Zainal; Kassim, Anuar
2008-01-01
In this work, the porous silicon layer was prepared by the electrochemical anodization etching process on n-type and p-type silicon wafers. The formation of the porous layer has been identified by photoluminescence and SEM measurements. The optical absorption, energy gap, carrier transport and thermal properties of n-type and p-type porous silicon layers were investigated by analyzing the experimental data from photoacoustic measurements. The values of thermal diffusivity, energy gap and carrier transport properties have been found to be porosity-dependent. The energy band gap of n-type and p-type porous silicon layers was higher than the energy band gap obtained for silicon substrate (1.11 eV). In the range of porosity (50-76%) of the studies, our results found that the optical band-gap energy of p-type porous silicon (1.80-2.00 eV) was higher than that of the n-type porous silicon layer (1.70-1.86 eV). The thermal diffusivity value of the n-type porous layer was found to be higher than that of the p-type and both were observed to increase linearly with increasing layer porosity
Reactive Melt Infiltration Of Silicon Into Porous Carbon
Behrendt, Donald R.; Singh, Mrityunjay
1994-01-01
Report describes study of synthesis of silicon carbide and related ceramics by reactive melt infiltration of silicon and silicon/molybdenum alloys into porous carbon preforms. Reactive melt infiltration has potential for making components in nearly net shape, performed in less time and at lower temperature. Object of study to determine effect of initial pore volume fraction, pore size, and infiltration material on quality of resultant product.
Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E
2011-11-01
Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.
Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires
Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng
2011-01-01
Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020
X-ray excited optical luminescence (XEOL) and its application to porous silicon
International Nuclear Information System (INIS)
Hill, D.A.
1998-09-01
X-ray Excited Optical Luminescence (XEOL) is investigated as a local structural probe of the light-emitting sites in porous silicon. A detailed microscopic model of the XEOL process in porous silicon is proposed. A central aspect of the technique is an assessment of the spatial separation between the primary photoionisation event and subsequent optical radiative recombination. By constructing a Monte Carlo simulation of hot electron propagation in silicon using both elastic and inelastic scattering cross-sections, the mean minimum range of luminescence excitation can be calculated. This range is estimated as 546±1A for the silicon K-edge (∼ 1839eV), but is reduced to 8.9±0.1A for the silicon L 2,3 -edge (∼ 99eV). From known porous silicon properties, it is concluded that this mean minimum range is comparable to the actual range of excitation. Hence, more localised structural information may be obtained from L 2,3 -edge XEOL measurements. This important difference between the two spectra has been neglected in previous studies. Simultaneous measurements of the XEOL and total electron yield (TEY) x-ray absorption spectra (XAS) have been conducted at both the silicon K-edge and L 2,3 -edge for various porous silicon samples and related materials. Measurements have been conducted at the Si K-edge on a rapid thermally oxidised (RTO) porous silicon sample. XEOL spectra yield two distinct luminescence bands in the visible region. From multi-bunch wavelength-selective XEOL measurements, it is concluded that there are blue luminescent defective silica sites together with a red luminescent site originating from silicon-like material. The spectral time decay curve under pulsed x-ray excitation gives two distinct decay components; one fast in the range of a few nanoseconds and the other slow in the range of microseconds. Time-resolved XEOL measurements in single-bunch mode show that the fast band mirrors the blue wavelength XEOL whereas the slow band correlates with the
International Nuclear Information System (INIS)
Quoizola, S.
2003-01-01
The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)
Review of porous silicon preparation and its application for lithium-ion battery anodes
International Nuclear Information System (INIS)
Ge, M; Fang, X; Rong, J; Zhou, C
2013-01-01
Silicon is of great interest for use as the anode material in lithium-ion batteries due to its high capacity. However, certain properties of silicon, such as a large volume expansion during the lithiation process and the low diffusion rate of lithium in silicon, result in fast capacity degradation in limited charge/discharge cycles, especially at high current rate. Therefore, the use of silicon in real battery applications is limited. The idea of using porous silicon, to a large extent, addresses the above-mentioned issues simultaneously. In this review, we discuss the merits of using porous silicon for anodes through both theoretical and experimental study. Recent progress in the preparation of porous silicon through the template-assisted approach and the non-template approach have been highlighted. The battery performance in terms of capacity and cyclability of each structure is evaluated. (topical review)
Cho, Daehwan; Kim, Moonkyoung; Hwang, Jeonghyun; Park, Jay Hoon; Joo, Yong Lak; Jeong, Youngjin
2015-12-01
We report a facile fabrication of porous silicon nanofibers by a simple three-stage procedure. Polymer/silicon precursor composite nanofibers are first fabricated by electrospinning, a water-based spinning dope, which undergoes subsequent heat treatment and then reduction using magnesium to be converted into porous silicon nanofibers. The porous silicon nanofibers are coated with a graphene by using a plasma-enhanced chemical vapor deposition for use as an anode material of lithium ion batteries. The porous silicon nanofibers can be mass-produced by a simple and solvent-free method, which uses an environmental-friendly polymer solution. The graphene-coated silicon nanofibers show an improved cycling performance of a capacity retention than the pure silicon nanofibers due to the suppression of the volume change and the increase of electric conductivity by the graphene.
Luminescence kinetics of porous silicon: fluctuation approach
Bondarev, V N
2001-01-01
Theoretical interpretation of the kinetics of the photoluminescence (PL), caused by the tunnel radiative recombination of the photoexcited electron and hole, localized on the crystallite/matrix interface, is given on the basis of the notions on the porous silicon structure as an incidental totality of the Si nanodimensional crystallites, submerged into the SiO sub 2 matrix. The relatively slow (by the stretched exponential type) time drop in the PL intensity is the results of averaging the intensity in each PL elementary act by the electron and hole mutual disposition and by the crystallite dimensions. The good quantitative description of the low-temperature experiments may be obtained through the proposed approach both by the PL kinetics and time evolution of the porous silicon PL spectrum
Effect of Current Density on Thermal and Optical Properties of p-Type Porous Silicon
International Nuclear Information System (INIS)
Kasra Behzad; Wan Mahmood Mat Yunus; Zainal Abidin Talib; Azmi Zakaria; Afarin Bahrami
2011-01-01
The different parameters of the porous silicon (PSi) can be tuned by changing some parameters in preparation process. We have chosen the anodization as formation method, so the related parameters should be changed. In this study the porous silicon (PSi) layers were formed on p-type Si wafer. The samples were anodized electrically in a fixed etching time under some different current densities. The structural and optical properties of porous silicon (PSi) on silicon (Si) substrates were investigated using photoluminescence (PL) and Photoacoustic Spectroscopy (PAS). (author)
Nanostructured Porous Silicon Photonic Crystal for Applications in the Infrared
Directory of Open Access Journals (Sweden)
G. Recio-Sánchez
2012-01-01
Full Text Available In the last decades great interest has been devoted to photonic crystals aiming at the creation of novel devices which can control light propagation. In the present work, two-dimensional (2D and three-dimensional (3D devices based on nanostructured porous silicon have been fabricated. 2D devices consist of a square mesh of 2 μm wide porous silicon veins, leaving 5×5 μm square air holes. 3D structures share the same design although multilayer porous silicon veins are used instead, providing an additional degree of modulation. These devices are fabricated from porous silicon single layers (for 2D structures or multilayers (for 3D structures, opening air holes in them by means of 1 KeV argon ion bombardment through the appropriate copper grids. For 2D structures, a complete photonic band gap for TE polarization is found in the thermal infrared range. For 3D structures, there are no complete band gaps, although several new partial gaps do exist in different high-symmetry directions. The simulation results suggest that these structures are very promising candidates for the development of low-cost photonic devices for their use in the thermal infrared range.
International Nuclear Information System (INIS)
Barrès, T.; Tribollet, B.; Stephan, O.; Montigaud, H.; Boinet, M.; Cohin, Y.
2017-01-01
Silicon nitride thin films are widely used as diffusion barriers within stacks in the glass industry but turn out to be porous at the nanometric scale. EIS measurements were conducted on SiNx thin layers deposited on a gold layer. An electrochemical model was established to fit the EIS measurements making use of data from other complementary techniques. In particular, Transmission Electron Microscopy was performed on these thin layers to determine the diameter and the qualitative morphology of the pores. A quantitative determination of the through-porosity of the layer was deduced from the EIS model and was in good agreement with TEM measurements. Moreover, combining EIS with local observations enabled inhomogeneities in the layer to be probed by highlighting a specific region in the layer.
Particulate-free porous silicon networks for efficient capacitive deionization water desalination.
Metke, Thomas; Westover, Andrew S; Carter, Rachel; Oakes, Landon; Douglas, Anna; Pint, Cary L
2016-04-22
Energy efficient water desalination processes employing low-cost and earth-abundant materials is a critical step to sustainably manage future human needs for clean water resources. Here we demonstrate that porous silicon - a material harnessing earth abundance, cost, and environmental/biological compatibility is a candidate material for water desalination. With appropriate surface passivation of the porous silicon material to prevent surface corrosion in aqueous environments, we show that porous silicon templates can enable salt removal in capacitive deionization (CDI) ranging from 0.36% by mass at the onset from fresh to brackish water (10 mM, or 0.06% salinity) to 0.52% in ocean water salt concentrations (500 mM, or ~0.3% salinity). This is on par with reports of most carbon nanomaterial based CDI systems based on particulate electrodes and covers the full salinity range required of a CDI system with a total ocean-to-fresh water required energy input of ~1.45 Wh/L. The use of porous silicon for CDI enables new routes to directly couple water desalination technology with microfluidic systems and photovoltaics that natively use silicon materials, while mitigating adverse effects of water contamination occurring from nanoparticulate-based CDI electrodes.
Particulate-free porous silicon networks for efficient capacitive deionization water desalination
Metke, Thomas; Westover, Andrew S.; Carter, Rachel; Oakes, Landon; Douglas, Anna; Pint, Cary L.
2016-01-01
Energy efficient water desalination processes employing low-cost and earth-abundant materials is a critical step to sustainably manage future human needs for clean water resources. Here we demonstrate that porous silicon – a material harnessing earth abundance, cost, and environmental/biological compatibility is a candidate material for water desalination. With appropriate surface passivation of the porous silicon material to prevent surface corrosion in aqueous environments, we show that porous silicon templates can enable salt removal in capacitive deionization (CDI) ranging from 0.36% by mass at the onset from fresh to brackish water (10 mM, or 0.06% salinity) to 0.52% in ocean water salt concentrations (500 mM, or ~0.3% salinity). This is on par with reports of most carbon nanomaterial based CDI systems based on particulate electrodes and covers the full salinity range required of a CDI system with a total ocean-to-fresh water required energy input of ~1.45 Wh/L. The use of porous silicon for CDI enables new routes to directly couple water desalination technology with microfluidic systems and photovoltaics that natively use silicon materials, while mitigating adverse effects of water contamination occurring from nanoparticulate-based CDI electrodes. PMID:27101809
International Nuclear Information System (INIS)
Moumni, Besma; Ben Jaballah, Abdelkader; Bessais, Brahim
2012-01-01
Lowering the rear surface recombination velocities by a dielectric layer has fascinating advantages compared with the standard fully covered Al back-contact silicon solar cells. In this work the passivation effect by double layer porous silicon (PS) (wide band gap) and the formation of Al-Si alloy in narrow p-type Si point contact areas for rear passivated solar cells are analysed. As revealed by Fourier transform infrared spectroscopy, we found that a thin passivating aluminum oxide (Al 2 O 3 ) layer is formed. Scanning electron microscopy analysis performed in cross sections shows that with bilayer PS, liquid Al penetrates into the openings, alloying with the Si substrate at depth and decreasing the contact resistivity. At the solar cell level, the reduction in the contact area and resistivity leads to a minimization of the fill factor losses.
Tam, Shiu-Wing
1997-01-01
An illumination source comprising a porous silicon having a source of electrons on the surface and/or interticies thereof having a total porosity in the range of from about 50 v/o to about 90 v/o. Also disclosed are a tritiated porous silicon and a photovoltaic device and an illumination source of tritiated porous silicon.
Sciacca, Beniamino; Alvarez, Sara D.; Geobaldo, Francesco; Sailor, Michael J.
2011-01-01
The high stability of Salonen’s thermally carbonized porous silicon (TCPSi) has attracted attention for environmental and biochemical sensing applications, where corrosion-induced zero point drift of porous silicon-based sensor elements has historically been a significant problem. Prepared by the high temperature reaction of porous silicon with acetylene gas, the stability of this silicon carbide-like material also poses a challenge—many sensor applications require a functionalized surface, and the low reactivity of TCPSi has limited the ability to chemically modify its surface. This work presents a simple reaction to modify the surface of TCPSi with an alkyl carboxylate. The method involves radical coupling of a dicarboxylic acid (sebacic acid) to the TCPSi surface using a benzoyl peroxide initiator. The grafted carboxylic acid species provides a route for bioconjugate chemical modification, demonstrated in this work by coupling propylamine to the surface carboxylic acid group through the intermediacy of pentafluorophenol and 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide hydrochloride (EDC). The stability of the carbonized porous Si surface, both before and after chemical modification, is tested in phosphate buffered saline solution and found to be superior to either hydrosilylated (with undecylenic acid) or thermally oxidized porous Si surfaces. PMID:20967329
Enhancement of porous silicon photoluminescence by electroless deposition of nickel
Energy Technology Data Exchange (ETDEWEB)
Amdouni, S. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Rahmani, M., E-mail: rahmanimehdi79@yahoo.com [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Zaïbi, M.-A [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Ecole Nationale Supérieure des Ingénieurs de Tunis, Université de Tunis, 5 Avenue Taha Hussein, 1008 Tunis (Tunisia); Oueslati, M. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia)
2015-01-15
Nickel-porous silicon nanocomposites (PS/Ni) are elaborated by an electroless deposition method using NiCl{sub 2} aqueous solution. The presence of nickel ions in the porous layer is confirmed by Fourier Transformed InfraRed spectroscopy (FTIR) and Raman spectroscopy. The photoluminescence (PL) spectra of PS/Ni, prepared at different electroless durations (t{sub edp}), are analyzed. A remarkable enhancement in the integrated PL intensity of PS containing nickel was observed. The lower t{sub edp} favor the deposition of nickel in PS, hence the silicon dangling bonds at the porous surface are quenched and this was increased the PL intensity. However, for the longer t{sub edp}, the PL intensity has been considerably decreased due to the destruction of some Si nanocrystallites. The PL spectra of PS/Ni, for t{sub edp} less than 8 min, show a multiband profile indicating the creation of new luminescent centers by Ni elements which induces a strong modification in the emission mechanisms. - Highlights: • Deposition of Ni ions into porous silicon (PS) layer using the electroless method. • Formation of Ni–O bonds on the porous layer. • The photoluminescence (PL) intensity of PS is enhanced after Ni deposition. • The increase of the PL is due to the contribution of radiative centers related to Ni.
International Nuclear Information System (INIS)
Tam, S.W.
1997-01-01
Disclosed is an illumination source comprising a porous silicon having a source of electrons on the surface and/or interstices thereof having a total porosity in the range of from about 50 v/o to about 90 v/o. Also disclosed are a tritiated porous silicon and a photovoltaic device and an illumination source of tritiated porous silicon. 1 fig
Influence of sample oxidation on the nature of optical luminescence from porous silicon
International Nuclear Information System (INIS)
Coulthard, I.; Antel, W. J. Jr.; Freeland, J. W.; Sham, T. K.; Naftel, S. J.; Zhang, P.
2000-01-01
Site-selective luminescence experiments were performed upon porous-silicon samples exposed to varying degrees of oxidation. The source of different luminescence bands was determined to be due to either quantum confinement in nanocrystalline silicon or defective silicon oxide. Of particular interest is the defective silicon-oxide luminescence band found at 2.1 eV, which was found to frequently overlap with a luminescence band from nanocrystalline silicon. Some of the historical confusion and debate with regards to the source of luminescence from porous silicon can be attributed to this overlap. (c) 2000 American Institute of Physics
Lv, Xiaoyi; Chen, Liangliang; Zhang, Hongyan; Mo, Jiaqing; Zhong, Furu; Lv, Changwu; Ma, Ji; Jia, Zhenhong
2013-01-15
A fabrication of a novel simple porous silicon polybasic photonic crystal with symmetrical structure has been reported as a nucleic acid biosensor for detecting antifreeze protein gene in insects (Microdera puntipennis dzhungarica), which would be helpful in the development of some new transgenic plants with tolerance of freezing stress. Compared to various porous silicon-based photonic configurations, porous silicon polytype layered structure is quite easy to prepare and shows more stability; moreover, polybasic photonic crystals with symmetrical structure exhibit interesting optical properties with a sharp resonance in the reflectance spectrum, giving a higher Q factor which causes higher sensitivity for sensing performance. In this experiment, DNA oligonucleotides were immobilized into the porous silicon pores using a standard crosslink chemistry method. The porous silicon polybasic symmetrical structure sensor possesses high specificity in performing controlled experiments with non-complementary DNA. The detection limit was found to be 21.3nM for DNA oligonucleotides. The fabricated multilayered porous silicon-based DNA biosensor has potential commercial applications in clinical chemistry for determination of an antifreeze protein gene or other genes. Copyright © 2012 Elsevier B.V. All rights reserved.
Spontaneous layering of porous silicon layers formed at high current densities
Energy Technology Data Exchange (ETDEWEB)
Parkhutik, Vitali; Curiel-Esparza, Jorge; Millan, Mari-Carmen [R and D Center MTM, Technical University of Valencia, Valencia (Spain); Albella, Jose [Institute of Materials Science (ICMM CSIC) Madrid (Spain)
2005-06-01
We report here a curious effect of spontaneous fracturing of the silicon layers formed in galvanostatic conditions at medium and high current densities. Instead of formation of homogeneous p-Si layer as at low currents, a stack of thin layers is formed. Each layer is nearly separated from others and possesses rather flat interfaces. The effects is observed using p{sup +}-Si wafers for the p-Si formation and starts being noticeable at above 100 mA/cm{sup 2}. We interpret these results in terms of the porous silicon growth model where generation of dynamic mechanical stress during the p-Si growth causes sharp changes in Si dissolution mechanism from anisotropic etching of individual needle-like pores in silicon to their branching and isotropic etching. At this moment p-Si layer loses its adhesion to the surface of Si wafer and another p-Si layer starts growing. One of the mechanisms triggering on the separation of p-Si layers from one another is a fluctuation of local anodic current in the pore bottoms associated with gas bubble evolution during the p-Si formation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Energy Technology Data Exchange (ETDEWEB)
Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)
2011-03-15
Surface texturing of silicon wafer is a key step to enhance light absorption and to improve the solar cell performances. While alkaline-texturing of single crystalline silicon wafers was well established, no efficient chemical solution has been successfully developed for multicrystalline silicon wafers. Thus, the use of alternative new methods for effective texturization of multicrystalline silicon is worth to be investigated. One of the promising texturing techniques of multicrystalline silicon wafers is the use of mechanical grooves. However, most often, physical damages occur during mechanical grooves of the wafer surface, which in turn require an additional step of wet processing-removal damage. Electrochemical surface treatment seems to be an adequate solution for removing mechanical damage throughout porous silicon formation. The topography of untreated and porous silicon-treated mechanically textured surface was investigated using scanning electron microscopy (SEM). As a result of the electrochemical surface treatment, the total reflectivity drops to about 5% in the 400-1000 nm wavelength range and the effective minority carrier diffusion length enhances from 190 {mu}m to about 230 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Enhanced optical performance of electrochemically etched porous silicon carbide
International Nuclear Information System (INIS)
Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J
2013-01-01
Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)
Material Properties of Laser-Welded Thin Silicon Foils
Directory of Open Access Journals (Sweden)
M. T. Hessmann
2013-01-01
Full Text Available An extended monocrystalline silicon base foil offers a great opportunity to combine low-cost production with high efficiency silicon solar cells on a large scale. By overcoming the area restriction of ingot-based monocrystalline silicon wafer production, costs could be decreased to thin film solar cell range. The extended monocrystalline silicon base foil consists of several individual thin silicon wafers which are welded together. A comparison of three different approaches to weld 50 μm thin silicon foils is investigated here: (1 laser spot welding with low constant feed speed, (2 laser line welding, and (3 keyhole welding. Cross-sections are prepared and analyzed by electron backscatter diffraction (EBSD to reveal changes in the crystal structure at the welding side after laser irradiation. The treatment leads to the appearance of new grains and boundaries. The induced internal stress, using the three different laser welding processes, was investigated by micro-Raman analysis. We conclude that the keyhole welding process is the most favorable to produce thin silicon foils.
Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching
Directory of Open Access Journals (Sweden)
Iatsunskyi I. R.
2013-12-01
Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.
Room temperature NO2-sensing properties of porous silicon/tungsten oxide nanorods composite
International Nuclear Information System (INIS)
Wei, Yulong; Hu, Ming; Wang, Dengfeng; Zhang, Weiyi; Qin, Yuxiang
2015-01-01
Highlights: • Porous silicon/WO 3 nanorods composite is synthesized via hydrothermal method. • The morphology of WO 3 nanorods depends on the amount of oxalic acid (pH value). • The sensor can detect ppb level NO 2 at room temperature. - Abstract: One-dimensional single crystalline WO 3 nanorods have been successfully synthesized onto the porous silicon substrates by a seed-induced hydrothermal method. The controlled morphology of porous silicon/tungsten oxide nanorods composite was obtained by using oxalic acid as an organic inducer. The reaction was carried out at 180 °C for 2 h. The influence of oxalic acid (pH value) on the morphology of porous silicon/tungsten oxide nanorods composite was investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD) and transmission electron microscopy (TEM). The NO 2 -sensing properties of the sensor based on porous silicon/tungsten oxide nanorods composite were investigated at different temperatures ranging from room temperature (∼25 °C) to 300 °C. At room temperature, the sensor behaved as a typical p-type semiconductor and exhibited high gas response, good repeatability and excellent selectivity characteristics toward NO 2 gas due to its high specific surface area, special structure, and large amounts of oxygen vacancies
Quantum confinement and disorder in porous silicon: effects on the optical and transport properties
International Nuclear Information System (INIS)
Amato, G.; Boarino, L.; Brunetto, N.; Rossi, A.M.
1996-01-01
In this report the authors report new optical data showing that disorder in porous silicon leads to strong carrier localisation. Light emission in PS (porous silicon) is suggested to occur through transitions involving localized states
Improved Treatment of Photothermal Cancer by Coating TiO2 on Porous Silicon.
Na, Kil Ju; Park, Gye-Choon
2016-02-01
In present society, the technology in various field has been sharply developed and advanced. In medical technology, especially, photothermal therapy and photodynamic therapy have had limelight for curing cancers and diseases. The study investigates the photothermal therapy that reduces side effects of existing cancer treatment, is applied to only cancer cells, and dose not harm any other normal cells. The photothermal properties of porous silicon for therapy are analyzed in order to destroy cancer cells that are more weak at heat than normal ones. For improving performance of porous silicon, it also analyzes the properties when irradiating the near infrared by heterologously junction TiO2 and TiO2NW, photocatalysts that are very stable and harmless to the environment and the human body, to porous silicon. Each sample of Si, PSi, TiO2/Psi, and TiO2NW/PSi was irradiated with 808 nm near-IR of 300, 500, and 700 mW/cm2 light intensity, where the maximum heating temperature was 43.8, 61.6, 67.9, and 61.9 degrees C at 300 mW/cm2; 54.1, 64.3, 78.8, and 68.9 degrees C at 500 mW/cm2; and 97.3, 102.8, 102.5, and 95 0C at 700 mW/cm2. The time required to reach the maximum temperature was less than 10 min for every case. The results indicate that TiO2/PSi thin film irradiated with a single near-infrared wavelength of 808 nm, which is known to have the best human permeability, offers the potential of being the most successful photothermal cancer therapy agent. It maximizes the photo-thermal characteristics within the shortest time, and minimizes the adverse effects on the human body.
Laser process for extended silicon thin film solar cells
International Nuclear Information System (INIS)
Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.
2011-01-01
We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.
Zhang, Richard X; Fisher, Timothy; Raman, Arvind; Sands, Timothy D
2009-01-01
In this article, a precise and convenient technique based on the atomic force microscope (AFM) is developed to measure the linear coefficient of thermal expansion of a porous anodic alumina thin film. A stage was used to heat the sample from room temperature up to 450 K. Thermal effects on AFM probes and different operation modes at elevated temperatures were also studied, and a silicon AFM probe in the tapping mode was chosen for the subsequent measurements due to its temperature insensitivi...
Engineered porous silicon counter electrodes for high efficiency dye-sensitized solar cells.
Erwin, William R; Oakes, Landon; Chatterjee, Shahana; Zarick, Holly F; Pint, Cary L; Bardhan, Rizia
2014-06-25
In this work, we demonstrate for the first time, the use of porous silicon (P-Si) as counter electrodes in dye-sensitized solar cells (DSSCs) with efficiencies (5.38%) comparable to that achieved with platinum counter electrodes (5.80%). To activate the P-Si for triiodide reduction, few layer carbon passivation is utilized to enable electrochemical stability of the silicon surface. Our results suggest porous silicon as a promising sustainable and manufacturable alternative to rare metals for electrochemical solar cells, following appropriate surface modification.
Energy Technology Data Exchange (ETDEWEB)
Zarroug, A.; Dimassi, W.; Ouertani, R.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre des Recherches et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)
2012-10-15
In this work, we are interested to use oxidized porous silicon (ox-PS) as a mask. So, we display the creating of a rough surface which enhances the absorption of incident light by solar cells and reduces the reflectivity of monocrystalline silicon (c-Si). It clearly can be seen that the mechanical grooving enables us to elaborate the texturing of monocrystalline silicon wafer. Results demonstrated that the application of a PS layer followed by a thermal treatment under O2 ambient easily gives us an oxide layer of uniform size which can vary from a nanometer to about ten microns. In addition, the Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer illustrates the possibility to realize oxide layer as a mask for porous silicon. We found also that this simple and low cost method decreases the total reflectivity (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
International Nuclear Information System (INIS)
Mora, M.B. de la; Bornacelli, J.; Nava, R.; Zanella, R.; Reyes-Esqueda, J.A.
2014-01-01
Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material
Energy Technology Data Exchange (ETDEWEB)
Mora, M.B. de la; Bornacelli, J. [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Nava, R. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico); Zanella, R. [Centro de Ciencias Aplicadas y Desarrollo Tecnológico, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Reyes-Esqueda, J.A., E-mail: betarina@gmail.com [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico)
2014-02-15
Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material.
Structural and elastic properties of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Matthai, C C [Department of Physics and Astronomy, University of Wales College of Cardiff, Cardiff CF2 3YB (United Kingdom); Gavartin, J L [Department of Physics and Astronomy, University of Wales College of Cardiff, Cardiff CF2 3YB (United Kingdom); Cafolla, A A [Department of Physics, Dublin City University, Dublin (Ireland)
1995-01-15
We have implemented a modified diffusion-limited aggregation model to simulate the porous silicon structure obtained by electrochemical dissolution. The resulting fractal structures were fully equilibrated using the molecular dynamics method. An analysis of the relaxed structure shows it to be quite stable with the presence of one-, two- and three-coordinated atoms as well as the four-coordinated atoms found in bulk silicon. It is suggested that the different substructures or nanocrystals might be responsible for the observed photoluminescence. ((orig.))
Role of dielectric effects in the red-green switching of porous silicon luminescence
International Nuclear Information System (INIS)
Chazalviel, J.N.; Ozanam, F.; Dubin, V.M.
1994-01-01
Trapping of a carrier at an ionized impurity in porous silicon may be significantly hindered when the material is embedded in a high-dielectric-constant medium such as an aqueous electrolyte. This effect is estimated for a geometry of cylindrical silicon wires, and by modeling the two media with wavevector-independent dielectric constants. The self-image potential of the electron is taken into account, and the frequency dependence of the outer dielectric constant is treated in a simple manner. The results demonstrate that the impurity states are not accessible in the presence of the electrolyte, just due to the dielectric relaxation of the embedding medium. This result may apply to different kinds of localized electronic states, including those responsible for the red luminescence in dry porous silicon. This provides a plausible explanation for the red to green switching of the luminescence when the porous silicon is wet and suggests that using embedding media of intermediate dielectric constants should allow one to observe a progressive transition between red and green luminescence. Observation of porous silicon luminescence in solvents of various dielectric constants provides a preliminary test of this prediction. (orig.)
TRANSFORMATIONS IN NANO-DIAMONDS WITH FORMATION OF NANO-POROUS SILICON CARBIDE AT HIGH PRESSURE
Directory of Open Access Journals (Sweden)
V. N. Kovalevsky
2010-01-01
Full Text Available The paper contains investigations on regularities of diamond - silicon carbide composite structure formation at impact-wave excitation. It has been determined that while squeezing a porous blank containing Si (SiC nano-diamond by explosive detonation products some processes are taking place such as diamond nano-particles consolidation, reverse diamond transition into graphite, fragments formation from silicon carbide. A method for obtaining high-porous composites with the presence of ultra-disperse diamond particles has been developed. Material with three-dimensional high-porous silicon-carbide structure has been received due to nano-diamond graphitation at impact wave transmission and plastic deformation. The paper reveals nano-diamonds inverse transformation into graphite and its subsequent interaction with the silicon accompanied by formation of silicon-carbide fragments with dimensions of up to 100 nm.
Combined analyses of ion beam synthesized layers in porous silicon
International Nuclear Information System (INIS)
Ramos, A.R.; Silva, M.F. da; Silva, M.R. da; Soares, J.C.; Paszti, F.; Horvath, Z.E.; Vazsonyi, E.; Conde, O.
2001-01-01
High dose ion implantation was used to form polycrystalline silicide films on porous silicon with different native concentrations of light impurities (C and O). Porous silicon layers several μm thick were implanted with 170 KeV Cr + ions to fluences of 3x10'1 7 ions/cm 2 both at room temperature and 450 o C. Similar samples were implanted with 100 keV Co + ions to fluences of 2x10 17 ions/cm 2 at room temperature and 350 o C and 450 o C. The formed silicide compounds were studied by Rutherford backscattering spectrometry, elastic recoil detection, glancing incidence X-ray diffraction, and four point-probe sheet resistance measurements. Selected Co implanted samples were analysed by cross-section transmission electron microscopy. Results show that the light impurities were partially expelled from the forming silicide layer. Combining cross-section transmission electron microscopy with ion beam methods it was possible to show that, in the implanted region, the porous structure collapses and densities during implantation, but the underlying porous silicon remains intact. The layer structure as well as the quality and type of the formed silicide, were found to depend on the original impurity level, implantation temperature, and annealing. (author)
Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)
1997-01-01
Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si--Ge layers followed by patterning into mesa structures. The mesa structures are stain etched resulting in porosification of the Si--Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si--Ge layers produced in a similar manner emitted visible light at room temperature.
Seo, Hyeonglim; Choi, Ikjang; Whiting, Nicholas; Hu, Jingzhe; Luu, Quy Son; Pudakalakatti, Shivanand; McCowan, Caitlin; Kim, Yaewon; Zacharias, Niki; Lee, Seunghyun; Bhattacharya, Pratip; Lee, Youngbok
2018-05-20
Porous silicon nanoparticles have recently garnered attention as potentially-promising biomedical platforms for drug delivery and medical diagnostics. Here, we demonstrate porous silicon nanoparticles as contrast agents for ²⁹Si magnetic resonance imaging. Size-controlled porous silicon nanoparticles were synthesized by magnesiothermic reduction of silica nanoparticles and were surface activated for further functionalization. Particles were hyperpolarized via dynamic nuclear polarization to enhance their ²⁹Si MR signals; the particles demonstrated long ²⁹Si spin-lattice relaxation (T₁) times (~ 25 mins), which suggests potential applicability for medical imaging. Furthermore, ²⁹Si hyperpolarization levels were sufficient to allow ²⁹Si MRI in phantoms. These results underscore the potential of porous silicon nanoparticles that, when combined with hyperpolarized magnetic resonance imaging, can be a powerful theragnostic deep tissue imaging platform to interrogate various biomolecular processes in vivo. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
International Nuclear Information System (INIS)
Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming
2016-01-01
In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)
Agglomeration of luminescent porous silicon nanoparticles in colloidal solutions
Czech Academy of Sciences Publication Activity Database
Herynková, Kateřina; Šlechta, Miroslav; Šimáková, Petra; Fučíková, Anna; Cibulka, Ondřej
2016-01-01
Roč. 11, Aug (2016), s. 1-5, č. článku 367. ISSN 1556-276X Grant - others:AV ČR(CZ) DAAD-16-18 Program:Bilaterální spolupráce Institutional support: RVO:68378271 Keywords : nanocrystalline silicon * porous silicon * nanoparticles * colloids * agglomeration Subject RIV: BO - Biophysics Impact factor: 2.833, year: 2016
Visible light emission from porous silicon carbide
DEFF Research Database (Denmark)
Ou, Haiyan; Lu, Weifang
2017-01-01
Light-emitting silicon carbide is emerging as an environment-friendly wavelength converter in the application of light-emitting diode based white light source for two main reasons. Firstly, SiC has very good thermal conductivity and therefore a good substrate for GaN growth in addition to the small...... lattice mismatch. Secondly, SiC material is abundant, containing no rear-earth element material as commercial phosphor. In this paper, fabrication of porous SiC is introduced, and their morphology and photoluminescence are characterized. Additionally, the carrier lifetime of the porous SiC is measured...... by time-resolved photoluminescence. The ultrashort lifetime in the order of ~70ps indicates porous SiC is very promising for the application in the ultrafast visible light communications....
Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan
2018-01-01
The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.
Bovine serum albumin adsorption on passivated porous silicon layers
Lockwood, David; Boukherroub, Rabah
2005-03-01
Hydrogen-terminated porous silicon (pSi) films were fabricated through electrochemical anodization of crystalline Si in HF-based solutions. The pSi-H surface was chemically functionalized by thermal reaction with undecylenic acid to produce an organic monolayer covalently attached to the silicon surface through Si-C bonds and bearing an acid terminal group. Bovine serum albumin (BSA) was then adsorbed onto the modified surface. SEM showed that the porous films were damaged and partially lifted off the Si substrate after a prolonged BSA adsorption. Ellipsometry revealed that the BSA had penetrated ˜ 1.3 micrometers into the porous structure. The film damage results from BSA anchoring itself tightly through strong electrostatic interactions to the acid-covered Si sidewalls. A change in surface tension during BSA film formation then causes the pSi layer to buckle and lift-off the underlying Si substrate. FTIR results from the modified pSi surfaces showed the presence of strong characteristic Amide I, II and III vibrational bands after BSA adsorption.
Modified porous silicon for electrochemical sensor of para-nitrophenol
International Nuclear Information System (INIS)
Belhousse, S.; Belhaneche-Bensemra, N.; Lasmi, K.; Mezaache, I.; Sedrati, T.; Sam, S.; Tighilt, F.-Z.; Gabouze, N.
2014-01-01
Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10 −8 M to the 3 × 10 −4 M
Colloidal solutions of luminescent porous silicon clusters with different cluster sizes
Czech Academy of Sciences Publication Activity Database
Herynková, Kateřina; Podkorytov, E.; Šlechta, Miroslav; Cibulka, Ondřej; Leitner, J.; Pelant, Ivan
2014-01-01
Roč. 9, č. 1 (2014), 1-5 ISSN 1931-7573 Institutional support: RVO:68378271 Keywords : nanocrystalline silicon * porous silicon * cluster size * luminescent markers Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.524, year: 2012
STM-excited luminescence of porous and spark-processed silicon
International Nuclear Information System (INIS)
Andrienko, I.; Kuznetsov, V.; Yuan, J.; Haneman, D.
1998-01-01
Full text: Scanning tunneling microscopy (STM) permits highly local electronic excitation of light emission (LE) from the surface of silicon. Measuring STM LE, one can study simultaneously both the topography and the luminescence properties of areas down to nm dimensions and thus make conclusions about the luminescence mechanism of the material. We have built an STM spectroscopy system which allows measurement of spectra of visible light emitted from areas as small as 13 x 13 nm 2 (porous silicon) and 10 x 10 nm 2 (spark-processed silicon). Porous silicon shows a broad emission band centered at 630 nm, and spark-processed silicon, one at 690 nm. The STM LE spectra of spark-processed silicon obtained for the first time. We have found that visible light is emitted only from areas containing nanometer-scale structures down to around 2 nm in diameter. STM LE occurs under negative bias voltage applied to the tip, i.e. when electrons are injected into the sample. Other workers used p-type silicon for the sample preparations, but it has been found that STM LE can be induced also from n-type silicon. Furthermore, we have shown that STM LE spectra can be resolved using much lover voltages and tunneling currents: -(7-9) V and 25 - 50 nA vs -(25-50) V and 100 nA. To consider different excitation mechanisms, the STM LE measurements are compared with photoluminescence and electroluminescence spectra of similar samples. We suggest that excitation of individual quantum confinement structures has been observed
Stable electroluminescence from passivated nano-crystalline porous silicon using undecylenic acid
Gelloz, B.; Sano, H.; Boukherroub, R.; Wayner, D. D. M.; Lockwood, D. J.; Koshida, N.
2005-06-01
Stabilization of electroluminescence from nanocrystalline porous silicon diodes has been achieved by replacing silicon-hydrogen bonds terminating the surface of nanocrystalline silicon with more stable silicon-carbon (Si-C) bonds. Hydrosilylation of the surface of partially and anodically oxidized porous silicon samples was thermally induced at about 90 °C using various different organic molecules. Devices whose surface have been modified with stable covalent bonds shows no degradation in the EL efficiency and EL output intensity under DC operation for several hours. The enhanced stability can be attributed to the high chemical resistance of Si-C bonds against current-induced surface oxidation associated with the generation of nonradiative defects. Although devices treated with 1-decene exhibit reduced EL efficiency and brightness compared to untreatred devices, other molecules, such as ethyl-undecylenate and particularly undecylenic acid provide stable and more efficient visible electroluminescence at room temperature. Undecylenic acid provides EL brightness as high as that of an untreated device.
Real-Time and In-Flow Sensing Using a High Sensitivity Porous Silicon Microcavity-Based Sensor.
Caroselli, Raffaele; Martín Sánchez, David; Ponce Alcántara, Salvador; Prats Quilez, Francisco; Torrijos Morán, Luis; García-Rupérez, Jaime
2017-12-05
Porous silicon seems to be an appropriate material platform for the development of high-sensitivity and low-cost optical sensors, as their porous nature increases the interaction with the target substances, and their fabrication process is very simple and inexpensive. In this paper, we present the experimental development of a porous silicon microcavity sensor and its use for real-time in-flow sensing application. A high-sensitivity configuration was designed and then fabricated, by electrochemically etching a silicon wafer. Refractive index sensing experiments were realized by flowing several dilutions with decreasing refractive indices, and measuring the spectral shift in real-time. The porous silicon microcavity sensor showed a very linear response over a wide refractive index range, with a sensitivity around 1000 nm/refractive index unit (RIU), which allowed us to directly detect refractive index variations in the 10 -7 RIU range.
Reprogramming hMSCs morphology with silicon/porous silicon geometric micro-patterns.
Ynsa, M D; Dang, Z Y; Manso-Silvan, M; Song, J; Azimi, S; Wu, J F; Liang, H D; Torres-Costa, V; Punzon-Quijorna, E; Breese, M B H; Garcia-Ruiz, J P
2014-04-01
Geometric micro-patterned surfaces of silicon combined with porous silicon (Si/PSi) have been manufactured to study the behaviour of human Mesenchymal Stem Cells (hMSCs). These micro-patterns consist of regular silicon hexagons surrounded by spaced columns of silicon equilateral triangles separated by PSi. The results show that, at an early culture stage, the hMSCs resemble quiescent cells on the central hexagons with centered nuclei and actin/β-catenin and a microtubules network denoting cell adhesion. After 2 days, hMSCs adapted their morphology and cytoskeleton proteins from cell-cell dominant interactions at the center of the hexagonal surface. This was followed by an intermediate zone with some external actin fibres/β-catenin interactions and an outer zone where the dominant interactions are cell-silicon. Cells move into silicon columns to divide, migrate and communicate. Furthermore, results show that Runx2 and vitamin D receptors, both specific transcription factors for skeleton-derived cells, are expressed in cells grown on micropatterned silicon under all observed circumstances. On the other hand, non-phenotypic alterations are under cell growth and migration on Si/PSi substrates. The former consideration strongly supports the use of micro-patterned silicon surfaces to address pending questions about the mechanisms of human bone biogenesis/pathogenesis and the study of bone scaffolds.
"Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step
Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon
2013-04-01
During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.
Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.
2018-03-01
Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.
Mula, Guido
2017-07-14
Er clustering plays a major role in hindering sufficient optical gain in Er-doped Si materials. For porous Si, the long-standing failure to govern the clustering has been attributed to insufficient knowledge of the several, concomitant and complex processes occurring during the electrochemical Er-doping. We propose here an alternative road to solve the issue: instead of looking for an equilibrium between Er content and light emission using 1-2% Er, we propose to significantly increase the electrochemical doping level to reach the filling the porous silicon pores with luminescent Er-rich material. To better understand the intricate and superposing phenomena of this process, we exploit an original approach based on needle electron tomography, EXAFS and photoluminescence. Needle electron tomography surprisingly shows a heterogeneous distribution of Er content in the silicon thin pores that until now couldn\\'t be revealed by the sole use of scanning electron microscopy compositional mapping. Besides, while showing that pore filling leads to enhanced photoluminescence emission, we demonstrate that the latter is originated from both erbium oxide and silicate. These results give a much deeper understanding of the photoluminescence origin down to nanoscale and could lead to novel approaches focused on noteworthy enhancement of Er-related photoluminescence in porous silicon.
Mula, Guido; Printemps, Tony; Licitra, Christophe; Sogne, Elisa; D’ Acapito, Francesco; Gambacorti, Narciso; Sestu, Nicola; Saba, Michele; Pinna, Elisa; Chiriu, Daniele; Ricci, Pier Carlo; Casu, Alberto; Quochi, Francesco; Mura, Andrea; Bongiovanni, Giovanni; Falqui, Andrea
2017-01-01
Er clustering plays a major role in hindering sufficient optical gain in Er-doped Si materials. For porous Si, the long-standing failure to govern the clustering has been attributed to insufficient knowledge of the several, concomitant and complex processes occurring during the electrochemical Er-doping. We propose here an alternative road to solve the issue: instead of looking for an equilibrium between Er content and light emission using 1-2% Er, we propose to significantly increase the electrochemical doping level to reach the filling the porous silicon pores with luminescent Er-rich material. To better understand the intricate and superposing phenomena of this process, we exploit an original approach based on needle electron tomography, EXAFS and photoluminescence. Needle electron tomography surprisingly shows a heterogeneous distribution of Er content in the silicon thin pores that until now couldn't be revealed by the sole use of scanning electron microscopy compositional mapping. Besides, while showing that pore filling leads to enhanced photoluminescence emission, we demonstrate that the latter is originated from both erbium oxide and silicate. These results give a much deeper understanding of the photoluminescence origin down to nanoscale and could lead to novel approaches focused on noteworthy enhancement of Er-related photoluminescence in porous silicon.
Detection of Human Ig G Using Photoluminescent Porous Silicon Interferometer.
Cho, Bomin; Kim, Seongwoong; Woo, Hee-Gweon; Kim, Sungsoo; Sohn, Honglae
2015-02-01
Photoluminescent porous silicon (PSi) interferometers having dual optical properties, both Fabry-Pérot fringe and photolumincence (PL), have been developed and used as biosensors for detection of Human Immunoglobin G (Ig G). PSi samples were prepared by electrochemical etching of p-type silicon under white light exposure. The surface of PSi was characterized using a cold field emission scanning electron microscope. The sensor system studied consisted of a single layer of porous silicon modified with Protein A. The system was probed with various fragments of aqueous human immunoglobin G (Ig G) analyte. Both reflectivity and PL were simultaneously measured under the exposure of human Ig G. An increase of optical thickness and decrease of PL were obtained under the exposure of human Ig G. Detection limit of 500 fM was observed for the human Ig G.
Conciliating surface superhydrophobicities and mechanical strength of porous silicon films
Wang, Fuguo; Zhao, Kun; Cheng, Jinchun; Zhang, Junyan
2011-01-01
Hydrophobic surfaces on Mechanical stable macroporous silicon films were prepared by electrochemical etching with subsequent octadecyltrichlorosilane (OTS) modification. The surface morphologies were controlled by current densities and the mechanical properties were adjusted by their corresponding porosities. Contrast with the smooth macroporous silicon films with lower porosities (34.1%) and microporous silicon with higher porosities (97%), the macroporous film with a rough three-dimension (3D) surface and a moderate pore to cross-section area ratio (37.8%, PSi2‧) exhibited both good mechanical strength (Yong' modulus, shear modulus and collapse strength are 64.2, 24.1 and 0.32 GPa, respectively) and surface superhydrophobicity (water contact angle is 158.4 ± 2° and sliding angle is 2.7 ± 1°). This result revealed that the surface hydrophobicities (or the surface roughness) and mechanical strength of porous films could be conciliated by pore to cross-section area ratios control and 3D structures construction. Thus, the superhydrophobic surfaces on mechanical stable porous films could be obtained by 3D structures fabrication on porous film with proper pore to cross-section area ratios.
Real-Time and In-Flow Sensing Using a High Sensitivity Porous Silicon Microcavity-Based Sensor
Directory of Open Access Journals (Sweden)
Raffaele Caroselli
2017-12-01
Full Text Available Porous silicon seems to be an appropriate material platform for the development of high-sensitivity and low-cost optical sensors, as their porous nature increases the interaction with the target substances, and their fabrication process is very simple and inexpensive. In this paper, we present the experimental development of a porous silicon microcavity sensor and its use for real-time in-flow sensing application. A high-sensitivity configuration was designed and then fabricated, by electrochemically etching a silicon wafer. Refractive index sensing experiments were realized by flowing several dilutions with decreasing refractive indices, and measuring the spectral shift in real-time. The porous silicon microcavity sensor showed a very linear response over a wide refractive index range, with a sensitivity around 1000 nm/refractive index unit (RIU, which allowed us to directly detect refractive index variations in the 10−7 RIU range.
Degradation of the photoluminescence of porous silicon caused by 60Co γ radiation
International Nuclear Information System (INIS)
Astrova, E.V.; Emtsev, V.V.; Lebedev, A.A.
1995-01-01
Two series of experiments were carried out. In the first, as-grown porous silicon was bombarded with 60 Co γ radiation to a dose ∼ 10 20 cm -2 . The photoluminescence intensity fell off by a factor ∼ 50 as a result, although the peak of the band underwent essentially no shift. In the second series, single-crystal silicon was bombarded to the same dose, and then porous silicon was fabricated on it. The intensity and spectra of these samples were the same as usual. Possible degradation mechanisms are discussed. 12 refs., 2 figs
International Nuclear Information System (INIS)
Naddaf, M.; Mrad, O.; Al-Zier, A.
2015-01-01
A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak (blue) PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.(author)
Directory of Open Access Journals (Sweden)
Kuen-Hsien Wu
2015-09-01
Full Text Available Porous-silicon (PS multi-layered structures with three stacked PS layers of different porosity were prepared on silicon (Si substrates by successively tuning the electrochemical-etching parameters in an anodization process. The three PS layers have different optical bandgap energy and construct a triple-layered PS (TLPS structure with multiple bandgap energy. Photovoltaic devices were fabricated by depositing aluminum electrodes of Schottky contacts on the surfaces of the developed TLPS structures. The TLPS-based devices exhibit broadband photoresponses within the spectrum of the solar irradiation and get high photocurrent for the incident light of a tungsten lamp. The improved spectral responses of devices are owing to the multi-bandgap structures of TLPS, which are designed with a layered configuration analog to a tandem cell for absorbing a wider energy range of the incidental sun light. The large photocurrent is mainly ascribed to an enhanced light-absorption ability as a result of applying nanoporous-Si thin films as the surface layers to absorb the short-wavelength light and to improve the Schottky contacts of devices. Experimental results reveal that the multi-bandgap PS structures produced from electrochemical-etching of Si wafers are potentially promising for development of highly efficient Si-based solar cells.
Ion beam heating of thin silicon membranes
International Nuclear Information System (INIS)
Tissot, P.E.; Hart, R.R.
1993-01-01
For silicon membranes irradiated by an ion beam in a vacuum environment, such as the masks used for ion beam lithography and the membranes used for thin film self-annealing, the heat transfer modes are radiation and limited conduction through the thin membrane. The radiation component depends on the total hemispherical emissivity which varies with the thickness and temperature of the membrane. A semiempirical correlation for the absorption coefficient of high resistivity silicon was derived and the variation of the total emissivity with temperature was computed for membranes with thicknesses between 0.1 and 10 μm. Based on this result, the temperatures reached during exposure to ion beams of varying intensities were computed. A proper modeling of the emissivity is shown to be important for beam heating of thin silicon membranes. (orig.)
The effect of oxidation on the efficiency and spectrum of photoluminescence of porous silicon
International Nuclear Information System (INIS)
Bulakh, B. M.; Korsunska, N. E.; Khomenkova, L. Yu.; Staraya, T. R.; Sheinkman, M. K.
2006-01-01
The photoluminescence spectra of porous silicon and their temperature dependences and transformations on aging are studied. It is shown that the infrared band prevailing in the spectra of as-prepared samples is due to exciton recombination in silicon crystallites. On aging, a well-pronounced additional band is observed at shorter wavelengths of the spectra. It is assumed that this band is due to the recombination of carriers that are excited in silicon crystallites and recombine via some centers located in oxide. It is shown that the broad band commonly observable in oxidized porous silicon is a superposition of the above two bands. The dependences of the peak positions and integrated intensities of the bands on time and temperature are studied. The data on the distribution of oxide centers with depth in the porous layer are obtained
Modified porous silicon for electrochemical sensor of para-nitrophenol
Energy Technology Data Exchange (ETDEWEB)
Belhousse, S., E-mail: all_samia_b@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Belhaneche-Bensemra, N., E-mail: nbelhaneche@yahoo.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Lasmi, K., E-mail: kahinalasmi@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Mezaache, I., E-mail: lyeso_44@hotmail.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Sedrati, T., E-mail: tarek_1990m@hotmail.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Sam, S., E-mail: Sabrina.sam@polytechnique.edu [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Tighilt, F.-Z., E-mail: mli_zola@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Gabouze, N., E-mail: ngabouze@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria)
2014-11-15
Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10{sup −8} M to the 3 × 10{sup −4}M.
Influence of LiBr on photoluminescence properties of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Dimassi, W., E-mail: dimassi_inrst@yahoo.f [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95 Hammam-Lif 2050 (Tunisia); Haddadi, I.; Bousbih, R.; Slama, S.; Ali Kanzari, M.; Bouaicha, M.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95 Hammam-Lif 2050 (Tunisia)
2011-05-15
A new method has been developed to improve the photoluminescence intensity of porous silicon (PS), which is first time that LiBr is used for passivation of PS. Immersion of the PS in a LiBr solution, followed by a thermal treatment at 100 {sup o}C for 30 min under nitrogen, leads to a nine fold increase in the intensity of the photoluminescence. The atomic force microscope (AFM) shows an increase of the nanoparticle dimension compared to the initial dimension of the PS nanostructure. The LiBr covers the nanoparticles of silicon without changing the wavelength distribution of the optical excitation and emission spectra. Moreover, a significant decrease of reflectivity was observed for the wavelength in the range of 350-500 nm. - Research highlights: {yields} A new method based on the use of LiBr was developed to enhance nine times the photoluminescence of porous silicon. {yields} The LiBr covers the silicon nanoparticles without changing in the optical excitation and emission spectra. {yields} We observed a significant decrease of the reflectivity in the 350-500 nm spectral range.
Influence of LiBr on photoluminescence properties of porous silicon
International Nuclear Information System (INIS)
Dimassi, W.; Haddadi, I.; Bousbih, R.; Slama, S.; Ali Kanzari, M.; Bouaicha, M.; Ezzaouia, H.
2011-01-01
A new method has been developed to improve the photoluminescence intensity of porous silicon (PS), which is first time that LiBr is used for passivation of PS. Immersion of the PS in a LiBr solution, followed by a thermal treatment at 100 o C for 30 min under nitrogen, leads to a nine fold increase in the intensity of the photoluminescence. The atomic force microscope (AFM) shows an increase of the nanoparticle dimension compared to the initial dimension of the PS nanostructure. The LiBr covers the nanoparticles of silicon without changing the wavelength distribution of the optical excitation and emission spectra. Moreover, a significant decrease of reflectivity was observed for the wavelength in the range of 350-500 nm. - Research highlights: → A new method based on the use of LiBr was developed to enhance nine times the photoluminescence of porous silicon. → The LiBr covers the silicon nanoparticles without changing in the optical excitation and emission spectra. → We observed a significant decrease of the reflectivity in the 350-500 nm spectral range.
Tuning the cathodoluminescence of porous silicon films
International Nuclear Information System (INIS)
Biaggi-Labiosa, A.; Fonseca, L.F.; Resto, O.; Balberg, I.
2008-01-01
We have obtained intense cathodoluminescence (CL) emission from electron beam modified porous silicon films by excitation with electrons with kinetic energies below 2 keV. Two types of CL emissions were observed, a stable one and a non-stable one. The first type is obtained in well-oxidized samples and is characterized by a spectral peak that is red shifted with respect to the photoluminescence (PL) peak. The physically interesting and technologically promising CL is however the CL that correlates closely with the PL. Tuning of this CL emission was achieved by controlling the average size of the nanostructure thus showing that the origin of this CL emission is associated with the quantum confinement and the surface chemistry effects that are known to exist in the porous silicon system. We also found that the electron bombardment causes microscale morphological modifications of the films, but the nanoscale features appear to be unchanged. The structural changes are manifested by the increase in the density of the nanoparticles which explains the significant enhancement of the PL that follows the electron irradiation
ZnO nanocoral reef grown on porous silicon substrates without catalyst
International Nuclear Information System (INIS)
Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.
2011-01-01
Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.
ZnO nanocoral reef grown on porous silicon substrates without catalyst
Energy Technology Data Exchange (ETDEWEB)
Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)
2011-05-05
Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.
Photocatalytic properties of porous TiO2/Ag thin films
International Nuclear Information System (INIS)
Chang, C.-C.; Chen, J.-Y.; Hsu, T.-L.; Lin, C.-K.; Chan, C.-C.
2008-01-01
In this study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin-coating technique. By introducing polystyrene (PS) spheres into the precursor solution, porous TiO 2 /Ag thin films were prepared after calcination at a temperature of 500 deg. C for 4 h. Three different sizes (50, 200, and 400 nm) of PS spheres were used to prepare porous TiO 2 films. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry (XRD) and by scanning electron microscopy to reveal structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. When PS spheres of different sizes were introduced after calcination, the as-prepared TiO 2 films exhibited different porous structures. XRD results showed that all TiO 2 /Ag films exhibited a major anatase phase. The photodegradation of porous TiO 2 thin films prepared with 200 nm PS spheres and doped with 1 mol% Ag exhibited the best photocatalytic efficiency where ∼ 100% methylene blue was decomposed within 8 h under UV exposure
Stable electroluminescence from passivated nano-crystalline porous silicon using undecylenic acid
Energy Technology Data Exchange (ETDEWEB)
Gelloz, B.; Sano, H.; Koshida, N. [Dept. Elec. and Elec. Eng., Tokyo Univ. of A and T, Koganei, Tokyo 184-8588 (Japan); Boukherroub, R. [Laboratoire de Physique de la Matiere Condensee, Ecole Polytechnique, Route de Saclay, 91128 Palaiseau (France); Wayner, D.D.M.; Lockwood, D.J. [National Research Council, Ottawa (Canada)
2005-06-01
Stabilization of electroluminescence from nanocrystalline porous silicon diodes has been achieved by replacing silicon-hydrogen bonds terminating the surface of nanocrystalline silicon with more stable silicon-carbon (Si-C) bonds. Hydrosilylation of the surface of partially and anodically oxidized porous silicon samples was thermally induced at about 90 C using various different organic molecules. Devices whose surface have been modified with stable covalent bonds shows no degradation in the EL efficiency and EL output intensity under DC operation for several hours. The enhanced stability can be attributed to the high chemical resistance of Si-C bonds against current-induced surface oxidation associated with the generation of nonradiative defects. Although devices treated with 1-decene exhibit reduced EL efficiency and brightness compared to untreated devices, other molecules, such as ethyl-undecylenate and particularly undecylenic acid provide stable and more efficient visible electroluminescence at room temperature. Undecylenic acid provides EL brightness as high as that of an untreated device. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Reduction of Peroxodisulfate at Porous and Crystalline Silicon Electrodes: An Anomaly
Kooij, Ernst S.; Noordhoek, S.M.; Kelly, J.J.
1996-01-01
Electroluminescence from n-type porous silicon can be generated in solution by reduction of peroxodisulfate. It has been assumed that the SO4•- radical ion, formed in the first reduction step, injects a hole into the valence band of the porous semiconductor. The hole should subsequently undergo
Study of Bulk and Surface States in Porous Silicon
National Research Council Canada - National Science Library
Weisz, Zvi
2000-01-01
In this final report we summarize our findings on the study of Porous Silicon (PSi). We synthesized a variety of PSi films ranging from mesostructures to arrays of nanoparticles of the order of 2nm...
High Surface Area of Porous Silicon Drives Desorption of Intact Molecules
Northen, Trent R.; Woo, Hin-Koon; Northen, Michael T.; Nordström, Anders; Uritboonthail, Winnie; Turner, Kimberly L.; Siuzdak, Gary
2007-01-01
The surface structure of porous silicon used in desorption/ionization on porous silicon (DIOS) mass analysis is known to play a primary role in the desorption/ionization (D/I) process. In this study, mass spectrometry and scanning electron microscopy (SEM) are used to examine the correlation between intact ion generation with surface ablation, and surface morphology. The DIOS process is found to be highly laser energy dependent and correlates directly with the appearance of surface ions (Sin+ and OSiH+). A threshold laser energy for DIOS is observed (10 mJ/cm2), which supports that DIOS is driven by surface restructuring and is not a strictly thermal process. In addition, three DIOS regimes are observed which correspond to surface restructuring and melting. These results suggest that higher surface area silicon substrates may enhance DIOS performance. A recent example which fits into this mechanism is silicon nanowires surface which have a high surface energy and concomitantly requires lower laser energy for analyte desorpton. PMID:17881245
Naddaf, M.; Mrad, O.; Al-zier, A.
2014-06-01
A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.
Fan, H. J.; Kuok, M. H.; Ng, S. C.; Boukherroub, R.; Lockwood, D. J.
2002-07-01
Brillouin scattering has been performed to probe acoustic waves in porous silicon films that have been chemically modified with either 1-decene, decyl aldehyde, undecylenic acid, or ethyl undecylenate. The shift in the frequencies of acoustic modes in the passivated porous silicon samples, relative to those in freshly prepared porous silicon, is different for different chemical modifiers. The magnitude of the frequency shift is qualitatively correlated with the change, caused by the passivation, in the average densities and elastic constants of the samples.
Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.
2017-06-01
Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.
Solar cell fabricated on welded thin flexible silicon
Directory of Open Access Journals (Sweden)
Hessmann Maik Thomas
2015-01-01
Full Text Available We present a thin-film crystalline silicon solar cell with an AM1.5 efficiency of 11.5% fabricated on welded 50 μm thin silicon foils. The aperture area of the cell is 1.00 cm2. The cell has an open-circuit voltage of 570 mV, a short-circuit current density of 29.9 mA cm-2 and a fill factor of 67.6%. These are the first results ever presented for solar cells on welded silicon foils. The foils were welded together in order to create the first thin flexible monocrystalline band substrate. A flexible band substrate offers the possibility to overcome the area restriction of ingot-based monocrystalline silicon wafers and the feasibility of a roll-to-roll manufacturing. In combination with an epitaxial and layer transfer process a decrease in production costs can be achieved.
Douglas, Anna; Muralidharan, Nitin; Carter, Rachel; Share, Keith; Pint, Cary L.
2016-03-01
Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics.Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics. Electronic supplementary information (ESI) available: (i) Experimental details for ALD and material fabrication, ellipsometry film thickness, preparation of gel electrolyte and separator, details for electrochemical measurements, HRTEM image of VOx coated porous silicon, Raman spectroscopy for VOx as-deposited as well as annealed in air for 1 hour at 450 °C, SEM and transient behavior dissolution tests of uniformly coated VOx on
Thermal and optical properties of porous silicon
Directory of Open Access Journals (Sweden)
Silva A. Ferreira da
2001-01-01
Full Text Available Thermal diffusivity and optical absorption have been investigated for porous silicon, at room temperature, using photoacoustic spectroscopy. The experimental results obtained conform well with the existing studies recently published. The value obtained for thermal diffusivity is 0.045 ± 0.002 cm²/s.The absorption onsets show energy structures, differing from the ordinary semiconductor of bulk type.
Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells
Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.
2012-02-01
In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.
Surface Characteristics and Catalytic Activity of Copper Deposited Porous Silicon Powder
Directory of Open Access Journals (Sweden)
Muhammad Yusri Abdul Halim
2014-12-01
Full Text Available Porous structured silicon or porous silicon (PS powder was prepared by chemical etching of silicon powder in an etchant solution of HF: HNO3: H2O (1:3:5 v/v. An immersion time of 4 min was sufficient for depositing Cu metal from an aqueous solution of CuSO4 in the presence of HF. Scanning electron microscopy (SEM analysis revealed that the Cu particles aggregated upon an increase in metal content from 3.3 wt% to 9.8 wt%. H2-temperature programmed reduction (H2-TPR profiles reveal that re-oxidation of the Cu particles occurs after deposition. Furthermore, the profiles denote the existence of various sizes of Cu metal on the PS. The Cu-PS powders show excellent catalytic reduction on the p-nitrophenol regardless of the Cu loadings.
International Nuclear Information System (INIS)
Cheung, C K; Nahid, F; Cheng, C C; Beling, C D; Fung, S; Ling, C C; Djurisic, A B; Pramanik, C; Saha, H; Sarkar, C K
2007-01-01
We have studied the dependence of porous silicon morphology and porosity on fabrication conditions. N-type (100) silicon wafers with resistivity of 2-5 Ω cm were electrochemically etched at various current densities and anodization times. Surface morphology and the thickness of the samples were examined by scanning electron microscopy (SEM). Detailed information of the porous silicon layer morphology with variation of preparation conditions was obtained by positron annihilation spectroscopy (PAS): the depth-defect profile and open pore interconnectivity on the sample surface has been studied using a slow positron beam. Coincidence Doppler broadening spectroscopy (CDBS) was used to study the chemical environment of the samples. The presence of silicon micropores with diameter varying from 1.37 to 1.51 nm was determined by positron lifetime spectroscopy (PALS). Visible luminescence from the samples was observed, which is considered to be a combination effect of quantum confinement and the effect of Si = O double bond formation near the SiO 2 /Si interface according to the results from photoluminescence (PL) and positron annihilation spectroscopy measurements. The work shows that the study of the positronium formed when a positron is implanted into the porous surface provides valuable information on the pore distribution and open pore interconnectivity, which suggests that positron annihilation spectroscopy is a useful tool in the porous silicon micropores' characterization
International Nuclear Information System (INIS)
Atyaoui, Malek; Dimassi, Wissem; Atyaoui, Atef; Elyagoubi, Jalel; Ouertani, Rachid; Ezzaouia, Hatem
2013-01-01
The performance improvement of solar cells due to the formation of a porous silicon layer treated with rare earth (Ce, La) in the n + emitter of silicon n + /p junctions has been investigated. The photovoltaic properties of the cells with and without treatment of the porous silicon layer are compared. From the reflection measurements, it was shown that the cells with treated PS layers have lower reflectivity value compared to cell with untreated PS layer. The main result is that the photovoltaic energy conversion efficiency of solar cells can be enhanced by using the treated porous silicon layers with the rare earth (Ce, La) as anti-reflection coatings. -- Highlights: • The reduction of optical loss in silicon (c-Si) solar cells attracts the attention of many researches to achieve high efficiencies. • To attain this aim, the treated PS layers with rare earth (La, Ce) are suggested to be used as an (ARC) of c-Si solar cell. • The result showed a decrease in the optical losses which can explain the improved photovoltaic properties
Energy Technology Data Exchange (ETDEWEB)
Atyaoui, Malek, E-mail: atyaoui.malek@yahoo.fr [Laboratoire de Photovoltaïque, Centre de recherches et des technologies de l' energie, technopole de Borj-Cédria, PB:95, Hammam Lif 2050 (Tunisia); Dimassi, Wissem [Laboratoire de Photovoltaïque, Centre de recherches et des technologies de l' energie, technopole de Borj-Cédria, PB:95,Hammam Lif 2050 (Tunisia); Atyaoui, Atef [Laboratoire de traitement des eaux usées, Centre de recherches et des technologies des eaux, technopole de Borj-Cédria, PB: 273, Soliman 8020 (Tunisia); Elyagoubi, Jalel; Ouertani, Rachid; Ezzaouia, Hatem [Laboratoire de Photovoltaïque, Centre de recherches et des technologies de l' energie, technopole de Borj-Cédria, PB:95,Hammam Lif 2050 (Tunisia)
2013-09-15
The performance improvement of solar cells due to the formation of a porous silicon layer treated with rare earth (Ce, La) in the n{sup +} emitter of silicon n{sup +}/p junctions has been investigated. The photovoltaic properties of the cells with and without treatment of the porous silicon layer are compared. From the reflection measurements, it was shown that the cells with treated PS layers have lower reflectivity value compared to cell with untreated PS layer. The main result is that the photovoltaic energy conversion efficiency of solar cells can be enhanced by using the treated porous silicon layers with the rare earth (Ce, La) as anti-reflection coatings. -- Highlights: • The reduction of optical loss in silicon (c-Si) solar cells attracts the attention of many researches to achieve high efficiencies. • To attain this aim, the treated PS layers with rare earth (La, Ce) are suggested to be used as an (ARC) of c-Si solar cell. • The result showed a decrease in the optical losses which can explain the improved photovoltaic properties.
Light propagation in one-dimensional porous silicon complex systems
Oton, C.J.; Dal Negro, L.; Gaburro, Z.; Pavesi, L.; Johnson, P.J.; Lagendijk, Aart; Wiersma, D.S.
2003-01-01
We discuss the optical properties of one-dimensional complex dielectric systems, in particular the time-resolved transmission through thick porous silicon quasiperiodic multi-layers. Both in numerical calculations and experiments we find dramatic distortion effects, i.e. pulse stretching and
Alvarez, Sara D.; Derfus, Austin M.; Schwartz, Michael P.; Bhatia, Sangeeta N.; Sailor, Michael J.
2008-01-01
Porous Si is a nanostructured material that is of interest for molecular and cell-based biosensing, drug delivery, and tissue engineering applications. Surface chemistry is an important factor determining the stability of porous Si in aqueous media, its affinity for various biomolecular species, and its compatibility with tissues. In this study, the attachment and viability of a primary cell type to porous Si samples containing various surface chemistries is reported, and the ability of the porous Si films to retain their optical reflectivity properties relevant to molecular biosensing is assessed. Four chemical species grafted to the porous Si surface are studied: silicon oxide (via ozone oxidation), dodecyl (via hydrosilylation with dodecene), undecanoic acid (via hydrosilylation with undecylenic acid), and oligo(ethylene) glycol (via hydrosilylation with undecylenic acid followed by an oligo(ethylene) glycol coupling reaction). Fourier Transform Infrared (FTIR) spectroscopy and contact angle measurements are used to characterize the surface. Adhesion and short-term viability of primary rat hepatocytes on these surfaces, with and without pre-adsorption of collagen type I, are assessed using vital dyes (calcein-AM and ethidium homodimer I). Cell viability on undecanoic acid-terminated porous Si, oxide-terminated porous Si, and oxide-terminated flat (non-porous) Si are monitored by quantification of albumin production over the course of 8 days. The stability of porous Si thin films after 8 days in cell culture is probed by measuring the optical interferometric reflectance spectra. Results show that hepatocytes adhere better to surfaces coated with collagen, and that chemical modification does not exert a deleterious effect on primary rat hepatocytes. The hydrosilylation chemistry greatly improves the stability of porous Si in contact with cultured primary cells while allowing cell coverage levels comparable to standard culture preparations on tissue culture
Porous silicon phantoms for high-resolution scintillation imaging
Energy Technology Data Exchange (ETDEWEB)
Di Francia, G. [Portici Research Centre, ENEA, Via Vecchio Macello, 80055 Portici, Naples (Italy); Scafe, R. [Casaccia Research Centre, ENEA, 00060 S.Maria di Galeria, Rome (Italy)]. E-mail: scafe@casaccia.enea.it; De Vincentis, G. [Department of Radiological Sciences, University of Rome ' La Sapienza' , V.le Regina Elena, 324, 00161 Rome (Italy); La Ferrara, V. [Portici Research Centre, ENEA, Via Vecchio Macello, 80055 Portici, Naples (Italy); Iurlaro, G. [Casaccia Research Centre, ENEA, 00060 S.Maria di Galeria, Rome (Italy); Nasti, I. [Portici Research Centre, ENEA, Via Vecchio Macello, 80055 Portici, Naples (Italy); Montani, L. [Casaccia Research Centre, ENEA, 00060 S.Maria di Galeria, Rome (Italy); Pellegrini, R. [Department of Experimental Medicine, University of Rome ' La Sapienza' , V.le Regina Elena, 324, 00161 Rome (Italy); Betti, M. [Department of Experimental Medicine, University of Rome ' La Sapienza' , V.le Regina Elena, 324, 00161 Rome (Italy); Martucciello, N. [Portici Research Centre, ENEA, Via Vecchio Macello, 80055 Portici, Naples (Italy); Pani, R. [Department of Experimental Medicine, University of Rome ' La Sapienza' , V.le Regina Elena, 324, 00161 Rome (Italy)
2006-12-20
High resolution radionuclide imaging requires phantoms with precise geometries and known activities using either Anger cameras equipped with pinhole collimators or dedicated small animal devices. Porous silicon samples, having areas of different shape and size, can be made and loaded with a radioactive material, obtaining: (a) precise radio-emitting figures corresponding to the porous areas geometry (b) a radioactivity of each figure depending on the pore's specifications, and (c) the same emission energy to be used in true exams. To this aim a sample with porous circular areas has been made and loaded with a {sup 99m}TcO{sub 4} {sup -} solution. Imaging has been obtained using both general purpose and pinhole collimators. This first sample shows some defects that are analyzed and discussed.
Zhao, Yue; Li, Dong-sheng; Xing, Shou-xiang; Yang, De-ren; Jiang, Min-hua
2005-01-01
This paper reports the surface morphology and I-V curves of porous silicon (PS) samples and related devices. The observed fabrics on the PS surface were found to affect the electrical property of PS devices. When the devices were operated under different external bias (10 V or 3 V) for 10 min, their observed obvious differences in electrical properties may be due to the different control mechanisms in the Al/PS interface and PS matrix morphology. PMID:16252350
Porous silicon nanoparticle as a stabilizing support for chondroitinase.
Daneshjou, Sara; Dabirmanesh, Bahareh; Rahimi, Fereshteh; Khajeh, Khosro
2017-01-01
Chondroitinase ABCI (cABCI) from Proteus vulgaris is a drug enzyme that can be used to treat spinal cord injuries. One of the main problems of chondroitinase ABC1 is its low thermal stability. The objective of the current study was to stabilize the enzyme through entrapment within porous silicon (pSi) nanoparticles. pSi was prepared by an electrochemical etch of p-type silicon using hydrofluoric acid/ethanol. The size of nanoparticles were determined 180nm by dynamic light scattering and the mean pore diameter was in the range of 40-60nm obtained by scanning electron microscopy. Enzymes were immobilized on porouse silicon nanoparticles by entrapment. The capacity of matrix was 35μg enzyme per 1mg of silicon. The immobilized enzyme displayed lower V max values compared to the free enzyme, but Km values were the same for both enzymes. Immobilization significantly increased the enzyme stability at various temperatures (-20, 4, 25 and 37°C). For example, at 4°C, the free enzyme (in 10mM imidazole) retained 20% of its activity after 100min, while the immobilized one retained 50% of its initial activity. Nanoparticles loading capacity and the enzyme release rate showed that the selected particles could be a pharmaceutically acceptable carrier for chondroitinase. Copyright © 2016 Elsevier B.V. All rights reserved.
Electrical conductivity of free-standing mesoporous silicon thin films
International Nuclear Information System (INIS)
Khardani, M.; Bouaicha, M.; Dimassi, W.; Zribi, M.; Aouida, S.; Bessais, B.
2006-01-01
The effective electrical conductivity of free-standing p + -type porous silicon layers having porosities ranging from 30% to 80% was studied at both experimental and theoretical sides. An Effective Medium Approximation (EMA) model was used as a theoretical support. The porous silicon (PS) films were prepared by the electrochemical etching method for different values of the anodic current density. In order to model the PS electrical conductivity, the free-standing porous layer was assumed to be formed of three phases; vacuum, oxide and Si nanocrystallites. The analytical expression of the electrical conductivity of the Si nanocrystallites was established using the quantum confinement theory. This enables us to correlate the electrical conductivity of the mesoporous film to the value of the effective band gap energy estimated from the absorption coefficient. A perfect agreement between the theoretical and the experimental electrical conductivity values was obtained for all prospected PS porosities
Modification of the properties of porous silicon on adsorption of iodine molecules
International Nuclear Information System (INIS)
Vorontsov, A. S.; Osminkina, L. A.; Tkachenko, A. E.; Konstantinova, E. A.; Elenskii, V. G.; Timoshenko, V. Yu.; Kashkarov, P. K.
2007-01-01
Infrared spectroscopy and electron spin resonance measurements are used to study the properties of porous silicon layers on adsorption of the I 2 iodine molecules. The layers are formed on the p-an n-Si single-crystal wafers. It is established that, in the atmosphere of I 2 molecules, the charge-carrier concentration in the layers produced on the p-type wafers can be noticeably increased: the concentration of holes can attain values on the order of ∼10 18 -10 19 cm -3 . In porous silicon layers formed on the n-type wafers, the adsorption-induced inversion of the type of charge carriers and the partial substitution of silicon-hydrogen bonds by silicon-iodine bonds are observed. A decrease in the concentration of surface paramagnetic defects, P b centers, is observed in the samples with adsorbed iodine. The experimental data are interpreted in the context of the model in which it is assumed that both deep and shallow acceptor states are formed at the surface of silicon nanocrystals upon the adsorption of I 2 molecules
Human aortic endothelial cell morphology influenced by topography of porous silicon substrates.
Formentín, Pilar; Catalán, Úrsula; Fernández-Castillejo, Sara; Alba, Maria; Baranowska, Malgorzata; Solà, Rosa; Pallarès, Josep; Marsal, Lluís F
2015-10-01
Porous silicon has received much attention because of its optical properties and for its usefulness in cell-based biosensing, drug delivery, and tissue engineering applications. Surface properties of the biomaterial are associated with cell adhesion and with proliferation, migration, and differentiation. The present article analyzes the behavior of human aortic endothelial cells in macro- and nanoporous collagen-modified porous silicon samples. On both substrates, cells are well adhered and numerous. Confocal microscopy and scanning electron microscopy were employed to study the effects of porosity on the morphology of the cells. On macroporous silicon, filopodia is not observed but the cell spreads on the surface, increasing the lamellipodia surface which penetrates the macropore. On nanoporous silicon, multiple filopodia were found to branch out from the cell body. These results demonstrate that the pore size plays a key role in controlling the morphology and growth rate of human aortic endothelial cells, and that these forms of silicon can be used to control cell development in tissue engineering as well as in basic cell biology research. © The Author(s) 2015.
Directory of Open Access Journals (Sweden)
Yukio H. Ogata
2011-04-01
Full Text Available Electrodeposition of gold into porous silicon was investigated. In the present study, porous silicon with ~100 nm in pore diameter, so-called medium-sized pores, was used as template electrode for gold electrodeposition. The growth behavior of gold deposits was studied by scanning electron microscope observation of the gold deposited porous silicon. Gold nanorod arrays with different rod lengths were prepared, and their surface-enhanced Raman scattering properties were investigated. We found that the absorption peak due to the surface plasmon resonance can be tuned by changing the length of the nanorods. The optimum length of the gold nanorods was ~600 nm for surface-enhanced Raman spectroscopy using a He-Ne laser. The reason why the optimum length of the gold nanorods was 600 nm was discussed by considering the relationship between the absorption peak of surface plasmon resonance and the wavelength of the incident laser for Raman scattering.
Xia, Bing; Wang, Bin; Shi, Jisen; Zhang, Yu; Zhang, Qi; Chen, Zhenyu; Li, Jiachen
2017-03-15
To develop photothermal and biodegradable nanocarriers for combined chemo-photothermal therapy of cancer, polyaniline/porous silicon hybrid nanocomposites had been successfully fabricated via surface initiated polymerization of aniline onto porous silicon nanoparticles in our experiments. As-prepared polyaniline/porous silicon nanocomposites could be well dispersed in aqueous solution without any extra hydrophilic surface coatings, and showed a robust photothermal effect under near-infrared (NIR) laser irradiation. Especially, after an intravenous injection into mice, these biodegradable porous silicon-based nanocomposites as non-toxic agents could be completely cleared in body. Moreover, these polyaniline/porous silicon nanocomposites as drug carriers also exhibited an efficient loading and dual pH/NIR light-triggered release of doxorubicin hydrochloride (DOX, a model anticancer drug). Most importantly, assisted with NIR laser irradiation, polyaniline/PSiNPs nanocomposites with loading DOX showed a remarkable synergistic anticancer effect combining chemotherapy with photothermal therapy, whether in vitro or in vivo. Therefore, based on biodegradable PSiNPs-based nanocomposites, this combination approach of chemo-photothermal therapy would have enormous potential on clinical cancer treatments in the future. Considering the non-biodegradable nature and potential long-term toxicity concerns of photothermal nanoagents, it is of great interest and importance to develop biodegradable and photothermal nanoparticles with an excellent biocompatibility for their future clinical applications. In our experiments, we fabricated porous silicon-based hybrid nanocomposites via surface initiated polymerization of aniline, which showed an excellent photothermal effect, aqueous dispersibility, biodegradability and biocompatibility. Furthermore, after an efficient loading of DOX molecules, polyaniline/porous silicon nanocomposites exhibited the remarkable synergistic anticancer
PAT and SEM study of porous silicon formed by anodization methods
International Nuclear Information System (INIS)
Liu Jian; Wei Long; Wang Huiyao; Ma Chuangxin; Wang Baoyi
2000-01-01
The porous silicon formed by anodization of crystal silicon was studied by positron annihilation technique (PAT) and scanning electron microscopy (SEM). The PAT experiments showed that the mean life and vacancy defects increased with the increasing anodization time. While the intensities of the longest lifetime, several ns-tens ns (ortho-positronium) dropped down. Small single-crystal Si spheres with mean radius of a few μm were observed by SEM after anodization. Pits with mean radius of a few μm from the divorcement of single-crystal spheres were also observed after further anodization. The increases of vacancy defects might be that the extension of structures of porous silicon towards inner layer with anodization time and caused more vacancy defects in inner layer. The SEM observation presented another possibility of the increase of density of vacancy defects in surface layer induced by the change of structures
Experimental study on convective heat transfer with thin porous bodies
International Nuclear Information System (INIS)
Nishi, Yoshihisa; Kinoshita, Izumi; Furuya, Masahiro
2001-01-01
Experimental studies are made on the convective heat transfer of three types of thin porous bodies. Heat transfer performances, flow patterns and temperature profiles near the porous bodies are compared with each other. The heat transfer performance of porous bodies with the largest pore diameter is large. It became clear that the high heat transfer performance depends on an excellent heat transportation ability inside the pore and near the surface of the porous bodies. (author)
Directory of Open Access Journals (Sweden)
R Dariani
2015-01-01
Full Text Available Reflection spectra of four porous silicon samples under etching times of 2, 6, 10, and 14 min with current density of 10 mA/cm2 were measured. Reflection spectra behaviors for all samples were the same, but their intensities were different and decreased by increasing the etching time. The similar behavior of reflection spectra could be attributed to the electrolyte solution concentration which was the same during fabrication and reduction of reflection spectrum due to the reduction of particle size. Also, the region for the lowest intensity at reflection spectra was related to porous silicon energy gap which shows blue shift for porous silicon energy gap. Roughness study of porous silicon samples was done by scattering spectra measurements, Rayleigh criteria, and Davis-Bennet equation. Scattering spectra of the samples were measured at 10, 15, and 20 degrees by using spectrophotometer. Reflected light intensity reduced by increasing the scattering angle except for the normal scattering which agreed with Rayleigh criteria. Also, our results showed that by increasing the etching time, porosity (sizes and numbers of pores increases and therefore light absorption increases and scattering from surface reduces. But since scattering varies with the observation scale (wavelength, the relationship between scattering and porosity differs by varying the observation scale (wavelength
Characterization of porous silicon integrated in liquid chromatography chips
Tiggelaar, Roald M.; Verdoold, Vincent; Eghbali, H.; Desmet, G.; Gardeniers, Johannes G.E.
2009-01-01
Properties of porous silicon which are relevant for use of the material as a stationary phase in liquid chromatography chips, like porosity, pore size and specific surface area, were determined with high-resolution SEM and N2 adsorption–desorption isotherms. For the anodization conditions
International Nuclear Information System (INIS)
Derbali, L.; Ezzaouia, H.
2013-01-01
In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.
Energy Technology Data Exchange (ETDEWEB)
Broas, M., E-mail: mikael.broas@aalto.fi; Mattila, T. T.; Paulasto-Kröckel, M. [Department of Electrical Engineering and Automation, Aalto University, Espoo, P.O. Box 13500, FIN-00076 Aalto (Finland); Liu, X.; Ge, Y. [Department of Materials Science and Engineering, Aalto University, Espoo, P.O. Box 16200, FIN-00076 Aalto (Finland)
2015-06-28
This paper describes a reliability assessment and failure analysis of a poly-Si/non-stoichiometric silicon nitride thin film composite structure. A set of poly-Si/SiN{sub x} thin film structures were exposed to a mixed flowing gas (MFG) environment, which simulates outdoor environments, for 90 days, and an elevated temperature and humidity (85 °C/95% R.H.) test for 140 days. The mechanical integrity of the thin films was observed to degrade during exposure to the chemically reactive atmospheres. The degree of degradation was analyzed with nanoindentation tests. Statistical analysis of the forces required to initiate a fracture in the thin films indicated degradation due to the exposure to the MFG environment in the SiN{sub x} part of the films. Scanning electron microscopy revealed a porous-like reaction layer on top of SiN{sub x}. The morphology of the reaction layer resembled that of galvanically corroded poly-Si. Transmission electron microscopy further clarified the microstructure of the reaction layer which had a complex multi-phase structure extending to depths of ∼100 nm. Furthermore, the layer was oxidized two times deeper in a 90 days MFG-tested sample compared to an untested reference. The formation of the layer is proposed to be caused by galvanic corrosion of elemental silicon in non-stoichiometric silicon nitride during hydrofluoric acid etching. The degradation is proposed to be due uncontrolled oxidation of the films during the stress tests.
Energy Technology Data Exchange (ETDEWEB)
Rodriguez, C.; Laplace, P.; Gallach-Pérez, D.; Pellacani, P.; Martín-Palma, R.J. [Departamento de Física Aplicada e Instituto de Ciencia de Materiales Nicolás Cabrera, Universidad Autónoma de Madrid, 28049, Madrid (Spain); Torres-Costa, V. [Departamento de Física Aplicada e Instituto de Ciencia de Materiales Nicolás Cabrera, Universidad Autónoma de Madrid, 28049, Madrid (Spain); Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, 28049, Madrid (Spain); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020, Ispra (Italy); Manso Silván, M., E-mail: miguel.manso@uam.es [Departamento de Física Aplicada e Instituto de Ciencia de Materiales Nicolás Cabrera, Universidad Autónoma de Madrid, 28049, Madrid (Spain)
2016-09-01
Highlights: • Hydrophobic functionalization of porous silicon structures. • Perfluorooctyl group binding confirmed by XPS. • Improved stability face to extreme oxidation conditions. • Perfluorooctyl functionalization compatible with photoluminescence of porous silicon particles. - Abstract: Luminescent structures based on semiconductor quantum dots (QDs) are increasingly used in biomolecular assays, cell tracking systems, and in-vivo diagnostics devices. In this work we have carried out the functionalization of porous silicon (PSi) luminescent structures by a perfluorosilane (Perfluoro-octyltriethoxysilane, PFOS) self assembly. The PFOS surface binding (traced by X-ray photoelectron spectroscopy) and photoluminescence efficiency were analyzed on flat model PSi. Maximal photoluminescence intensity was obtained from PSi layers anodized at 110 mA/cm{sup 2}. Resistance to hydroxylation was assayed in H{sub 2}O{sub 2}:ethanol solutions and evidenced by water contact angle (WCA) measurements. PFOS-functionalized PSi presented systematically higher WCA than untreated PSi. The PFOS functionalization was found to slightly improve the aging of the PSi particles in water giving rise to particles with longer luminescent life. Confirmation of PFOS binding to PSi particles was derived from FTIR spectra and the preservation of luminescence was observed by fluorescence microscopy. Such functionalization opens the possibility of promoting hydrophobic-hydrophobic interactions between biomolecules and fluorescent QD structures, which may enlarge their biomedical applications catalogue.
International Nuclear Information System (INIS)
Adpakpang, Kanyaporn; Patil, Sharad B.; Oh, Seung Mi; Kang, Joo-Hee; Lacroix, Marc; Hwang, Seong-Ju
2016-01-01
Graphical abstract: Effective morphological control of porous silicon 2D nanoplate can be achieved by the magnesiothermically-induced phase transition of exfoliated silicate clay nanosheets. The promising lithium storage performance of the obtained silicon materials with huge capacity and excellent rate characteristics underscores the prime importance of porously 2D nanostructured morphology of silicon. - Highlights: • 2D nanostructured silicon electrode materials are successfully synthesized via the magnesiothermically-induced phase transition of exfoliated clay 2D nanosheets. • High discharge capacity and rate capability are achieved from the 2D nanoplates of silicon. • Silicon 2D nanoplates can enhance both Li"+ diffusion and charge-transfer kinetics. • 2D nanostructured silicon is beneficial for the cycling stability by minimizing the volume change during lithiation-delithiation. - Abstract: An efficient and economical route for the synthesis of porous two-dimensional (2D) nanoplates of silicon is developed via the magnesiothermically-induced phase transition of exfoliated clay 2D nanosheets. The magnesiothermic reaction of precursor clay nanosheets prepared by the exfoliation and restacking with Mg"2"+ cations yields porous 2D nanoplates of elemental silicon. The variation in the Mg:SiO_2 ratio has a significant effect on the porosity and connectivity of silicon nanoplates. The porous silicon nanoplates show a high discharge capacity of 2000 mAh g"−"1 after 50 cycles. Of prime importance is that this electrode material still retains a large discharge capacity at higher C-rates, which is unusual for the elemental silicon electrode. This is mainly attributed to the improved diffusion of lithium ions, charge-transfer kinetics, and the preservation of the electrical connection of the porous 2D plate-shaped morphology. This study highlights the usefulness of clay mineral as an economical and scalable precursor of high-performance silicon electrodes with
Photonic porous silicon as a pH sensor.
Pace, Stephanie; Vasani, Roshan B; Zhao, Wei; Perrier, Sébastien; Voelcker, Nicolas H
2014-01-01
Chronic wounds do not heal within 3 months, and during the lengthy healing process, the wound is invariably exposed to bacteria, which can colonize the wound bed and form biofilms. This alters the wound metabolism and brings about a change of pH. In this work, porous silicon photonic films were coated with the pH-responsive polymer poly(2-diethylaminoethyl acrylate). We demonstrated that the pH-responsive polymer deposited on the surface of the photonic film acts as a barrier to prevent water from penetrating inside the porous matrix at neutral pH. Moreover, the device demonstrated optical pH sensing capability visible by the unaided eye.
Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels
Ghoneim, Mohamed T.; Fahad, Hossain M.; Hussain, Aftab M.; Rojas, Jhonathan Prieto; Sevilla, Galo T.; Alfaraj, Nasir; Lizardo, Ernesto B.; Hussain, Muhammad Mustafa
2015-01-01
In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.
Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels
Ghoneim, Mohamed T.
2015-12-11
In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.
Broadband dielectric spectroscopy of oxidized porous silicon
International Nuclear Information System (INIS)
Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri
2006-01-01
Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals
Broadband dielectric spectroscopy of oxidized porous silicon
Energy Technology Data Exchange (ETDEWEB)
Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)
2006-04-07
Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.
Thin film silicon photovoltaics: Architectural perspectives and technological issues
Energy Technology Data Exchange (ETDEWEB)
Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)
2009-10-15
Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)
Thin-film silicon solar cell technology
Czech Academy of Sciences Publication Activity Database
Shah, A. V.; Schade, H.; Vaněček, Milan; Meier, J.; Vallat-Sauvain, E.; Wyrsch, N.; Kroll, U.; Droz, C.; Bailat, J.
2004-01-01
Roč. 12, - (2004), s. 113-142 ISSN 1062-7995 R&D Projects: GA MŽP SN/320/11/03 Institutional research plan: CEZ:AV0Z1010914 Keywords : thin-film silicon modules * hydrogenerated amorphous silicon(a-Si:H) * hydrogenerated microcrystalline (ćc-Si:H) * transparent conductive oxydes(TCOs) * building-integrated photovoltaics(BIPV) Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.196, year: 2004
Electrochromic Devices Based on Porous Tungsten Oxide Thin Films
Directory of Open Access Journals (Sweden)
Y. Djaoued
2012-01-01
Full Text Available Recent developments in the synthesis of transition metal oxides in the form of porous thin films have opened up opportunities in the construction of electrochromic devices with enhanced properties. In this paper, synthesis, characterization and electrochromic applications of porous WO3 thin films with different nanocrystalline phases, such as hexagonal, monoclinic, and orthorhombic, are presented. Asymmetric electrochromic devices have been constructed based on these porous WO3 thin films. XRD measurements of the intercalation/deintercalation of Li+ into/from the WO3 layer of the device as a function of applied coloration/bleaching voltages show systematic changes in the lattice parameters associated with structural phase transitions in LixWO3. Micro-Raman studies show systematic crystalline phase changes in the spectra of WO3 layers during Li+ ion intercalation and deintercalation, which agree with the XRD data. These devices exhibit interesting optical modulation (up to ~70% due to intercalation/deintercalation of Li ions into/from the WO3 layer of the devices as a function of applied coloration/bleaching voltages. The obtained optical modulation of the electrochromic devices indicates that, they are suitable for applications in electrochromic smart windows.
Energy Technology Data Exchange (ETDEWEB)
Martin, Marta; Gergely, Csilla [GES-UMR 5650, CNRS, Universite Montpellier 2, Pl. Eugene Bataillon 34095, Montpellier Cedex 5 (France); Taleb Bendiab, Chakib; Massif, Laurent; Cuisinier, Frederic [EA4203, Faculte d' Odontologie, Universite Montpellier 1, Montpellier Cedex 5 (France); Palestino, Gabriela [Facultad de Ciencias Quimicas, Universidad Autonoma de San Luis Potosi, Av. Salvador Nava 6, 78000 San Luis Potosi (Mexico); Agarwal, Vivechana [CIICAP, Universidad Autonoma del Estado de Morelos, Av. Universidad 1001, Col Chamilpa, Cuernavaca, Mor. (Mexico)
2011-06-15
Porous silicon microcavity (PSiMc) structures were used as support material for specific sensing of matrix metalloproteinases (MMPs). For lower concentrations of MMP-8, the structures were tested with two types of functionalization methods. Silanization of the oxidized porous silicon structures, followed by glutaraldehyde chemistry was found to give very inconsistent results. The use of biotinilated bovine serum albumin linked to the naked PSiMc was found to be an alternative method to attach the anti MMP-8 human antibody, previously modified with streptavidin, which was further used to sense MMP-8 (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Microfabricated microneedle with porous tip for drug delivery
Ji, Jing; Tay, Francis E. H.; Miao, Jianmin; Iliescu, Ciprian
2006-05-01
This paper presents a novel approach to fabrication of a silicon microneedle array with porous tips. Dry etching technology with SF6/O2 gas by STS's inductively coupled plasma (ICP) etch tool was used to achieve the pyramidal needle structure. A thin silicon nitride layer was deposited after a thick photoresist layer was coated and reflowed at 120 °C. The silicon nitride layer and residual photoresist on the tips of the pyramidal structures were removed using reactive ion etching (RIE). Electrochemical etching in MeCN/HF was carried out to generate porous silicon on the tips of the microneedles. The fabricated microneedle array has potential applications in drug delivery, since the porous tips can be loaded with a high molecular weight drug. Analytic solutions to the critical loadings of the fabricated microneedle structure are also presented. The variations of the square cross-section were expressed as a function of the axial coordinate to analyze the bending normal stress and critical buckling loading. This analytic method can also be used for other microneedle structures with different cross-sections.
International Nuclear Information System (INIS)
Lee, S.R.; Barbour, J.C.; Medernach, J.W.; Stevenson, J.O.; Custer, J.S.
1994-01-01
The microstructure of anodically prepared porous silicon films was determined using a novel X-ray diffraction technique. This technique uses double-crystal diffractometry combined with position-sensitive X- ray detection to efficiently and quantitatively image the reciprocal space structure of crystalline materials. Reciprocal space analysis of newly prepared, as well as aged, p - porous silicon films showed that these films exhibit a very broad range of crystallinity. This material appears to range in structure from a strained, single-crystal, sponge-like material exhibiting long-range coherency to isolated, dilated nanocrystals embedded in an amorphous matrix. Reciprocal space analysis of n + and p + porous silicon showed these materials are strained single-crystals with a spatially-correlated array of vertical pores. The vertical pores in these crystals may be surrounded by nanoporous or nanocrystalline domains as small as a few nm in size which produce diffuse diffraction indicating their presence. The photoluminescence of these films was examined using 488 nm Ar laser excitation in order to search for possible correlations between photoluminescent intensity and crystalline microstructure
Light-Induced Degradation of Thin Film Silicon Solar Cells
International Nuclear Information System (INIS)
Hamelmann, F U; Weicht, J A; Behrens, G
2016-01-01
Silicon-wafer based solar cells are still domination the market for photovoltaic energy conversion. However, most of the silicon is used only for mechanical stability, while only a small percentage of the material is needed for the light absorption. Thin film silicon technology reduces the material demand to just some hundred nanometer thickness. But even in a tandem stack (amorphous and microcrystalline silicon) the efficiencies are lower, and light-induced degradation is an important issue. The established standard tests for characterisation are not precise enough to predict the performance of thin film silicon solar cells under real conditions, since many factors do have an influence on the degradation. We will show some results of laboratory and outdoor measurements that we are going to use as a base for advanced modelling and simulation methods. (paper)
Ultra-low reflection porous silicon nanowires for solar cell applications
Najar , Adel; Charrier , Joël; Pirasteh , Parastesh; Sougrat , R.
2012-01-01
International audience; High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measuremen...
Effective antireflection properties of porous silicon nanowires for photovoltaic applications
Najar, Adel; Al-Jabr, Ahmad; Alsunaidi, Mohammad; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid
2013-01-01
Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET
International Nuclear Information System (INIS)
Green, S.
2000-02-01
The effect of adsorbed oxygen and hydrogen gas on porous silicon has been investigated using two different techniques, viz. optical and electrical. The photoluminescence quenching by oxygen and hydrogen was found to be reversible with a response time of the order of 3000 s. Unlike any reported porous silicon gas quenching systems, both the extent and rate of quenching were found to be a function of photoluminescence wavelength. The quenching is attributed to charge transfer from the conduction band of porous silicon to the lowest unoccupied molecular orbital of oxygen and hydrogen, respectively. Surface conductance measurements (aluminium contacts) show that the principal charge transfer process is via tunnelling, with some conduction through the underlying bulk p-type silicon layer. Symmetrical current-voltage plots were obtained for this system which were attributed to pinning of the aluminium-porous silicon Fermi level at mid-gap by the high surface trap density. An approximate doubling of the aluminium electrode separation was found to reduce approximately fourfold the initial rate of increase in surface conductance on adsorption of oxygen at a pressure of 10 torr. To the best of the author's knowledge this is the first time that such an effect has been reported in a room temperature solid state gas sensor. Gas sensitivity measurements using surface contacts show a logarithmic response to the concentration of oxygen up to a pressure of 100 torr with a rapid response, of 300 s. A 39% increase in surface conductance occurs on exposure of the device to 100 torr of oxygen. The surface conductance of the device decreases by 34% on exposure to one atmosphere of hydrogen with a response time of the order 2000 s. Transverse conductance (DC) measurements show that Au/PS/p-Si/Al..Ag devices behave like a field-dependent diode. An admittance spectroscopy technique has been applied to porous silicon for the first time to calculate g 0 , the trap density at the Fermi level
Porous silicon-based passivation and gettering in polycrystalline silicon solar cells
International Nuclear Information System (INIS)
Dimassi, W.; Bouaiecha, M.; Saadoun, M.; Bessaies, B.; Ezzaouia, H.; Bennaceur, R.
2002-01-01
In this work, we report on the effect of introducing a superficial porous silicon (PS) layer on the electrical characteristics of polycrystalline silicon solar cells. The PS layer was formed using a vapour etching (VE)-based method. In addition to its known anti-reflecting action, the forming hydrogen-rich PS layer acts as a passivating agent for the surface of the cell. As a result we found an improvement of the I-V characteristics in dark conditions and AM1 illumination. We show that when the formation of a superficial PS layer is followed by a heat treatment, gettering of impurities from the polycrystalline silicon material is possible. After the removal of the PS layer and the formation of the photovoltaic (PV) structure, we observed an increase of the light-beam-induced-current (LBIC) for treatment temperatures not exceeding 900 deg. C. An improvement of the bulk minority carrier diffusion length and the grain boundary (GB) recombination velocity were observed as the temperature rises, although a global decrease of the LBIC current was observed for temperatures greater than 900 deg. C
Energy Technology Data Exchange (ETDEWEB)
Sanchez, Alejandra [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Electroquimica y Energia Quimica de la Universidad de Costa Rica (CELEQ), Universidad de Costa Rica, 2060 (Costa Rica); Gonzalez, Jerson [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Garcia-Pineres, Alfonso [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Investigacion en Biologia Celular y Molecular (CIBCM), Universidad de Costa Rica, 2060 (Costa Rica); Montero, Mavis L. [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Electroquimica y Energia Quimica de la Universidad de Costa Rica (CELEQ), Universidad de Costa Rica, 2060 (Costa Rica); Centro de Ciencia e Ingenieria en Materiales (CICIMA), Universidad de Costa Rica, 2060 (Costa Rica)
2011-06-15
The properties of porous silicon make it an interesting material for biological applications. However, porous silicon is not an appropriate surface for cell growth. Surface modification is an alternative that could afford a bioactive material. In this work, we report a method to yield materials by modification of the porous silicon surface with hydroxyapatite of nanometric dimensions, produced using an electrochemical process and coated on macroporous silicon substrates by cathodic bias. The chemical nature of the calcium phosphate deposited on the substrates after the experimental process and the amount of cell growth on these surfaces were characterized. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Jagannathan, Basanth
Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.
Characterization of thin-film silicon materials and solar cells through numerical modeling
Pieters, B.E.
2008-01-01
At present most commercially available solar cells are made of crystalline silicon (c-Si). The disadvantages of crystalline silicon solar cells are the high material cost and energy consumption during production. A cheaper alternative can be found in thin-film silicon solar cells. The thin-film
The effect of oxidation on physical properties of porous silicon layers for optical applications
Energy Technology Data Exchange (ETDEWEB)
Pirasteh, Parasteh [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Charrier, Joel [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France)]. E-mail: joel.charrier@univ-rennes1.fr; Soltani, Ali [Institut d' Electronique, de Microemectronique et de Nanotechnologie, CNRS-UMR 8520, Cite Scientifique Avenue Poincare, BP 69, 59652 Villeneuve d' Ascq Cedex (France); Haesaert, Severine [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Haji, Lazhar [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Godon, Christine [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France); Errien, Nicolas [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France)
2006-12-15
In order to understand the optical loss mechanisms in porous silicon based waveguides, structural and optical studies have been performed. Scanning and transmission electron microscopic observations of porous silicon layers are obtained before and after an oxidation process at high temperature in wet O{sub 2}. Pore size and shape of heavily p-type doped Si wafers are estimated and correlated to the optical properties of the material before and after oxidation. The refractive index was measured and compared to that determined by the Bruggeman model.
International Nuclear Information System (INIS)
Gelloz, Bernard; Koshida, Nobuyoshi
2010-01-01
The effects of high-pressure water vapor annealing (HWA), electrochemical oxidation, and substrate resistivity on the properties of porous silicon Bragg mirrors and photoluminescent cavities have been investigated. The photonic structures treated by HWA show very good stability upon ageing in air whereas as-formed structures exhibit significant drifts in their optical properties. Using HWA with lightly doped porous silicon, the structure transparency is enhanced sufficiently to enable the possible photonic operation in the near-ultraviolet. However, the index contrast achievable with these structures is very low in the visible and near-infrared. Useful index contrasts in this range can be achieved with either lightly doped porous silicon treated by electrochemical oxidation and HWA or heavily doped porous silicon treated by HWA.
Step voltage with periodic hold-up etching: A novel porous silicon formation
International Nuclear Information System (INIS)
Naddaf, M.; Awad, F.; Soukeih, M.
2007-01-01
A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 μA. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching
Step voltage with periodic hold-up etching: A novel porous silicon formation
Energy Technology Data Exchange (ETDEWEB)
Naddaf, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)]. E-mail: scientific@aec.org.sy; Awad, F. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic); Soukeih, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)
2007-05-16
A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 {mu}A. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching.
Controlling the Er content of porous silicon using the doping current intensity
Mula, Guido
2014-07-04
The results of an investigation on the Er doping of porous silicon are presented. Electrochemical impedance spectroscopy, optical reflectivity, and spatially resolved energy dispersive spectroscopy (EDS) coupled to scanning electron microscopy measurements were used to investigate on the transient during the first stages of constant current Er doping. Depending on the applied current intensity, the voltage transient displays two very different behaviors, signature of two different chemical processes. The measurements show that, for equal transferred charge and identical porous silicon (PSi) layers, the applied current intensity also influences the final Er content. An interpretative model is proposed in order to describe the two distinct chemical processes. The results can be useful for a better control over the doping process.
Soft photo structuring of porous silicon in water
Energy Technology Data Exchange (ETDEWEB)
Juan, M.; Bouillard, J.S.; Plain, J.; Bachelot, R.; Adam, P.M.; Lerondel, G.; Royer, P. [ICD - Laboratoire de Nanotechnologie et d' Instrumentation Optique, CNRS FRE 2848, Universite de Technologie de Troyes, 12 rue Marie Curie, BP 2060, 10010 Troyes (France)
2007-05-15
We report on local photo-induced patterning of porous silicon in water. Scanning probe microscopy images of the sample surface after illumination show that the emission properties as well as the topography are modified according to the interferometric illumination pattern. Local photo-oxidation is believed to be at the origin of these modifications. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
International Nuclear Information System (INIS)
Aouida, S.; Saadoun, M.; Boujmil, M.F.; Ben Rabha, M.; Bessaies, B.
2004-01-01
The aim of this paper is to investigate the structural and optical stability of porous silicon layers (PSLs) planned to be used in silicon solar cells technology. The PSLs were prepared by a HNO 3 /HF vapor etching (VE) based method. Fourier transform infrared (FT-IR) spectroscopy shows that fresh VE-based PSLs contain N-H and Si-F bonds related to a ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 minor phase, and conventional Si-H x and Si-O x bonds. Free air exposures of PSLs without and with UV irradiation lead to oxidation or photo-oxidation of the porous layer, respectively. FT-IR characterisation of the PSLs shows that UV irradiations modify the transformation kinetics replacing instable Si-H x by Si-O x or Si-O-H bonds. When fresh PSLs undergo free air oxidation within 7 days, the surface reflectivity decreases from 10 to about 8%, while it drops to about 4% when a 10 min free air UV irradiation is applied. Long periods of free air oxidation do not ensure the reflectivity to be stable, whereas it becomes stable after only 10 min of UV irradiation. This behaviour was explained taking into account the kinetic differences between oxidation with and without UV irradiation. Fresh VE-based PSLs were found to improve efficiently the photovoltaic (PV) characteristics of crystalline silicon solar cells. The passivating action of VE-based PSLs was discussed. An improvement of the PV performances was observed solely for stable oxidized porous silicon (PS) structures obtained from UV irradiations
Nanostructured porous silicon-mediated drug delivery.
Martín-Palma, Raúl J; Hernández-Montelongo, Jacobo; Torres-Costa, Vicente; Manso-Silván, Miguel; Muñoz-Noval, Álvaro
2014-08-01
The particular properties of nanostructured porous silicon (nanoPS) make it an attractive material for controlled and localized release of therapeutics within the body, aiming at increased efficacy and reduced risks of potential side effects. Since this is a rapidly evolving field as a consequence of the number of research groups involved, a critical review of the state of the art is necessary. In this work, the most promising and successful applications of nanoPS in the field of drug delivery are reviewed and discussed. Two key issues such as drug loading and release are also analyzed in detail. The development of multifunctional (hybrid) systems, aiming at imparting additional functionalities to the nanoPS particles such as luminescence, magnetic response and/or plasmonic effects (allowing simultaneous tracking and guiding), is also examined. Nanostructured materials based on silicon are promising platforms for pharmaceutical applications given their ability to degrade and low toxicity. However, a very limited number of clinical applications have been demonstrated so far.
Energy Technology Data Exchange (ETDEWEB)
Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others
2015-10-15
Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.
Double side multicrystalline silicon passivation by one step stain etching-based porous silicon
Energy Technology Data Exchange (ETDEWEB)
Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)
2012-10-15
In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Silicon-integrated thin-film structure for electro-optic applications
McKee, Rodney A.; Walker, Frederick Joseph
2000-01-01
A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.
Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching
International Nuclear Information System (INIS)
Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.
2015-01-01
Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer
Anisotropic multi-spot DBR porous silicon chip for the detection of human immunoglobin G.
Cho, Bomin; Um, Sungyong; Sohn, Honglae
2014-07-01
Asymmetric porous silicon multilayer (APSM)-based optical biosensor was developed to specify human Immunoglobin G (Ig G). APSM chip was generated by an electrochemical etching of silicon wafer using an asymmetric electrode configuration in aqueous ethanolic HF solution and constituted with nine arrayed porous silicon multilayer. APSM prepared from anisotropic etching conditions displayed a sharp reflection resonance in the reflectivity spectrum. Each spot displayed single reflection resonance at different wavelengths as a function of the lateral distance from the Pt counter electrode. The sensor system was consisted of the 3 x 3 spot array of APSM modified with protein A. The system was probed with an aqueous human Ig G. Molecular binding and specificity was monitored as a shift in wavelength of reflection resonance.
Electrical Characterization of Nanopolyaniline/Porous Silicon Heterojunction at High Temperatures
Directory of Open Access Journals (Sweden)
Salah E. El-Zohary
2013-01-01
Full Text Available Nanopolyaniline/p-type porous silicon (NPANI/PSi heterojunction films were chemically fabricated via in situ polymerization. The composition and morphology of the nanopolymer were confirmed using Fourier transform infrared, scanning electron microscopy, UV-visible, and transmission electron microscopy techniques. The results indicated that the polymerization took place throughout the porous layer. The I-V measurements, performed at different temperatures, enabled the calculation of ideality factor, barrier height, and series resistance of those films. The obtained ideality factor showed a nonideal diode behavior. The series resistance was found to decrease with increasing temperature.
Porous silicon photonic devices using pulsed anodic etching of lightly doped silicon
International Nuclear Information System (INIS)
Escorcia-Garcia, J; Sarracino MartInez, O; Agarwal, V; Gracia-Jimenez, J M
2009-01-01
The fabrication of porous silicon photonic structures using lightly doped, p-type, silicon wafers (resistivity: 14-22 Ω cm) by pulsed anodic etching is reported. The optical properties have been found to be strongly dependent on the duty cycle and frequency of the applied current. All the interfaces of the single layered samples were digitally analysed by calculating the mean interface roughness (R m ). The interface roughness was found to be maximum for the sample with direct current. The use of a duty cycle above 50%, in a certain range of frequencies, is found to reduce the interface roughness. The optical properties of some microcavities and rugate filters are investigated from the optimized parameters of the duty cycle and frequency, using the current densities of 10, 90 and 150 mA cm -2 .
Electroplated contacts and porous silicon for silicon based solar cells applications
Energy Technology Data Exchange (ETDEWEB)
Kholostov, Konstantin, E-mail: kholostov@diet.uniroma1.it [Department of information engineering, electronics and telecommunications, University of Rome “La Sapienza”, Via Eudossiana 18, 00184 Rome (Italy); Serenelli, Luca; Izzi, Massimo; Tucci, Mario [Enea Casaccia Research Centre Rome, via Anguillarese 301, 00123 Rome (Italy); Balucani, Marco [Department of information engineering, electronics and telecommunications, University of Rome “La Sapienza”, Via Eudossiana 18, 00184 Rome (Italy); Rise Technology S.r.l., Lungomare Paolo Toscanelli 170, 00121 Rome (Italy)
2015-04-15
Highlights: • Uniformity of the Ni–Si interface is crucial for performance of Cu–Ni contacts on Si. • Uniformly filled PS is the key to obtain the best performance of Cu–Ni contacts on Si. • Optimization of anodization and electroplating allows complete filling of PS layer. • Highly adhesive and low contact resistance Cu–Ni contacts are obtained on Si. - Abstract: In this paper, a two-layer metallization for silicon based solar cells is presented. The metallization consists of thin nickel barrier and thick copper conductive layers, both obtained by electrodeposition technique suitable for phosphorus-doped 70–90 Ω/sq solar cell emitter formed on p-type silicon substrate. To ensure the adhesion between metal contact and emitter a very thin layer of mesoporous silicon is introduced on the emitter surface before metal deposition. This approach allows metal anchoring inside pores and improves silicon–nickel interface uniformity. Optimization of metal contact parameters is achieved varying the anodization and electrodeposition conditions. Characterization of contacts between metal and emitter is carried out by scanning electron microscopy, specific contact resistance and current–voltage measurements. Mechanical strength of nickel–copper contacts is evaluated by the peel test. Adhesion strength of more than 4.5 N/mm and contact resistance of 350 μΩ cm{sup 2} on 80 Ω/sq emitter are achieved.
Study of optical absorbance in porous silicon nanowires for photovoltaic applications
Charrier, Joë l; Najar, Adel; Pirasteh, Parastesh
2013-01-01
Porous silicon nanowires (PSiNWs) layers fabrication was reported. Reflectance spectra were measured as a function of the nanowire length and were inferior to 0.1% and a strong photoluminescence (PL) signal was measured from samples. Models based
Porous silicon and diatoms micro-shells: an example of inverse biomimetic
De Tommasi, Edoardo; Rea, Ilaria; Rendina, Ivo; De Stefano, Luca
2011-05-01
Porous silicon (PSi) is by far a very useful technological platform for optical monitoring of chemical and biological substances and due to its peculiar physical and morphological properties it is worldwide used in sensing experiments. On the other hand, we have discovered a natural material, the micro-shells of marine diatoms, ubiquitous unicellular algae, which are made of hydrated amorphous silica, but, most of all, show geometrical structures made of complex patterns of pores which are surprisingly similar to those of porous silicon. Moreover, under laser irradiation, this material is photoluminescent and the photoluminescence is very sensitive to the surrounding atmosphere, which means that the material can act as a transducer. Starting from our experience on PSi devices, we explore the optical and photonic properties of marine diatoms micro-shells in a sort of inverse biomimicry.
Electrochemistry of porous and crystalline silicon electrodes in methylviologen solutions
Kooij, Ernst S.; Despo, R.W.; Mulders, F.P.J.; Kelly, J.J.
1996-01-01
From measurements using stationary and rotating disc and ring-disc electrodes, it is concluded that the reduction reactions of the divalent methylviologen cation MV2+ (to MV+· and MV0) proceed via the conduction band of both porous and crystalline silicon. The product of the second reduction step
Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels
Directory of Open Access Journals (Sweden)
Mohamed T. Ghoneim
2015-12-01
Full Text Available In today’s digital world, complementary metal oxide semiconductor (CMOS technology enabled scaling of bulk mono-crystalline silicon (100 based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm mono-crystalline (100 silicon (detached from bulk substrate by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs with high-κ/metal gate stacks.
Morphology of the porous silicon obtained by electrochemical anodization method
Bertel H, S. D.; Dussán C, A.; Diaz P, J. M.
2018-04-01
In this report, the dependence of porous silicon with the synthesis parameters and their correlation with the optical and morphological properties is studied. The P-type silicon-crystalline samples and orientation were prepared by electrochemical anodization and were characterized using SEM in order to know the evolution of the pore morphology. It was observed that the porosity and thickness of the samples increased with the increase of the concentration in the solution and a high pore density (70%) with a pore size between 40nm and 1.5μm.
Directory of Open Access Journals (Sweden)
Ana Luz Muñoz-Rosas
2018-03-01
Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.
Energy Technology Data Exchange (ETDEWEB)
Gomez, D.; Azcarate, Sabino [Dpto. de Micro y Nanotecnologias, Fundacion Tekniker, Av. Otaola 20, 20600 Eibar (Spain); Fernandez, Jose A.; Astigarraga, Egoitz [Dpto. de Quimica Fisica, Universidad del Pais Vasco, Campus de Lejona, Lejona (Spain); Marcaide, Arrate [Dpto. de Procesos de Fabricacion, Fundacion Tekniker, Av. Otaola 20, 20600 Eibar (Spain)
2007-07-01
In present work, porous silicon surfaces (PSS) have been developed for time of flight mass spectrometric experiments (TOF-MS) in the monitoring of nucleotides, commonly found as metabolites in the cell. The mass range of the studied molecules ({proportional_to} 400 amu) is common to several important messengers and other metabolites. Different porosified surfaces have been developed by means of electrochemical etching and different degree of porosity and pore size achieved as function of silicon dopant concentration, silicon resistivity, current density and the presence or absence of illumination along the process. As main conclusion, it can be said that an interesting commercial nucleotide (Cyclic adenosine monophosphate, c-AMP) has been detected on low concentrations ({proportional_to}hundreds of femtomols) for some of the fabricated porous surfaces. Taking into account that these concentrations are similar to the ones found in real samples, this result opens the possibility to the fabrication of DIOS (Desorption Ionization On Silicon) chips for the detection of nucleotides in biological fluids. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Energy Technology Data Exchange (ETDEWEB)
Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)
2017-01-15
The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.
Energy Technology Data Exchange (ETDEWEB)
Pirasteh, P. [RESO Laboratory (EA 3380), ENIB, CS 73862, 29238 Brest Cedex 3 (France); Optronics Laboratory, ENSSAT, UMR 6082, BP 80518, 6 rue de Kerampont, 22305 Lannion Cedex (France); Boucher, Y.G. [RESO Laboratory (EA 3380), ENIB, CS 73862, 29238 Brest Cedex 3 (France); Charrier, J.; Dumeige, Y. [Optronics Laboratory, ENSSAT, UMR 6082, BP 80518, 6 rue de Kerampont, 22305 Lannion Cedex (France)
2007-07-01
We use reflectometry coupled to transfer matrix formalism in order to investigate the comparative effect of surface (localized) and volume (distributed) losses inside a porous silicon monolayer. Both are modeled as fictive absorption. Surface losses are described as a Dirac-like singularity of permittivity localized at an interface whereas volume losses are described trough the imaginary part of the porous silicon complex permittivity. A good agreement with experimental data is determined by this formalism. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Chemical synthesis of porous web-structured CdS thin films for photosensor applications
Energy Technology Data Exchange (ETDEWEB)
Gosavi, S.R., E-mail: srgosavi.taloda@gmail.com [C. H. C. Arts, S. G. P. Commerce, and B. B. J. P. Science College, Taloda, Dist., Nandurbar 425413, M. S. (India); Nikam, C.P. [B.S.S.P.M.S. Arts, Commerce and Science College, Songir, Dist., Dhule 424309, M. S. (India); Shelke, A.R.; Patil, A.M. [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India); Ryu, S.-W. [Department of Physics, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Bhat, J.S. [Department of Physics, Karnatak University, Dharwad 580003 (India); Deshpande, N.G., E-mail: nicedeshpande@yahoo.co.in [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India)
2015-06-15
The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting.
Chemical synthesis of porous web-structured CdS thin films for photosensor applications
International Nuclear Information System (INIS)
Gosavi, S.R.; Nikam, C.P.; Shelke, A.R.; Patil, A.M.; Ryu, S.-W.; Bhat, J.S.; Deshpande, N.G.
2015-01-01
The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting
Strong white light emission from a processed porous silicon and its photoluminescence mechanism
International Nuclear Information System (INIS)
Karacali, T.; Cicek, K.
2011-01-01
We have prepared various porous silicon (PS) structures with different surface conditions (any combination of oxidation, carbonization as well as thermal annealing) to increase the intensity of photoluminescence (PL) spectrum in the visible range. Strong white light (similar to day-light) emission was achieved by carrying out thermal annealing at 1100 deg. C after surface modification with 1-decene of anodic oxidized PS structures. Temperature-dependent PL measurements were first performed by gradually increasing the sample temperature from 10 to 300 K inside a cryostat. Then, we analyzed the measured spectrum of all prepared samples. After the analysis, we note that throughout entire measured spectrum, only two main peaks corresponding to blue and green-orange emission lines (which can be interpreted by quantum size effect and/or configuration coordinate model) were seem to be predominant for all temperature range. To further reveal and analysis these peaks, finally, measured data were inputted into the formula of activation energy of thermal excitation. We found that activation energies of blue and green-orange lines were approximately 49.3 and 44.6 meV, respectively. - Highlights: →Light emitting devices based on silicon technology are of great interest in illumination and display applications. → We have achieved strong white light (similar to day-light) emission from porous silicon. → The most important impact of carbonization on porous silicon and post annealing is the enhancement of room temperature luminescence.
Oxygen measurements in thin ribbon silicon
Energy Technology Data Exchange (ETDEWEB)
Hyland, S L; Ast, D G; Baghdadi, A
1987-03-01
The oxygen content of thin silicon ribbons grown by the dendritic web technique was measured using a modification of the ASTM method based on Fourier transform infrared spectroscopy. Web silicon was found to have a high oxygen content, ranging from 13 to 19 ppma, calculated from the absorption peak associated with interstitial oxygen and using the new ASTM conversion coefficient. The oxygen concentration changed by about 10% along the growth direction of the ribbon. In some samples, a shoulder was detected on the absorption peak. A similar shoulder in Czochralski grown material has been variously interpreted in the literature as due to a complex of silicon, oxygen, and vacancies, or to a phase of SiO/sub 2/ developed along dislocations in the material. In the case of web silicon, it is not clear which is the correct interpretation.
Transport study of self-supporting porous silicon
Fejfar, A.; Pelant, I.; Šípek, E.; Kočka, J.; Juška, G.; Matsumoto, T.; Kanemitsu, Y.
1995-02-01
We have measured dark DC conductivity and time-of-flight (TOF) of carriers in self-supporting porous silicon films in the temperature range 298-480 K. The dark I-V curves show superlinear behavior with activation energies of 0.38-0.67 eV. The TOF measurements allowed us to evaluate the drift-length of non-equilibrium carriers and revealed a significant decrease of the collected charge with increasing delay (tdel≥1 ms) of the exciting 3 ns laser pulse after the voltage application, probably due to field redistribution in the Si crystallites.
Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells
Energy Technology Data Exchange (ETDEWEB)
Martini, R., E-mail: roberto.martini@imec.be [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Kepa, J.; Stesmans, A. [Department of Physics, KU Leuven, Celestijnenlaan 200 D, 3001 Leuven (Belgium); Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Poortmans, J. [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Universiteit Hasselt, Martelarenlaan 42, B-3500 Hasselt (Belgium)
2014-10-27
We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.
Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells
International Nuclear Information System (INIS)
Martini, R.; Kepa, J.; Stesmans, A.; Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I.; Poortmans, J.
2014-01-01
We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.
Advanced morphological analysis of patterns of thin anodic porous alumina
Energy Technology Data Exchange (ETDEWEB)
Toccafondi, C. [Istituto Italiano di Tecnologia, Department of Nanophysics, Via Morego 30, Genova I 16163 (Italy); Istituto Italiano di Tecnologia, Department of Nanostructures, Via Morego 30, Genova I 16163 (Italy); Stępniowski, W.J. [Department of Advanced Materials and Technologies, Faculty of Advanced Technologies and Chemistry, Military University of Technology, 2 Kaliskiego Str., 00-908 Warszawa (Poland); Leoncini, M. [Istituto Italiano di Tecnologia, Department of Nanostructures, Via Morego 30, Genova I 16163 (Italy); Salerno, M., E-mail: marco.salerno@iit.it [Istituto Italiano di Tecnologia, Department of Nanophysics, Via Morego 30, Genova I 16163 (Italy)
2014-08-15
Different conditions of fabrication of thin anodic porous alumina on glass substrates have been explored, obtaining two sets of samples with varying pore density and porosity, respectively. The patterns of pores have been imaged by high resolution scanning electron microscopy and analyzed by innovative methods. The regularity ratio has been extracted from radial profiles of the fast Fourier transforms of the images. Additionally, the Minkowski measures have been calculated. It was first observed that the regularity ratio averaged across all directions is properly corrected by the coefficient previously determined in the literature. Furthermore, the angularly averaged regularity ratio for the thin porous alumina made during short single-step anodizations is lower than that of hexagonal patterns of pores as for thick porous alumina from aluminum electropolishing and two-step anodization. Therefore, the regularity ratio represents a reliable measure of pattern order. At the same time, the lower angular spread of the regularity ratio shows that disordered porous alumina is more isotropic. Within each set, when changing either pore density or porosity, both regularity and isotropy remain rather constant, showing consistent fabrication quality of the experimental patterns. Minor deviations are tentatively discussed with the aid of the Minkowski measures, and the slight decrease in both regularity and isotropy for the final data-points of the porosity set is ascribed to excess pore opening and consequent pore merging. - Highlights: • Thin porous alumina is partly self-ordered and pattern analysis is required. • Regularity ratio is often misused: we fix the averaging and consider its spread. • We also apply the mathematical tool of Minkowski measures, new in this field. • Regularity ratio shows pattern isotropy and Minkowski helps in assessment. • General agreement with perfect artificial patterns confirms the good manufacturing.
Coated Porous Si for High Performance On-Chip Supercapacitors
Grigoras, K.; Keskinen, J.; Grönberg, L.; Ahopelto, J.; Prunnila, M.
2014-11-01
High performance porous Si based supercapacitor electrodes are demonstrated. High power density and stability is provided by ultra-thin TiN coating of the porous Si matrix. The TiN layer is deposited by atomic layer deposition (ALD), which provides sufficient conformality to reach the bottom of the high aspect ratio pores. Our porous Si supercapacitor devices exhibit almost ideal double layer capacitor characteristic with electrode volumetric capacitance of 7.3 F/cm3. Several orders of magnitude increase in power and energy density is obtained comparing to uncoated porous silicon electrodes. Good stability of devices is confirmed performing several thousands of charge/discharge cycles.
Fabrication of p-type porous GaN on silicon and epitaxial GaN
Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm
2013-01-01
Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...
Interferometer immunosensor based on porous silicon for determining alpha-fetoprotein
Lv, Xiaoyi; Jiang, Jing; Lv, Guodong; Mo, Jiaqing; Jia, Zhenhong
2016-10-01
An increased level of alpha-fetoprotein ( AFP) in the blood may be a sign of liver cancer. Porous silicon based optical microcavities structure is prepared as a label-free immunosensor platform for detecting AFP. After the antigen-antibody reaction, it is monitored that the red shift of the reflection spectrum of the immunosensor increases
Horseradish peroxidase-modified porous silicon for phenol monitoring
Energy Technology Data Exchange (ETDEWEB)
Kermad, A., E-mail: amina_energetique@yahoo.fr [Unité de Recherche Matériaux et Energies Renouvelables (URMER), Département de Physique, Faculté des Sciences, Université Abou Baker Belkaid, B.P. 119, Tlemcen 13000 (Algeria); Sam, S., E-mail: Sabrina.sam@polytechnique.edu [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), 02 Bd. Frantz-Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Ghellai, N., E-mail: na_ghellai@yahoo.fr [Unité de Recherche Matériaux et Energies Renouvelables (URMER), Département de Physique, Faculté des Sciences, Université Abou Baker Belkaid, B.P. 119, Tlemcen 13000 (Algeria); Khaldi, K., E-mail: Khadidjaphy@yahoo.fr [Unité de Recherche Matériaux et Energies Renouvelables (URMER), Département de Physique, Faculté des Sciences, Université Abou Baker Belkaid, B.P. 119, Tlemcen 13000 (Algeria); Gabouze, N., E-mail: ngabouze@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), 02 Bd. Frantz-Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria)
2013-11-01
Highlights: • Horseradish peroxidase enzyme (HRP) was covalently immobilized on porous silicon (PSi) surface. • Multistep strategy was used allowing the maintaining of the enzymatic activity of the immobilized enzyme. • Direct electron transfer has occurred between the immobilized enzyme and the surface. • Electrochemical measurements showed a response of HRP-modified PSi toward phenol in the presence of H{sub 2}O{sub 2}. -- Abstract: In this study, horseradish peroxidase enzyme (HRP) was covalently immobilized on porous silicon (PSi) surface using multistep strategy. First, acid terminations were generated on hydrogenated PSi surface by thermal hydrosilylation of undecylenic acid. Then, the carboxyl-terminated monolayer was transformed to active ester (succinimidyl ester) using N-hydroxysuccinimide (NHS) in the presence of the coupling agent N-ethyl-N′-(3-dimethylaminopropyl) carbodiimide (EDC). Subsequently, the enzyme was anchored on the surface via an amidation reaction. The structure of the PSi layers was observed by scanning electron microscopy (SEM). Infrared spectroscopy (FTIR) and contact angle measurements confirmed the efficiency of the modification at each step of the functionalization. Cyclic voltammetry was recorded using the HRP-modified PSi as working electrode. The results show that the enzymatic activity of the immobilized HRP is preserved and in the presence of hydrogen peroxide, the enzyme oxidizes phenolic molecules which were subsequently reduced at the modified-PSi electrode.
Horseradish peroxidase-modified porous silicon for phenol monitoring
International Nuclear Information System (INIS)
Kermad, A.; Sam, S.; Ghellai, N.; Khaldi, K.; Gabouze, N.
2013-01-01
Highlights: • Horseradish peroxidase enzyme (HRP) was covalently immobilized on porous silicon (PSi) surface. • Multistep strategy was used allowing the maintaining of the enzymatic activity of the immobilized enzyme. • Direct electron transfer has occurred between the immobilized enzyme and the surface. • Electrochemical measurements showed a response of HRP-modified PSi toward phenol in the presence of H 2 O 2 . -- Abstract: In this study, horseradish peroxidase enzyme (HRP) was covalently immobilized on porous silicon (PSi) surface using multistep strategy. First, acid terminations were generated on hydrogenated PSi surface by thermal hydrosilylation of undecylenic acid. Then, the carboxyl-terminated monolayer was transformed to active ester (succinimidyl ester) using N-hydroxysuccinimide (NHS) in the presence of the coupling agent N-ethyl-N′-(3-dimethylaminopropyl) carbodiimide (EDC). Subsequently, the enzyme was anchored on the surface via an amidation reaction. The structure of the PSi layers was observed by scanning electron microscopy (SEM). Infrared spectroscopy (FTIR) and contact angle measurements confirmed the efficiency of the modification at each step of the functionalization. Cyclic voltammetry was recorded using the HRP-modified PSi as working electrode. The results show that the enzymatic activity of the immobilized HRP is preserved and in the presence of hydrogen peroxide, the enzyme oxidizes phenolic molecules which were subsequently reduced at the modified-PSi electrode
Investigation on the structural characterization of pulsed p-type porous silicon
Wahab, N. H. Abd; Rahim, A. F. Abd; Mahmood, A.; Yusof, Y.
2017-08-01
P-type Porous silicon (PS) was sucessfully formed by using an electrochemical pulse etching (PC) and conventional direct current (DC) etching techniques. The PS was etched in the Hydrofluoric (HF) based solution at a current density of J = 10 mA/cm2 for 30 minutes from a crystalline silicon wafer with (100) orientation. For the PC process, the current was supplied through a pulse generator with 14 ms cycle time (T) with 10 ms on time (Ton) and pause time (Toff) of 4 ms respectively. FESEM, EDX, AFM, and XRD have been used to characterize the morphological properties of the PS. FESEM images showed that pulse PS (PPC) sample produces more uniform circular structures with estimated average pore sizes of 42.14 nm compared to DC porous (PDC) sample with estimated average size of 16.37nm respectively. The EDX spectrum for both samples showed higher Si content with minimal presence of oxide.
Fabrication of functional structures on thin silicon nitride membranes
Ekkels, P.; Tjerkstra, R.W.; Krijnen, Gijsbertus J.M.; Berenschot, Johan W.; Brugger, J.P.; Elwenspoek, Michael Curt
A process to fabricate functional polysilicon structures above large (4×4 mm2) thin (200 nm), very flat LPCVD silicon rich nitride membranes was developed. Key features of this fabrication process are the use of low-stress LPCVD silicon nitride, sacrificial layer etching, and minimization of
Directory of Open Access Journals (Sweden)
Jayita KANUNGO
2009-04-01
Full Text Available Porous silicon (PS was fabricated by anodization of p-type crystalline silicon of resistivity 2-5 Ω cm. After formation, the PS surface was modified by the solution containing noble metal like Pd. Pd-Ag catalytic contact electrodes were deposited on porous silicon and on p-Silicon to fabricate Pd-Ag/PS/p-Si/Pd-Ag sensor structure to carry out the hydrogen sensing experiments. The Sensor was exposed to 1% hydrogen in nitrogen as carrier gas at room temperature (270C. Pd modified sensor showed minimum fluctuations and consistent performance with 86% response, response time and recovery time of 24 sec and 264 sec respectively. The stability experiments were studied for both unmodified and Pd modified sensor structures for a period of about 24 hours and the modified sensors showed excellent durability with no drift in response behavior.
Nichols, K.P.F.; Azoz, Seyla; Gardeniers, Johannes G.E.
2008-01-01
Enzyme kinetics were obtained in a porous silicon microfluidic channel by combining an enzyme and substrate droplet, allowing them to react and deposit a small amount of residue on the channel walls, and then analyzing this residue by directly ionizing the channel walls using a matrix assisted laser
Rapid detection of nicotine from breath using desorption ionisation on porous silicon.
Guinan, T M; Abdelmaksoud, H; Voelcker, N H
2017-05-04
Desorption ionisation on porous silicon (DIOS) was used for the detection of nicotine from exhaled breath. This result represents proof-of-principle of the ability of DIOS to detect small molecular analytes in breath including biomarkers and illicit drugs.
Syshchyk, Olga; Skryshevsky, Valeriy A; Soldatkin, Oleksandr O; Soldatkin, Alexey P
2015-04-15
A phenomenon of changes in photoluminescence of porous silicon at variations in medium pH is proposed to be used as a basis for the biosensor system development. The method of conversion of a biochemical signal into an optical one is applied for direct determination of glucose and urea as well as for inhibitory analysis of heavy metal ions. Changes in the quantum yield of porous silicon photoluminescence occur at varying pH of the tested solution due to the enzyme-substrate reaction. When creating the biosensor systems, the enzymes urease and glucose oxidase (GOD) were used as a bioselective material; their optimal concentrations were experimentally determined. It was shown that the photoluminescence intensity of porous silicon increased by 1.7 times when increasing glucose concentration in the GOD-containing reaction medium from 0 to 3.0mM, and decreased by 1.45 times at the same increase in the urea concentration in the urease-containing reaction medium. The calibration curves of dependence of the biosensor system responses on the substrate concentrations are presented. It is shown that the presence of heavy metal ions (Cu(2+), Pb(2+), and Cd(2+)) in the tested solution causes an inhibition of the enzymatic reactions catalyzed by glucose oxidase and urease, which results in a restoration of the photoluminescence quantum yield of porous silicon. It is proposed to use this effect for the inhibitory analysis of heavy metal ions. Copyright © 2014 Elsevier B.V. All rights reserved.
Molecular dynamics simulation about porous thin-film growth in secondary deposition
International Nuclear Information System (INIS)
Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng
2007-01-01
The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters
Molecular dynamics simulation about porous thin-film growth in secondary deposition
Energy Technology Data Exchange (ETDEWEB)
Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)
2007-07-15
The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.
Porous CrN thin films by selectively etching CrCuN for symmetric supercapacitors
Wei, Binbin
2018-03-18
Transition metal nitrides are regarded as a new class of excellent electrode materials for high-performance supercapacitors due to their superior chemical stability and excellent electrical conductivity. We synthesize successfully the porous CrN thin films for binder-free supercapacitor electrodes by reactive magnetron co-sputtering and selective chemical etching. The porous CrN thin film electrodes exhibit high-capacitance performance (31.3 mF cm−2 at 1.0 mA cm−2) and reasonable cycling stability (94% retention after 20000 cycles). Moreover, the specific capacitance is more than two-fold higher than that of the CrN thin film electrodes in previous work. In addition, a symmetric supercapacitor device with a maximum energy density of 14.4 mWh cm−3 and a maximum power density of 6.6 W cm−3 is achieved. These findings demonstrate that the porous CrN thin films will have potential applications in supercapacitors.
Porous CrN thin films by selectively etching CrCuN for symmetric supercapacitors
Wei, Binbin; Mei, Gui; Liang, Hanfeng; Qi, Zhengbing; Zhang, Dongfang; Shen, Hao; Wang, Zhoucheng
2018-05-01
Transition metal nitrides are regarded as a new class of excellent electrode materials for high-performance supercapacitors due to their superior chemical stability and excellent electrical conductivity. We synthesize successfully the porous CrN thin films for binder-free supercapacitor electrodes by reactive magnetron co-sputtering and selective chemical etching. The porous CrN thin film electrodes exhibit high-capacitance performance (31.3 mF cm-2 at 1.0 mA cm-2) and reasonable cycling stability (94% retention after 20000 cycles). Moreover, the specific capacitance is more than two-fold higher than that of the CrN thin film electrodes in previous work. In addition, a symmetric supercapacitor device with a maximum energy density of 14.4 mWh cm-3 and a maximum power density of 6.6 W cm-3 is achieved. These findings demonstrate that the porous CrN thin films will have potential applications in supercapacitors.
Comparative study of the biodegradability of porous silicon films in simulated body fluid.
Peckham, J; Andrews, G T
2015-01-01
The biodegradability of oxidized microporous, mesoporous and macroporous silicon films in a simulated body fluid with ion concentrations similar to those found in human blood plasma were studied using gravimetry. Film dissolution rates were determined by periodically weighing the samples after removal from the fluid. The dissolution rates for microporous silicon were found to be higher than those for mesoporous silicon of comparable porosity. The dissolution rate of macroporous silicon was much lower than that for either microporous or mesoporous silicon. This is attributed to the fact that its specific surface area is much lower than that of microporous and mesoporous silicon. Using an equation adapted from [Surf. Sci. Lett. 306 (1994), L550-L554], the dissolution rate of porous silicon in simulated body fluid can be estimated if the film thickness and specific surface area are known.
Ultra-thin silicon/electro-optic polymer hybrid waveguide modulators
Energy Technology Data Exchange (ETDEWEB)
Qiu, Feng; Spring, Andrew M. [Institute for Materials Chemistry and Engineering, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Sato, Hiromu [Department of Molecular and Material Sciences, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Maeda, Daisuke; Ozawa, Masa-aki; Odoi, Keisuke [Nissan Chemical Industries, Ltd., 2-10-1 Tuboi Nishi, Funabashi, Chiba 274-8507 (Japan); Aoki, Isao; Otomo, Akira [National Institute of Information and Communications Technology, 588-2 Iwaoka, Nishi-ku, Kobe 651-2492 (Japan); Yokoyama, Shiyoshi, E-mail: s-yokoyama@cm.kyushu-u.ac.jp [Institute for Materials Chemistry and Engineering, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Department of Molecular and Material Sciences, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan)
2015-09-21
Ultra-thin silicon and electro-optic (EO) polymer hybrid waveguide modulators have been designed and fabricated. The waveguide consists of a silicon core with a thickness of 30 nm and a width of 2 μm. The cladding is an EO polymer. Optical mode calculation reveals that 55% of the optical field around the silicon extends into the EO polymer in the TE mode. A Mach-Zehnder interferometer (MZI) modulator was prepared using common coplanar electrodes. The measured half-wave voltage of the MZI with 7 μm spacing and 1.3 cm long electrodes is 4.6 V at 1550 nm. The evaluated EO coefficient is 70 pm/V, which is comparable to that of the bulk EO polymer film. Using ultra-thin silicon is beneficial in order to reduce the side-wall scattering loss, yielding a propagation loss of 4.0 dB/cm. We also investigated a mode converter which couples light from the hybrid EO waveguide into a strip silicon waveguide. The calculation indicates that the coupling loss between these two devices is small enough to exploit the potential fusion of a hybrid EO polymer modulator together with a silicon micro-photonics device.
Porous silicon biosensor for detection of variable domain of heavy-chain of HCAb antibody
Zhang, Hong-yan; Lü, Xiao-yi; Jia, Zhen-hong; Li, Jiang-wei; Zhang, Fu-chun
2012-03-01
In this paper, we produce porous silicon (PSi) by electrochemical etching, and it is the first time to evaluate the performance of label-free porous silicon biosensor for detection of variable domain of heavy chain of heavy-chain antibody (VHH). The binding of hen egg white lysozyme (HEWL) and VHH causes a red shift in the reflection spectrum of the biosensor. The red shift is proportional to the VHH concentration in the range from 14 g·ml-1 to 30 g·ml-1 with a detection limit of 0.648 ng·ml-1. The research is useful for the development of label-free biosensor applied in the rapid and sensitive determination of small molecules.
Characterization of Ge Nano structures Embedded Inside Porous Silicon for Photonics Application
International Nuclear Information System (INIS)
Rahim, A.F.A.; Hashim, M.R.; Rahim, A.F.A.; Ali, N.K.
2011-01-01
In this work we prepared germanium nano structures by means of filling the material inside porous silicon (PS) using conventional and cost effective technique, thermal evaporator. The PS acts as patterned substrate. It was prepared by anodization of silicon wafer in ethanoic hydrofluoric acid (HF). A Ge layer was then deposited onto the PS by thermal evaporation. This was followed by deposition of Si layer by thermal evaporation and anneal at 650 degree Celsius for 30 min. The process was completed by Ni metal deposition using thermal evaporator followed by metal annealing of 400 degree Celsius for 10 min to form metal semiconductor metal (MSM) photodetector. Structural analysis of the samples was performed using energy dispersive x-ray analysis (EDX), scanning electron microscope (SEM), X-ray diffraction (XRD) and Raman spectroscopy (RS). EDX spectrum suggests the presence of Ge inside the pores structure. Raman spectrum showed that good crystalline structure of Ge can be produced inside silicon pores with a phase with the diamond structure by (111), (220) and (400) reflections. Finally current-voltage (I-V) measurement of the MSM photodetector was carried out and showed lower dark currents compared to that of Si control device. Interestingly the device showed enhanced current gain compared to Si device which can be associated with the presence of Ge nano structures in the porous silicon. (author)
A novel approach for osteocalcin detection by competitive ELISA using porous silicon as a substrate.
Rahimi, Fereshteh; Mohammadnejad Arough, Javad; Yaghoobi, Mona; Davoodi, Hadi; Sepehri, Fatemeh; Amirabadizadeh, Masood
2017-11-01
In this study, porous silicon (PSi) was utilized instead of prevalent polystyrene platforms, and its capability in biomolecule screening was examined. Here, two types of porous structure, macroporous silicon (Macro-PSi) and mesoporous silicon (Meso-PSi), were produced on silicon wafers by electrochemical etching using different electrolytes. Moreover, both kinds of fresh and oxidized PSi samples were investigated. Next, osteocalcin as a biomarker of the bone formation process was used as a model biomarker, and the colorimetric detection was performed by competitive enzyme-linked immunosorbent assay (ELISA). Both Macro-PSi and Meso-PSi substrates in the oxidized state, specifically the Meso-porous structure, were reported to have higher surface area to volume ratio, more capacitance of surface-antigen interaction, and more ability to capture antigen in comparison with the prevalent platforms. Moreover, the optical density signal of osteocalcin detected by the ELISA technique was notably higher than the common platforms. Based on the findings of this study, PSi can potentially be used in the ELISA to achieve better results and consequently more sensitivity. A further asset of incorporating such a nanometer structure in the ELISA technique is that the system response to analyte concentration could be maintained by consuming lower monoclonal antibody (or antigen) and consequently reduces the cost of the experiment. © 2016 International Union of Biochemistry and Molecular Biology, Inc.
Energy Technology Data Exchange (ETDEWEB)
Rabha, Mohamed Ben; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)
2011-06-15
The effects of antireflection (ARC) and surface passivation films on optoelectronic features of multicrystalline silicon (mc-Si) were investigated in order to perform high efficiency solar cells. A double layer consisting of Plasma Enhanced Chemical Vapor Deposition (PECVD) of silicon nitride (SiN{sub x}) on porous silicon (PS) was achieved on mc-Si surfaces. It was found that this treatment decreases the total surface reflectivity from about 25% to around 6% in the 450-1100 nm wavelength range. As a result, the effective minority carrier diffusion length, estimated from the Laser-beam-induced current (LBIC) method, was found to increase from 312 {mu}m for PS-treated cells to about 798 {mu}m for SiN{sub x}/PS-treated ones. The deposition of SiN{sub x} was found to impressively enhance the minority carrier diffusion length probably due to hydrogen passivation of surface, grain boundaries and bulk defects. Fourier Transform Infrared Spectroscopy (FTIR) shows that the vibration modes of the highly suitable passivating Si-H bonds exhibit frequency shifts toward higher wavenumber, depending on the x ratio of the introduced N atoms neighbors. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Nanostructured porous silicon: The winding road from photonics to cell scaffolds. A review.
Directory of Open Access Journals (Sweden)
Jacobo eHernandez-Montelongo
2015-05-01
Full Text Available For over 20 years nanostructured porous silicon (nanoPS has found a vast number of applications in the broad fields of photonics and optoelectronics, triggered by the discovery of its photoluminescent behavior in 1990. Besides, its biocompatibility, biodegradability, and bioresorbability make porous silicon (PSi an appealing biomaterial. These properties are largely a consequence of its particular susceptibility to oxidation, leading to the formation of silicon oxide which is readily dissolved by body fluids. This paper reviews the evolution of the applications of PSi and nanoPS from photonics through biophotonics, to their use as cell scaffolds, whether as an implantable substitute biomaterial, mainly for bony and ophthalmological tissues, or as an in-vitro cell conditioning support, especially for pluripotent cells. For any of these applications, PSi/nanoPS can be used directly after synthesis from Si wafers, upon appropriate surface modification processes, or as a composite biomaterial. Unedited studies of fluorescently active PSi structures for cell culture are brought to evidence the margin for new developments.
Mughal, A; El Demellawi, J K; Chaieb, Sahraoui
2014-12-14
Nano-silicon is a nanostructured material in which quantum or spatial confinement is the origin of the material's luminescence. When nano-silicon is broken into colloidal crystalline nanoparticles, its luminescence can be tuned across the visible spectrum only when the sizes of the nanoparticles, which are obtained via painstaking filtration methods that are difficult to scale up because of low yield, vary. Bright and tunable colloidal amorphous porous silicon nanostructures have not yet been reported. In this letter, we report on a 100 nm modulation in the emission of freestanding colloidal amorphous porous silicon nanostructures via band-gap engineering. The mechanism responsible for this tunable modulation, which is independent of the size of the individual particles and their distribution, is the distortion of the molecular orbitals by a strained silicon-silicon bond angle. This mechanism is also responsible for the amorphous-to-crystalline transformation of silicon.
Mughal, Asad Jahangir
2014-01-01
Nano-silicon is a nanostructured material in which quantum or spatial confinement is the origin of the material\\'s luminescence. When nano-silicon is broken into colloidal crystalline nanoparticles, its luminescence can be tuned across the visible spectrum only when the sizes of the nanoparticles, which are obtained via painstaking filtration methods that are difficult to scale up because of low yield, vary. Bright and tunable colloidal amorphous porous silicon nanostructures have not yet been reported. In this letter, we report on a 100 nm modulation in the emission of freestanding colloidal amorphous porous silicon nanostructures via band-gap engineering. The mechanism responsible for this tunable modulation, which is independent of the size of the individual particles and their distribution, is the distortion of the molecular orbitals by a strained silicon-silicon bond angle. This mechanism is also responsible for the amorphous-to-crystalline transformation of silicon. This journal is
Yerokhov, VY; Melnyk, [No Value
1999-01-01
Porous silicon, which is being obtained by electrochemical etching of silicon wafers in electrolytes on the base of hydrofluoric acid, recently attracted the attention of specialists in photovoltaics even more due to a number of its unique properties. However, at present, acceptable results are
Bovine serum albumin adsorption on functionalized porous silicon surfaces
Tay, Li-Lin; Rowell, Nelson L.; Lockwood, David J.; Boukherroub, Rabah
2004-10-01
The large surface area within porous Si (pSi) and its strong room temperature photoluminescence (PL) make it an ideal host for biological sensors. In particular, the development of pSi-based optical sensors for DNA, enzyme and other biochemical molecules have become of great interest. Here, we demonstrate that the in-situ monitoring of the pSi PL behaviour can be used as a positive identification of bovine serum albumin (BSA) protein adsorption inside the porous matrix. Electrochemically prepared pSi films were first functionalized with undecylenic acid to produce an organic monolayer covalently attached to the porous silicon surfaces. The acid terminal group also provided favourable BSA binding sites on the pSi matrix sidewalls. In-situ PL spectra showed a gradual red shift (up to 12 meV) in the PL peak energy due to the protein incorporation into the porous matrix. The PL then exhibited a continuous blue shift after saturation of the protein molecules in the pores. This blue shift of the PL peak frequency and a steady increase in the PL intensity is evidence of surface oxidation. Comparing the specular reflectance obtained by Fourier transform infrared spectroscopy (FTIR) before and after BSA incubation confirmed the adsorption of protein in the pSi matrix.
Polycystalline silicon thin films for electronic applications
Energy Technology Data Exchange (ETDEWEB)
Jaeger, Christian Claus
2012-01-15
For the thin polycrystalline Si films fabricated with the aluminium-induced-layer-exchange (ALILE) process a good structural quality up to a layer-thickness value of 10 nm was determined. For 5 nm thick layers however after the layer exchange no closes poly-silicon film was present. In this case the substrate was covered with spherically arranged semiconductor material. Furthermore amorphous contributions in the layer could be determined. The electrical characterization of the samples at room temperature proved a high hole concentration in the range 10{sup 18} cm{sup -3} up to 9.10{sup 19} cm{sup -3}, which is influenced by the process temperature and the layer thickness. Hereby higher hole concentrations at higher process temperatures and thinner films were observed. Furthermore above 150-200 K a thermically activated behaviour of the electrical conductivity was observed. At lower temperatures a deviation of the measured characteristic from the exponential Arrhenius behaviour was determined. For low temperatures (below 20 K) the conductivity follows the behaviour {sigma}{proportional_to}[-(T{sub 0}/T){sup 1/4}]. The hole mobility in the layers was lowered by a passivation step, which can be explained by defect states at the grain boundaries. The for these very thin layers present situation was simulated in the framework of the model of Seto, whereby both the defect states at the grain boundaries (with an area density Q{sub t}) and the defect states at the interfaces (with an area density Q{sub it}) were regarded. By this the values Q{sub t}{approx}(3-4).10{sup 12} cm{sup -2} and Q{sub it}{approx}(2-5).10{sup 12} cm{sup -2} could be determined for these thin ALILE layers on quartz substrates. Additionally th R-ALILE process was studied, which uses the reverse precursor-layer sequence substrate/amorphous silicon/oxide/aluminium. Hereby two steps in the crystallization process of the R-ALILE process were found. First a substrate/Al-Si mixture/poly-Si layer structure
DEFF Research Database (Denmark)
Soppe, W.; Haug, F.-J.; Couty, P.
2011-01-01
Silicon-Light is a European FP7 project, which started January 1st, 2010 and aims at development of low cost, high-efficiency thin film silicon solar cells on foil. Three main routes are explored to achieve these goals: a) advanced light trapping by implementing nanotexturization through UV Nano...... calculations of ideal nanotextures for light trapping in thin film silicon solar cells; the fabrication of masters and the replication and roll-to-roll fabrication of these nanotextures. Further, results on ITO variants with improved work function are presented. Finally, the status of cell fabrication on foils...
Formation of Porous Silicon Carbide and its Suitability as a Chemical and Temperature Detector
National Research Council Canada - National Science Library
Rittenhouse, Tilghman
2004-01-01
.... A novel electroless method of producing porous silicon carbide (PSiC) is presented. Unlike anodic methods of producing PSiC the electroless process does not require electrical contact during etching...
Modified chemical synthesis of porous α-Sm{sub 2}S{sub 3} thin films
Energy Technology Data Exchange (ETDEWEB)
Kumbhar, V.S.; Jagadale, A.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur, (M.S.) 416004 (India); Gaikwad, N.S. [Rayat Shikshan Sanstha, Satara, (M.S.) 415 001 (India); Lokhande, C.D., E-mail: l_chandrakant@yahoo.com [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur, (M.S.) 416004 (India)
2014-08-15
Highlights: • A novel chemical route to prepare α-Sm{sub 2}S{sub 3} thin films. • A porous honeycomb like morphology of the α-Sm{sub 2}S{sub 3} thin film. • An application of α-Sm{sub 2}S{sub 3} thin film toward its supercapacitive behaviour. - Abstract: The paper reports synthesis of porous α-Sm{sub 2}S{sub 3} thin films using modified chemical synthesis, also known as successive ionic layer adsorption and reaction (SILAR) method. The X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM), wettability and ultraviolet–visible spectroscopy (UV–vis) techniques are used for the study of structural, elemental, morphological and optical properties of α-Sm{sub 2}S{sub 3} films. An orthorhombic crystal structure of α-Sm{sub 2}S{sub 3} is resulted from XRD study. The SEM and AFM observations showed highly porous α-Sm{sub 2}S{sub 3} film surface. An optical band gap of 2.50 eV is estimated from optical absorption spectrum. The porous α-Sm{sub 2}S{sub 3} thin film tuned for supercapacitive behaviour using cyclic voltammetry and galvanostatic charge discharge showed a specific capacitance and energy density of 294 Fg{sup –1} and 48.9 kW kg{sup –1}, respectively in 1 M LiClO{sub 4}–propylene carbonate electrolyte.
Large Area Thin Film Silicon: Synergy between Displays and Solar Cells
Schropp, R.E.I.
2012-01-01
Thin-film silicon technology has changed our society, owing to the rapid advance of its two major application fields in communication (thin-film displays) and sustainable energy (thin-film solar cells). Throughout its development, advances in these application fields have always benefitted each
Wang, Mengjia; Hartman, Philip S; Loni, Armando; Canham, Leigh T; Bodiford, Nelli; Coffer, Jeffery L
2015-06-09
Nanostructured mesoporous silicon possesses important properties advantageous to drug loading and delivery. For controlled release of the antibacterial drug triclosan, and its associated activity versus Staphylococcus aureus, previous studies investigated the influence of porosity of the silicon matrix. In this work, we focus on the complementary issue of the influence of surface chemistry on such properties, with particular regard to drug loading and release kinetics that can be ideally adjusted by surface modification. Comparison between drug release from as-anodized, hydride-terminated hydrophobic porous silicon and the oxidized hydrophilic counterpart is complicated due to the rapid bioresorption of the former; hence, a hydrophobic interface with long-term biostability is desired, such as can be provided by a relatively long chain octyl moiety. To minimize possible thermal degradation of the surfaces or drug activity during loading of molten drug species, a solution loading method has been investigated. Such studies demonstrate that the ability of porous silicon to act as an effective carrier for sustained delivery of antibacterial agents can be sensitively altered by surface functionalization.
International Nuclear Information System (INIS)
Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard
2015-01-01
A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)
Porous Silicon Structures as Optical Gas Sensors.
Levitsky, Igor A
2015-08-14
We present a short review of recent progress in the field of optical gas sensors based on porous silicon (PSi) and PSi composites, which are separate from PSi optochemical and biological sensors for a liquid medium. Different periodical and nonperiodical PSi photonic structures (bares, modified by functional groups or infiltrated with sensory polymers) are described for gas sensing with an emphasis on the device specificity, sensitivity and stability to the environment. Special attention is paid to multiparametric sensing and sensor array platforms as effective trends for the improvement of analyte classification and quantification. Mechanisms of gas physical and chemical sorption inside PSi mesopores and pores of PSi functional composites are discussed.
Enhanced quantum yield of photoluminescent porous silicon prepared by supercritical drying
Energy Technology Data Exchange (ETDEWEB)
Joo, Jinmyoung [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093 (United States); Biomedical Engineering Research Center, Asan Institute for Life Sciences, Asan Medical Center, University of Ulsan College of Medicine, Seoul 05505 (Korea, Republic of); Defforge, Thomas; Gautier, Gael, E-mail: msailor@ucsd.edu, E-mail: gael.gautier@univ-tours.fr, E-mail: lcanham@psivida.com [Universite Francois Rabelais de Tours, CNRS CEA, INSA-CVL, GREMAN UMR 7347, 37071 Tours Cedex 2 (France); Loni, Armando [pSiMedica Ltd., Malvern Hills Science Park, Geraldine Road, Malvern, Worcestershire WR14 3SZ (United Kingdom); Kim, Dokyoung; Sailor, Michael J., E-mail: msailor@ucsd.edu, E-mail: gael.gautier@univ-tours.fr, E-mail: lcanham@psivida.com [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093 (United States); Li, Z. Y. [Nanoscale Physics Research Laboratory, School of Physics and Astronomy, University of Birmingham, Edgbaston, Birmingham B15 2TT (United Kingdom); Canham, Leigh T., E-mail: msailor@ucsd.edu, E-mail: gael.gautier@univ-tours.fr, E-mail: lcanham@psivida.com [pSiMedica Ltd., Malvern Hills Science Park, Geraldine Road, Malvern, Worcestershire WR14 3SZ (United Kingdom); Nanoscale Physics Research Laboratory, School of Physics and Astronomy, University of Birmingham, Edgbaston, Birmingham B15 2TT (United Kingdom)
2016-04-11
The effect of supercritical drying (SCD) on the preparation of porous silicon (pSi) powders has been investigated in terms of photoluminescence (PL) efficiency. Since the pSi contains closely spaced and possibly interconnected Si nanocrystals (<5 nm), pore collapse and morphological changes within the nanocrystalline structure after common drying processes can affect PL efficiency. We report the highly beneficial effects of using SCD for preparation of photoluminescent pSi powders. Significantly higher surface areas and pore volumes have been realized by utilizing SCD (with CO{sub 2} solvent) instead of air-drying. Correspondingly, the pSi powders better retain the porous structure and the nano-sized silicon grains, thus minimizing the formation of non-radiative defects during liquid evaporation (air drying). The SCD process also minimizes capillary-stress induced contact of neighboring nanocrystals, resulting in lower exciton migration levels within the network. A significant enhancement of the PL quantum yield (>32% at room temperature) has been achieved, prompting the need for further detailed studies to establish the dominant causes of such an improvement.
Monitoring of degradation of porous silicon photonic crystals using digital photography
2014-01-01
We report the monitoring of porous silicon (pSi) degradation in aqueous solutions using a consumer-grade digital camera. To facilitate optical monitoring, the pSi samples were prepared as one-dimensional photonic crystals (rugate filters) by electrochemical etching of highly doped p-type Si wafers using a periodic etch waveform. Two pSi formulations, representing chemistries relevant for self-reporting drug delivery applications, were tested: freshly etched pSi (fpSi) and fpSi coated with the biodegradable polymer chitosan (pSi-ch). Accelerated degradation of the samples in an ethanol-containing pH 10 aqueous basic buffer was monitored in situ by digital imaging with a consumer-grade digital camera with simultaneous optical reflectance spectrophotometric point measurements. As the nanostructured porous silicon matrix dissolved, a hypsochromic shift in the wavelength of the rugate reflectance peak resulted in visible color changes from red to green. While the H coordinate in the hue, saturation, and value (HSV) color space calculated using the as-acquired photographs was a good monitor of degradation at short times (t pSi-ch. PMID:25242902
Compressive deformation of liquid phase-sintered porous silicon carbide ceramics
Directory of Open Access Journals (Sweden)
Taro Shimonosono
2014-12-01
Full Text Available Porous silicon carbide ceramics were fabricated by liquid phase sintering with 1 wt% Al2O3–1 wt% Y2O3 additives during hot-pressing at 1400–1900 °C. The longitudinal strain at compressive fracture increased at a higher porosity and was larger than the lateral strain. The compressive Young's modulus and the strain at fracture depended on the measured direction, and increased with the decreased specific surface area due to the formation of grain boundary. However, the compressive strength and the fracture energy were not sensitive to the measured direction. The compressive strength of a porous SiC compact increased with increasing grain boundary area. According to the theoretical modeling of the strength–grain boundary area relation, it is interpreted that the grain boundary of a porous SiC compact is fractured by shear deformation rather than by compressive deformation.
Metal deposition on porous silicon by immersion plating to improve photoluminescence properties
Energy Technology Data Exchange (ETDEWEB)
Haddadi, Ikbel, E-mail: haded.ikbel@yahoo.fr; Amor, Sana Ben; Bousbih, Rabaa; Whibi, Seif El; Bardaoui, Afrah; Dimassi, Wissem; Ezzaouia, Hatem
2016-05-15
Metal deposition into porous silicon (PS) by immersion plating in aqueous solution during different times was investigated. The influence of immersion time on optical properties of porous silicon treated with Lithium (Li) was studied by photoluminescence (PL). From experimental results, we suggest that the treatment, for critical immersion time provides an easy way to achieve an improvement in the PL intensity. To identify surface modification, Fourier transmission infrared spectroscopy and atomic force microscopy were performed. The reflectivity spectra showed that the variation of light absorption can be probably due to the newly formed layer during the chemical deposition of Li. - Highlights: • We have varied the immersion time of PS in LiBr solution. • PL intensity shows significant variation as function of immersion time. • We observe reduction of Si–O–Li bands with increasing treatment time. • Concurrent with the loss of Li we observe a decrease of the PL.
Metal deposition on porous silicon by immersion plating to improve photoluminescence properties
International Nuclear Information System (INIS)
Haddadi, Ikbel; Amor, Sana Ben; Bousbih, Rabaa; Whibi, Seif El; Bardaoui, Afrah; Dimassi, Wissem; Ezzaouia, Hatem
2016-01-01
Metal deposition into porous silicon (PS) by immersion plating in aqueous solution during different times was investigated. The influence of immersion time on optical properties of porous silicon treated with Lithium (Li) was studied by photoluminescence (PL). From experimental results, we suggest that the treatment, for critical immersion time provides an easy way to achieve an improvement in the PL intensity. To identify surface modification, Fourier transmission infrared spectroscopy and atomic force microscopy were performed. The reflectivity spectra showed that the variation of light absorption can be probably due to the newly formed layer during the chemical deposition of Li. - Highlights: • We have varied the immersion time of PS in LiBr solution. • PL intensity shows significant variation as function of immersion time. • We observe reduction of Si–O–Li bands with increasing treatment time. • Concurrent with the loss of Li we observe a decrease of the PL.
Thin Single Crystal Silicon Solar Cells on Ceramic Substrates: November 2009 - November 2010
Energy Technology Data Exchange (ETDEWEB)
Kumar, A.; Ravi, K. V.
2011-06-01
In this program we have been developing a technology for fabricating thin (< 50 micrometres) single crystal silicon wafers on foreign substrates. We reverse the conventional approach of depositing or forming silicon on foreign substrates by depositing or forming thick (200 to 400 micrometres) ceramic materials on high quality single crystal silicon films ~ 50 micrometres thick. Our key innovation is the fabrication of thin, refractory, and self-adhering 'handling layers or substrates' on thin epitaxial silicon films in-situ, from powder precursors obtained from low cost raw materials. This 'handling layer' has sufficient strength for device and module processing and fabrication. Successful production of full sized (125 mm X 125 mm) silicon on ceramic wafers with 50 micrometre thick single crystal silicon has been achieved and device process flow developed for solar cell fabrication. Impurity transfer from the ceramic to the silicon during the elevated temperature consolidation process has resulted in very low minority carrier lifetimes and resulting low cell efficiencies. Detailed analysis of minority carrier lifetime, metals analysis and device characterization have been done. A full sized solar cell efficiency of 8% has been demonstrated.
Enhanced quantum yield of photoluminescent porous silicon prepared by supercritical drying
International Nuclear Information System (INIS)
Joo, Jinmyoung; Defforge, Thomas; Gautier, Gael; Loni, Armando; Kim, Dokyoung; Sailor, Michael J.; Li, Z. Y.; Canham, Leigh T.
2016-01-01
The effect of supercritical drying (SCD) on the preparation of porous silicon (pSi) powders has been investigated in terms of photoluminescence (PL) efficiency. Since the pSi contains closely spaced and possibly interconnected Si nanocrystals ( 32% at room temperature) has been achieved, prompting the need for further detailed studies to establish the dominant causes of such an improvement.
International Nuclear Information System (INIS)
Bai, Fan; Li, Meicheng; Song, Dandan; Yu, Hang; Jiang, Bing; Li, Yingfeng
2012-01-01
One-step synthesis of lightly doped porous silicon nanowire arrays was achieved by etching the silicon wafer in HF/AgNO 3 /H 2 O 2 solution at room temperature. The lightly doped porous silicon nanowires (pNWs) have circular nanopores on the sidewall, which can emit strong green fluorescence. The surface morphologies of these nanowires could be controlled by simply adjusting the concentration of H 2 O 2 , which influences the distribution of silver nanoparticles (Ag NPs) along the nanowire axis. A mechanism based on Ag NPs-induced lateral etching of nanowires was proposed to explain the formation of pNWs. The controllable and widely applicable synthesis of pNWs will open their potential application to nanoscale photoluminescence devices. - Graphical abstract: The one-step synthesis of porous silicon nanowire arrays is achieved by chemical etching of the lightly doped p-type Si (100) wafer at room temperature. These nanowires exhibit strong green photoluminescence. SEM, TEM, HRTEM and photoluminescence images of pNWs. The scale bars of SEM, TEM HRTEM and photoluminescence are 10 μm, 20 nm, 10 nm, and 1 μm, respectively. Highlights: ► Simple one-step synthesis of lightly doped porous silicon nanowire arrays is achieved at RT. ► Etching process and mechanism are illustrated with etching model from a novel standpoint. ► As-prepared porous silicon nanowire emits strong green fluorescence, proving unique property.
Progress in thin-film silicon solar cells based on photonic-crystal structures
Ishizaki, Kenji; De Zoysa, Menaka; Tanaka, Yoshinori; Jeon, Seung-Woo; Noda, Susumu
2018-06-01
We review the recent progress in thin-film silicon solar cells with photonic crystals, where absorption enhancement is achieved by using large-area resonant effects in photonic crystals. First, a definitive guideline for enhancing light absorption in a wide wavelength range (600–1100 nm) is introduced, showing that the formation of multiple band edges utilizing higher-order modes confined in the thickness direction and the introduction of photonic superlattice structures enable significant absorption enhancement, exceeding that observed for conventional random scatterers. Subsequently, experimental evidence of this enhancement is demonstrated for a variety of thin-film Si solar cells: ∼500-nm-thick ultrathin microcrystalline silicon cells, few-µm-thick microcrystalline silicon cells, and ∼20-µm-thick thin single-crystalline silicon cells. The high short-circuit current densities and/or efficiencies observed for each cell structure confirm the effectiveness of using multiple band-edge resonant modes of photonic crystals for enhancing broadband absorption in actual solar cells.
Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells
Directory of Open Access Journals (Sweden)
Prathap Pathi
2017-01-01
Full Text Available Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm and is slightly lower (by ~5% at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm silicon and just 1%–2% for thicker (>100 μm cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.
Networks of neuroblastoma cells on porous silicon substrates reveal a small world topology
Marinaro, Giovanni; La Rocca, Rosanna; Toma, Andrea; Barberio, Marianna; Cancedda, Laura; Di Fabrizio, Enzo M.; Decuzzi, Paolo C W; Gentile, Francesco T.
2015-01-01
The human brain is a tightly interweaving network of neural cells where the complexity of the network is given by the large number of its constituents and its architecture. The topological structure of neurons in the brain translates into its increased computational capabilities, low energy consumption, and nondeterministic functions, which differentiate human behavior from artificial computational schemes. In this manuscript, we fabricated porous silicon chips with a small pore size ranging from 8 to 75 nm and large fractal dimensions up to Df ∼ 2.8. In culturing neuroblastoma N2A cells on the described substrates, we found that those cells adhere more firmly to and proliferate on the porous surfaces compared to the conventional nominally flat silicon substrates, which were used as controls. More importantly, we observed that N2A cells on the porous substrates create highly clustered, small world topology patterns. We conjecture that neurons with a similar architecture may elaborate information more efficiently than in random or regular grids. Moreover, we hypothesize that systems of neurons on nano-scale geometry evolve in time to form networks in which the propagation of information is maximized. This journal is
Infrared induced visible emission from porous silicon: the mechanism of anodic oxidatio
Kooij, Ernst S.; Rama, A.R.; Kelly, J.J.
1997-01-01
The visible luminescence caused by anodic oxidation of p-type porous silicon has been studied. It is shown that similar luminescence can be observed in n-type material by illumination with near-infrared light. Addition of a suitable reducing agent to the electrolyte solution can both suppress the
Lower reflectivity and higher minority carrier lifetime of hand-tailored porous silicon
International Nuclear Information System (INIS)
Zhang Nansheng; Ma Zhongquan; Zhou Chengyue; He Bo
2009-01-01
Solar cell grade crystalline silicon with very low reflectivity has been obtained by electrochemically selective erosion. The porous silicon (PS) structure with a mixture of nano- and micro-crystals shows good antireflection properties on the surface layer, which has potential for application in commercial silicon photovoltaic devices after optimization. The morphology and reflectivity of the PS layers are easily modulated by controlling the electrochemical formation conditions (i.e., the current density and the anodization time). It has been shown that much a lower reflectivity of approximately 1.42% in the range 380-1100 nm is realized by using optimized conditions. In addition, the minority carrier lifetime of the PS after removing the phosphorus silicon layer is measured to be ∼3.19 μs. These values are very close to the reflectivity and the minority carrier lifetime of Si 3 N 4 as a passivation layer on a bulk silicon-based solar cell (0.33% and 3.03 μs, respectively).
International Nuclear Information System (INIS)
Derlet, P.M.; Choy, T.C.
1996-01-01
In the present work we report on a positron annihilation lifetime spectroscopy (PALS) investigation of porous silicon using a continuous lifetime fitting algorithm. Our motivation lies in the underlying disadvantage in discrete lifetime fitting algorithms where the number of components must initially be assumed since in general a realistic spectrum does not uniquely determine this number. This becomes particularly apparent when looking at highly disordered systems where the notion of a discrete spectrum may be invalid and indeed crucial to an understanding of the optical absorption and photo-luminescence properties. Using the PALS data collected from different porous silicon samples in conjunction with other methods of characterisation, we have extended the findings of previous work. In particular we resolve three rather than two ortho-positronium components, suggesting that there may be an additional intermediary scale of porosity in which ortho-positronium annihilates. We also establish the existence of a very weak ortho-positronium component in the pre-anodised wafers at a time scale approximately equal to the longest time ortho-positronium component seen in porous silicon, suggesting that irregularities of a particular magnitude exist before anodisation and that these may, in part, be the catalyst for the initial pore formation process
Crystalline silicon thin film growth by ECR plasma CVD for solar cells
International Nuclear Information System (INIS)
Licai Wang
1999-07-01
This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)
International Nuclear Information System (INIS)
Timokhov, D. F.; Timokhov, F. P.
2009-01-01
Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.
Analysis of the silicon market: Will thin films profit?
International Nuclear Information System (INIS)
Sark, W.G.J.H.M. van; Brandsen, G.W.; Fleuster, M.; Hekkert, M.P.
2007-01-01
The photovoltaic industry has been growing with astonishing rates over the past years. The supply of silicon to the wafer-based industry has recently become a problem. This paper presents a thorough analysis of the PV industry and quantifies the silicon shortage. It is expected that this leads to a decrease in production in 2006 rather than the usual increase. Due to a mismatch in expansion plans of silicon feedstock manufacturers and solar cell manufacturers, a large cell overcapacity will persist up to 2010. The thin-film PV market is expected to profit from the silicon shortage problem; its market share may substantially increase to about 25% in 2010
Analysis of the silicon market: Will thin films profit?
Energy Technology Data Exchange (ETDEWEB)
Sark, W.G.J.H.M. van; Brandsen, G.W. [Copernicus Institute for Sustainable Development and Innovation, Utrecht University, Utrecht (Netherlands). Department of Science, Technology and Society; Fleuster, M. [Solland Solar Energy, Heerlen (Netherlands); Hekkert, M.P. [Copernicus Institute for Sustainable Development and Innovation, Utrecht University, Utrecht (Netherlands). Department of Innovation Studies
2007-06-15
The photovoltaic industry has been growing with astonishing rates over the past years. The supply of silicon to the wafer-based industry has recently become a problem. This paper presents a thorough analysis of the PV industry and quantifies the silicon shortage. It is expected that this leads to a decrease in production in 2006 rather than the usual increase. Due to a mismatch in expansion plans of silicon feedstock manufacturers and solar cell manufacturers, a large cell overcapacity will persist up to 2010. The thin-film PV market is expected to profit from the silicon shortage problem; its market share may substantially increase to about 25% in 2010. (author)
Reversible photoluminescence in spiropyran-modified porous silicon
International Nuclear Information System (INIS)
Lee, Chen-Yu; Hu, Chih-Hsuan; Cheng, Sheng-Lin; Chu, Chih-Chien; Hsiao, Vincent K.S.
2015-01-01
Spiropyran-modified porous silicon (spiro-PS) was used for the first time as an organic–inorganic hybrid material by using reversible photoluminescence (PL). Before spiropyran modification, the peak wavelength from PS was approximately 600 nm. Subsequent spiropyran modification strongly quenched the PL intensity, from 15,000 to 2000 counts. However, under UV light irradiation, the PL intensity from spiro-PS was increased gradually to 20,000 counts because of the photoinduced ring opening from a colorless spiropyran (SP-form) to a colored merocyanine (MC-form). Furthermore, the resulting peak wavelength of the PL of an MC–PS sample red-shifted from 600 to 650 nm, and the PL intensity was higher than that of unmodified PS. Because the fluorescence emission band (500–700 nm) of PS substantially overlapped the absorption band (500–700 nm) of the MC-form of spiropyran, the energy transfer from the PS (donor) to the open-ring-state MC-form (acceptor) occurs efficiently. The intensity of the PL from spiro-PS can be reversibly modulated using a heat stimulus. The current demonstrations have potential in reversible solid-state lighting or data storage applications. - Highlights: • Spiropyran-modified porous silicon (spiro-PS) was used for the first time as an organic–inorganic hybrid material with reversible photoluminescence (PL). • UV light irradiation make PL intensity from spiro-PS increased due to the photo-induced ring opening process. • The energy transfer from the PS (donor) to the open-ring state of spiropyran (acceptor) was to be efficient due to the fluorescence emission band of PS substantially overlapped with the absorption band of the ring-opened spiro. • The intensity of the PL from spiro-PS can be reversibly modulated using a heat stimulus
Nanostructured Porous Silicon: The Winding Road from Photonics to Cell Scaffolds – A Review
Hernández-Montelongo, Jacobo; Muñoz-Noval, Alvaro; García-Ruíz, Josefa Predestinación; Torres-Costa, Vicente; Martín-Palma, Raul J.; Manso-Silván, Miguel
2015-01-01
For over 20 years, nanostructured porous silicon (nanoPS) has found a vast number of applications in the broad fields of photonics and optoelectronics, triggered by the discovery of its photoluminescent behavior in 1990. Besides, its biocompatibility, biodegradability, and bioresorbability make porous silicon (PSi) an appealing biomaterial. These properties are largely a consequence of its particular susceptibility to oxidation, leading to the formation of silicon oxide, which is readily dissolved by body fluids. This paper reviews the evolution of the applications of PSi and nanoPS from photonics through biophotonics, to their use as cell scaffolds, whether as an implantable substitute biomaterial, mainly for bony and ophthalmological tissues, or as an in vitro cell conditioning support, especially for pluripotent cells. For any of these applications, PSi/nanoPS can be used directly after synthesis from Si wafers, upon appropriate surface modification processes, or as a composite biomaterial. Unedited studies of fluorescently active PSi structures for cell culture are brought to evidence the margin for new developments. PMID:26029688
Method for preparing microstructure arrays on the surface of thin film material
Wang, Peng; Tang, Bo; Zhang, Lianbin
2017-01-01
Methods are provided for growing a thin film of a nanoscale material. Thin films of nanoscale materials are also provided. The films can be grown with microscale patterning. The method can include vacuum filtration of a solution containing the nanostructured material through a porous substrate. The porous substrate can have a pore size that is comparable to the size of the nanoscale material. By patterning the pores on the surface of the substrate, a film can be grown having the pattern on a surface of the thin film, including on the top surface opposite the substrate. The nanoscale material can be graphene, graphene oxide, reduced graphene oxide, molybdenum disulfide, hexagonal boron nitride, tungsten diselenide, molybdenum trioxide, or clays such as montmorillonite or lapnotie. The porous substrate can be a porous organic or inorganic membrane, a silicon stencil membrane, or similar membrane having pore sizes on the order of microns.
Method for preparing microstructure arrays on the surface of thin film material
Wang, Peng
2017-02-09
Methods are provided for growing a thin film of a nanoscale material. Thin films of nanoscale materials are also provided. The films can be grown with microscale patterning. The method can include vacuum filtration of a solution containing the nanostructured material through a porous substrate. The porous substrate can have a pore size that is comparable to the size of the nanoscale material. By patterning the pores on the surface of the substrate, a film can be grown having the pattern on a surface of the thin film, including on the top surface opposite the substrate. The nanoscale material can be graphene, graphene oxide, reduced graphene oxide, molybdenum disulfide, hexagonal boron nitride, tungsten diselenide, molybdenum trioxide, or clays such as montmorillonite or lapnotie. The porous substrate can be a porous organic or inorganic membrane, a silicon stencil membrane, or similar membrane having pore sizes on the order of microns.
Naumov, Sergej; Khokhlov, Alexey; Valiullin, Rustem; Kärger, Jörg; Monson, Peter A
2008-12-01
The ability to exert a significant degree of pore structure control in porous silicon materials has made them attractive materials for the experimental investigation of the relationship between pore structure, capillary condensation, and hysteresis phenomena. Using both experimental measurements and a lattice gas model in mean field theory, we have investigated the role of pore size inhomogeneities and surface roughness on capillary condensation of N2 at 77K in porous silicon with linear pores. Our results resolve some puzzling features of earlier experimental work. We find that this material has more in common with disordered materials such as Vycor glass than the idealized smooth-walled cylindrical pores discussed in the classical adsorption literature. We provide strong evidence that this behavior comes from the complexity of the processes within independent linear pores, arising from the pore size inhomogeneities along the pore axis, rather than from cooperative effects between different pores.
High aspect ratio channels in glass and porous silicon
Energy Technology Data Exchange (ETDEWEB)
Liang, H.D. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Nanoscience and Nanotechnology Initiative (NNI), National University of Singapore, Singapore 117411 (Singapore); Dang, Z.Y. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Wu, J.F. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore); Kan, J.A. van; Qureshi, S. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Ynsa, M.D.; Torres-Costa, V. [Department of Applied Physics, Universidad Autónoma de Madrid, Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Centro de Micro-Análisis de Materiales (CMAM), Universidad Autónoma de Madrid, Campus de Cantoblanco Edif. 22, Faraday 3, E-28049 Madrid (Spain); Maira, A. [Department of Applied Physics, Universidad Autónoma de Madrid, Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Venkatesan, T.V. [Nanoscience and Nanotechnology Initiative (NNI), National University of Singapore, Singapore 117411 (Singapore); Breese, M.B.H., E-mail: phymbhb@nus.edu.sg [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore)
2017-03-01
We have developed a micromachining process to produce high-aspect-ratio channels and holes in glass and porous silicon. Our process utilizes MeV proton beam irradiation of silicon using direct writing with a focused beam, followed by electrochemical etching. To increase throughput we have also developed another process for large area ion irradiation based on a radiation-resistant gold surface mask, allowing many square inches to be patterned. We present a study of the achievable channel width, depth and period and sidewall verticality for a range of channels which can be over 100 μm deep or 100 nm wide with aspect ratios up to 80. This process overcomes the difficulty of machining glass on a micro- and nanometer scale which has limited many areas of applications in different fields such as microelectronics and microfluidics.
Controlled delivery of acyclovir from porous silicon micro- and nanoparticles
Energy Technology Data Exchange (ETDEWEB)
Maniya, Nalin H.; Patel, Sanjaykumar R.; Murthy, Z.V.P., E-mail: zvpm2000@yahoo.com
2015-03-01
Graphical abstract: - Highlights: • Porous silicon (PSi) was fabricated by electrochemical etching process. • Micro- and nanoparticles were prepared by ultrasonic fracture of PSi films. • Acyclovir was loaded into native, oxidized, and hydrosilylated PSi particles. • Micro- and nanoparticles displays controlled release behaviour for several days. • Drug release behaviour and release kinetics from PSi particles were studied. - Abstract: In this work, micro- and nanoparticles of porous silicon (PSi) are demonstrated to act as effective carrier for the controlled delivery of acyclovir (ACV). PSi films prepared by electrochemical etching were fractured by ultrasonication to prepare micro- and nanoparticles. PSi native particles were thermally oxidized (TOPSi) and thermally hydrosilylated using undecylenic acid (UnPSi). PSi particles with three different surface chemistries were then loaded with ACV by physical adsorption and covalent attachment. Such particles were characterized by scanning electron microscopy, dynamic light scattering, and Fourier transform infrared spectroscopy. In vitro ACV release experiments in phosphate buffered saline showed sustained release behaviour from both micro- and nanoparticles and order of release was found to be native PSi > TOPSi > UnPSi. Drug release kinetics study using Korsmeyer-Peppas model suggested a combination of both drug diffusion and Si scaffold erosion based drug release mechanisms.
Controlled delivery of acyclovir from porous silicon micro- and nanoparticles
International Nuclear Information System (INIS)
Maniya, Nalin H.; Patel, Sanjaykumar R.; Murthy, Z.V.P.
2015-01-01
Graphical abstract: - Highlights: • Porous silicon (PSi) was fabricated by electrochemical etching process. • Micro- and nanoparticles were prepared by ultrasonic fracture of PSi films. • Acyclovir was loaded into native, oxidized, and hydrosilylated PSi particles. • Micro- and nanoparticles displays controlled release behaviour for several days. • Drug release behaviour and release kinetics from PSi particles were studied. - Abstract: In this work, micro- and nanoparticles of porous silicon (PSi) are demonstrated to act as effective carrier for the controlled delivery of acyclovir (ACV). PSi films prepared by electrochemical etching were fractured by ultrasonication to prepare micro- and nanoparticles. PSi native particles were thermally oxidized (TOPSi) and thermally hydrosilylated using undecylenic acid (UnPSi). PSi particles with three different surface chemistries were then loaded with ACV by physical adsorption and covalent attachment. Such particles were characterized by scanning electron microscopy, dynamic light scattering, and Fourier transform infrared spectroscopy. In vitro ACV release experiments in phosphate buffered saline showed sustained release behaviour from both micro- and nanoparticles and order of release was found to be native PSi > TOPSi > UnPSi. Drug release kinetics study using Korsmeyer-Peppas model suggested a combination of both drug diffusion and Si scaffold erosion based drug release mechanisms
Frequency dependence of the active impedance component of silicon thin-film resistors
International Nuclear Information System (INIS)
Belogurov, S.V.; Gostilo, V.V.; Yurov, A.S.
1987-01-01
A high-resistant resistor on the silicon thin-film substrate considerably superior in noise and frequency performance than commercial resistors is described. The frequency dependence of the active impedance component is tested for determining noise and frequency dependences of silicon thin-film resistors. The obtained results permit to calculate the energy equivalent of resistor noise in nuclear radiation detection units at any temperature according to its frequency characteristic at room temperature
CHARACTERIZATION OF A THIN SILICON SENSOR FOR ACTIVE NEUTRON PERSONAL DOSEMETERS.
Takada, M; Nunomiya, T; Nakamura, T; Matsumoto, T; Masuda, A
2016-09-01
A thin silicon sensor has been developed for active neutron personal dosemeters for use by aircrews and first responders. This thin silicon sensor is not affected by the funneling effect, which causes detection of cosmic protons and over-response to cosmic neutrons. There are several advantages to the thin silicon sensor: a decrease in sensitivity to gamma rays, an improvement of the energy detection limit for neutrons down to 0.8 MeV and an increase in the sensitivity to fast neutrons. Neutron response functions were experimentally obtained using 2.5 and 5 MeV monoenergy neutron beams and a (252)Cf neutron source. Simulation results using the Monte Carlo N-Particle transport code agree quite well with the experimental ones when an energy deposition region shaped like a circular truncated cone is used in place of a cylindrical region. © The Author 2016. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.
Porous Silicon Structures as Optical Gas Sensors
Directory of Open Access Journals (Sweden)
Igor A. Levitsky
2015-08-01
Full Text Available We present a short review of recent progress in the field of optical gas sensors based on porous silicon (PSi and PSi composites, which are separate from PSi optochemical and biological sensors for a liquid medium. Different periodical and nonperiodical PSi photonic structures (bares, modified by functional groups or infiltrated with sensory polymers are described for gas sensing with an emphasis on the device specificity, sensitivity and stability to the environment. Special attention is paid to multiparametric sensing and sensor array platforms as effective trends for the improvement of analyte classification and quantification. Mechanisms of gas physical and chemical sorption inside PSi mesopores and pores of PSi functional composites are discussed.
Energy Technology Data Exchange (ETDEWEB)
Rahmani, N. [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of); Dariani, R.S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of); Rajabi, M. [Deparment of Advanced Materials and Renewable Energies, Iranian Research Organization for Science and Technology (IROST), Tehran 3353136846 (Iran, Islamic Republic of)
2016-03-15
Graphical abstract: - Highlights: • TiO{sub 2} nanorods (NRs) are synthesized on silicon and porous silicon (PS) substrates by hydrothermal method. • TiO{sub 2} NRs grown on PS substrates have a better growth compared to those grown on silicon. • Also increasing substrate porosity leads to an increase in density of the NRs. • We proposed a growth mechanism to explain how can control the local surface chemical potential. - Abstract: In this study, we have synthesized TiO{sub 2} nanorods (NRs) on silicon and porous silicon (PS) substrates by hydrothermal method. The PS substrates with different porosities were fabricated by electrochemical anodization on silicon. According to the field emission electron microscopy images, TiO{sub 2} NRs grown on PS substrates have a better growth compared to those grown on silicon. Also increasing substrate porosity leads to an increase in density of the NRs. Atomic force microscopy observation demonstrates that porous layer formation due to etching of silicon surface leads to an increase of its roughness. Results indicate surface roughness evolution with porosity increasing enhances TiO{sub 2} nucleation on substrate and thus increases TiO{sub 2} NRs density. We propose a growth mechanism to explain how we can control the local surface chemical potential and thus the nucleation and alignment of TiO{sub 2} NRs by surface roughness variation. Also, photoluminescence studies show a red-shift in band gap energy of NRs compared to that of common bulk TiO{sub 2}.
The influence of oxidation properties on the electron emission characteristics of porous silicon
International Nuclear Information System (INIS)
He, Li; Zhang, Xiaoning; Wang, Wenjiang; Wei, Haicheng
2016-01-01
Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm"2 and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.
The influence of oxidation properties on the electron emission characteristics of porous silicon
Energy Technology Data Exchange (ETDEWEB)
He, Li [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Zhang, Xiaoning, E-mail: znn@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Wenjiang [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wei, Haicheng [School of Electrical and Information Engineering, Beifang University of Nationalities, Yinchuan750021 (China)
2016-09-30
Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm{sup 2} and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.
Wafer scale nano-membrane supported on a silicon microsieve using thin-film transfer technology
Unnikrishnan, S.; Jansen, Henricus V.; Berenschot, Johan W.; Elwenspoek, Michael Curt
A new micromachining method to fabricate wafer scale nano-membranes is described. The delicate thin-film nano-membrane is supported on a robust silicon microsieve fabricated by plasma etching. The silicon sieve is micromachined independently of the thin-film, which is later transferred onto it by
Vrankovic, Dragoljub; Graczyk-Zajac, Magdalena; Kalcher, Constanze; Rohrer, Jochen; Becker, Malin; Stabler, Christina; Trykowski, Grzegorz; Albe, Karsten; Riedel, Ralf
2017-11-28
We demonstrate a cost-effective synthesis route that provides Si-based anode materials with capacities between 2000 and 3000 mAh·g Si -1 (400 and 600 mAh·g composite -1 ), Coulombic efficiencies above 99.5%, and almost 100% capacity retention over more than 100 cycles. The Si-based composite is prepared from highly porous silicon (obtained by reduction of silica) by encapsulation in an organic carbon and polymer-derived silicon oxycarbide (C/SiOC) matrix. Molecular dynamics simulations show that the highly porous silicon morphology delivers free volume for the accommodation of strain leading to no macroscopic changes during initial Li-Si alloying. In addition, a carbon layer provides an electrical contact, whereas the SiOC matrix significantly diminishes the interface between the electrolyte and the electrode material and thus suppresses the formation of a solid-electrolyte interphase on Si. Electrochemical tests of the micrometer-sized, glass-fiber-derived silicon demonstrate the up-scaling potential of the presented approach.
DEFF Research Database (Denmark)
Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu
2017-01-01
Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...
Saleem, Muhammad; Rafiq, Muhammad; Seo, Sung-Yum; Lee, Ki Hwan
2016-02-02
A successful prescription is presented for acetylcholinesterase physically adsorbed on to a mesoporous silicon surface, with a promising hydrolytic response towards acetylthiocholine iodide. The catalytic behaviour of the immobilized enzyme was assessed by spectrophotometric bioassay using neostigmine methyl sulfate as a standard acetycholinesterase inhibitor. The surface modification was studied through field emission SEM, Fourier transform IR spectroscopy, energy-dispersive X-ray spectroscopy, cathode luminescence and X-ray photoelectron spectroscopy analysis, photoluminescence measurement and spectrophotometric bioassay. The porous silicon-immobilized enzyme not only yielded greater enzyme stability, but also significantly improved the native photoluminescence at room temperature of the bare porous silicon architecture. The results indicated the promising catalytic behaviour of immobilized enzyme compared with that of its free counterpart, with a greater stability, and that it aided reusability and easy separation from the reaction mixture. The porous silicon-immobilized enzyme was found to retain 50% of its activity, promising thermal stability up to 90°C, reusability for up to three cycles, pH stability over a broad pH of 4-9 and a shelf-life of 44 days, with an optimal hydrolytic response towards acetylthiocholine iodide at variable drug concentrations. On the basis of these findings, it was believed that the porous silicon-immobilized enzyme could be exploited as a reusable biocatalyst and for screening of acetylcholinesterase inhibitors from crude plant extracts and synthesized organic compounds. Moreover, the immobilized enzyme could offer a great deal as a viable biocatalyst in bioprocessing for the chemical and pharmaceutical industries, and bioremediation to enhance productivity and robustness. © 2016 Authors.
Deposition of magnetoelectric hexaferrite thin films on substrates of silicon
Energy Technology Data Exchange (ETDEWEB)
Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine
2016-12-15
Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.
Directory of Open Access Journals (Sweden)
H. A. Hadi
2015-07-01
Full Text Available We report on the fabrication and characterization of MSM photodetector. We investigated the surface morphological and the structural properties of the porous silicon by optical microscopy, atomic force microscope (AFM and X-ray diffraction. The metal–semiconductor–metal photodetector were fabricated by using Sb as Schottky contact metal.The junction exhibits good rectification ratio of 105 at bias of 2V. A large photocurrent to dark-current contrast ratio higher than 55 orders of magnitude and low dark currents below 0.89 nA .High responsivity of 0.225A/W at 400 nm and 0.15 A/W at 400 and 700nm were observed at an operating bias of less than -2 V, corresponding quantum efficiency of 70% and 26% respectively. The lifetimes are evaluated using OCVD method and the carrier life time is 100 μs. The results show that Sb on porous silicon (PS structures will act as good candidates for making highly efficient photodiodes.
Directory of Open Access Journals (Sweden)
H. A. Hadi
2014-12-01
Full Text Available We report on the fabrication and characterization of MSM photodetector. We investigated the surface morphological and the structural properties of the porous silicon by optical microscopy, atomic force microscope (AFM and X-ray diffraction. The metal–semiconductor–metal photodetector were fabricated by using Sb as Schottky contact metal.The junction exhibits good rectification ratio of 105 at bias of 2V. A large photocurrent to dark-current contrast ratio higher than 55 orders of magnitude and low dark currents below 0.89 nA .High responsivity of 0.225A/W at 400 nm and 0.15 A/W at 400 and 700nm were observed at an operating bias of less than -2 V, corresponding quantum efficiency of 70% and 26% respectively. The lifetimes are evaluated using OCVD method and the carrier life time is 100 μs. The results show that Sb on porous silicon (PS structures will act as good candidates for making highly efficient photodiodes.
Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;
Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil
2017-09-01
In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.
Carrier dynamics and surface vibration-assisted Auger recombination in porous silicon
Zakar, Ammar; Wu, Rihan; Chekulaev, Dimitri; Zerova, Vera; He, Wei; Canham, Leigh; Kaplan, Andrey
2018-04-01
Excitation and recombination dynamics of the photoexcited charge carriers in porous silicon membranes were studied using a femtosecond pump-probe technique. Near-infrared pulses (800 nm, 60 fs) were used for the pump while, for the probe, we employed different wavelengths in the range between 3.4 and 5 μ m covering the medium wavelength infrared range. The data acquired in these experiments consist of simultaneous measurements of the transmittance and reflectance as a function of the delay time between the pump and probe for different pump fluences and probe wavelengths. To evaluate the results, we developed an optical model based on the two-dimensional Maxwell-Garnett formula, incorporating the free-carrier Drude contribution and nonuniformity of the excitation by the Wentzel-Kramers-Brillouin model. This model allowed the retrieval of information about the carrier density as a function of the pump fluence, time, and wavelength. The carrier density data were analyzed to reveal that the recombination dynamics is governed by Shockley-Read-Hall and Auger processes, whereas the diffusion has an insignificant contribution. We show that, in porous silicon samples, the Auger recombination process is greatly enhanced at the wavelength corresponding to the infrared-active vibrational modes of the molecular impurities on the surface of the pores. This observation of surface-vibration-assisted Auger recombination is not only for porous silicon in particular, but for low-dimension and bulk semiconductors in general. We estimate the time constants of Shockley-Read-Hall and Auger processes, and demonstrate their wavelength dependence for the excited carrier density in the range of 1018-10191 /cm3 . We demonstrate that both processes are enhanced by up to three orders of magnitude with respect to the bulk counterpart. In addition, we provide a plethora of the physical parameters evaluated from the experimental data, such as the dielectric function and its dependence on the
Interaction of pressure and momentum driven flows with thin porous media: Experiments and modeling
Naaktgeboren, Christian
Flow interaction with thin porous media arise in a variety of natural and man-made settings. Examples include flow through thin grids in electronics cooling, and NOx emissions reduction by means of ammonia injection grids, pulsatile aquatic propulsion with complex trailing anatomy (e.g., jellyfish with tentacles) and microbursts from thunderstorm activity over dense vegetation, unsteady combustion in or near porous materials, pulsatile jet-drying of textiles, and pulsed jet agitation of clothing for trace contaminant sampling. Two types of interactions with thin porous media are considered: (i) forced convection or pressure-driven flows, where fluid advection is maintained by external forces, and (ii) inertial or momentum-driven flows, in which fluid motion is generated but not maintained by external forces. Forced convection analysis through thin permeable media using a porous continuum approach requires the knowledge of porous medium permeability and form coefficients, K and C, respectively, which are defined by the Hazen-Dupuit-Darcy (HDD) equation. Their determination, however, requires the measurement of the pressure-drop per unit of porous medium length. The pressure-drop caused by fluid entering and exiting the porous medium, however, is not related to the porous medium length. Hence, for situations in which the inlet and outlet pressure-drops are not negligible, e.g., for short porous media, the definition of Kand C via the HDD equation becomes ambiguous. This aspect is investigated analytically and numerically using the flow through a restriction in circular pipe and parallel plates channels as preliminary models. Results show that inlet and outlet pressure-drop effects become increasingly important when the inlet and outlet fluid surface fraction φ decreases and the Reynolds number Re increases for both laminar and turbulent flow regimes. A conservative estimate of the minimum porous medium length beyond which the core pressure-drop predominates over the
Photoluminescence enhancement of porous silicon particles by microwave-assisted activation
Energy Technology Data Exchange (ETDEWEB)
Xia, Bing [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Zhang, Wenyi; Dong, Chen; Shi, Jisen [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Bao, Weiyi [Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Zhang, Junfeng [State Key Laboratory of Pharmaceutical Biotechnology, School of Life Sciences, Nanjing University, Nanjing 210093 (China)
2012-11-15
Photoluminescence (PL) of porous silicon (PSi) particles can be significantly enhanced in some organic solvents (i.e., ethanol or dimethyl sulfoxide) under microwave irradiation. Fourier transform infrared spectra, dynamic-light-scattering measurements, and scanning electron microscopy had been adopted to explore the mechanism of PL enhancement of PSi particles under microwave irradiation, which is attributed to the formation of higher porosity and the growth of silicon oxide by microwave-assisted wet etching. Compared with that fabricated by ultrasonication, smaller luminescent PSi nanoparticles (average size {proportional_to}60 nm) with stronger orange-red fluorescence (PL quantum yield {proportional_to}14.8%) and higher dispersibility can be large-scale prepared for cellular imaging and drug delivery in biomedical applications. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Directory of Open Access Journals (Sweden)
A Dussan
Full Text Available In this work, porous-silicon samples were prepared by electrochemical etching on p-type (B-doped Silicon (Si wafers. Hydrofluoric acid (HF-ethanol (C2H5OH [HF:Et] and Hydrofluoric acid (HF-dimethylformamide (DMF-C3H7NO [HF:DMF] solution concentrations were varied between [1:2]-[1:3] and [1:7]-[1:9], respectively. Effects of synthesis parameters, like current density, solution concentrations, reaction time, on morphological properties were studied by scanning electron microscopy (SEM and atomic force microscopy (AFM measurements. Pore sizes varying from 20 nm to micrometers were obtained for long reaction times and [HF:Et] [1:2] concentrations; while pore sizes in the same order were observed for [HF:DMF] [1:7], but for shorter reaction time. Greater surface uniformity and pore distribution was obtained for a current density of around 8 mA/cm2 using solutions with DMF. A correlation between reflectance measurements and pore size is presented. The porous-silicon samples were used as substrate for hydroxyapatite growth by sol-gel method. X-ray diffraction (XRD and SEM were used to characterize the layers grown. It was found that the layer topography obtained on PS samples was characterized by the evidence of Hydroxyapatite in the inter-pore regions and over the surface.
Dussan, A; Bertel, S D; Melo, S F; Mesa, F
2017-01-01
In this work, porous-silicon samples were prepared by electrochemical etching on p-type (B-doped) Silicon (Si) wafers. Hydrofluoric acid (HF)-ethanol (C2H5OH) [HF:Et] and Hydrofluoric acid (HF)-dimethylformamide (DMF-C3H7NO) [HF:DMF] solution concentrations were varied between [1:2]-[1:3] and [1:7]-[1:9], respectively. Effects of synthesis parameters, like current density, solution concentrations, reaction time, on morphological properties were studied by scanning electron microscopy (SEM) and atomic force microscopy (AFM) measurements. Pore sizes varying from 20 nm to micrometers were obtained for long reaction times and [HF:Et] [1:2] concentrations; while pore sizes in the same order were observed for [HF:DMF] [1:7], but for shorter reaction time. Greater surface uniformity and pore distribution was obtained for a current density of around 8 mA/cm2 using solutions with DMF. A correlation between reflectance measurements and pore size is presented. The porous-silicon samples were used as substrate for hydroxyapatite growth by sol-gel method. X-ray diffraction (XRD) and SEM were used to characterize the layers grown. It was found that the layer topography obtained on PS samples was characterized by the evidence of Hydroxyapatite in the inter-pore regions and over the surface.
Low-density silicon thin films for lithium-ion battery anodes
Energy Technology Data Exchange (ETDEWEB)
Demirkan, M.T., E-mail: tmdemirkan@ualr.edu [Department of Physics and Astronomy, University of Arkansas at Little Rock, Little Rock, AR 72204 (United States); Department of Materials Science and Engineering, Gebze Technical University, Kocaeli (Turkey); Trahey, L. [Chemical Sciences and Engineering Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Karabacak, T. [Department of Physics and Astronomy, University of Arkansas at Little Rock, Little Rock, AR 72204 (United States)
2016-02-01
Density of sputter deposited silicon (Si) thin films was changed by a simple working gas pressure control process, and its effects on the cycling performance of Si films in Li-ion batteries as anodes was investigated. Higher gas pressure results in reduced film densities due to a shadowing effect originating from lower mean free path of sputter atoms, which leads to a wider angular distribution of the incoming flux and formation of a porous film microstructure. Si thin film anodes of different densities ranging from 2.27 g/cm{sup 3} (film porosity ~ 3%) down to 1.64 g/cm{sup 3} (~ 30% porosity) were fabricated by magnetron sputtering at argon pressures varying from 0.2 Pa to 2.6 Pa, respectively. High density Si thin film anodes of 2.27 g/cm{sup 3} suffered from an unstable cycling behavior during charging/discharging depicted by a continuous reduction in specific down to ~ 830 mAh/g at the 100th cycle. Electrochemical properties of lower density films with 1.99 g/cm{sup 3} (~ 15% porosity) and 1.77 g/cm{sup 3} (~ 24% porosity) got worse resulting in only ~ 100 mAh/g capacity at 100th cycle. On the other hand, as the density of anode was further reduced down to about 1.64 g/cm{sup 3} (~ 30% porosity), cycling stability and capacity retention significantly improved resulting in specific capacity values ~ 650 mAh/g at 100th cycle with coulombic efficiencies of > 98%. Enhancement in our low density Si film anodes are believed to mainly originate from the availability of voids for volumetric expansion during lithiation and resulting compliant behavior that provides superior mechanical and electrochemical stability. - Highlights: • Low density Si thin films were studied as Li-ion battery anodes. • Low density Si films were fabricated by magnetron sputter deposition. • Density of Si films reduced down to as low as ~ 1.64 g/cm{sup 3} with a porosity of ~ 30% • Low density Si films presented superior mechanical properties during cycling.
Energy Technology Data Exchange (ETDEWEB)
Abadli, S. [Department of Electrical Engineering, University Aout 1955, Skikda, 21000 (Algeria); LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Mansour, F. [LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Pereira, E. Bedel [CNRS-LAAS, 7 avenue du colonel Roche, 31077 Toulouse (France)
2012-10-15
We have investigated the complex behaviour of boron (B) redistribution process via silicon thin bi-layers interface. It concerns the instantaneous kinetics of B transfer, trapping, clustering and segregation during the thermal B activation annealing. The used silicon bi-layers have been obtained by low pressure chemical vapor deposition (LPCVD) method at 480 C, by using in-situ nitrogen-doped-silicon (NiDoS) layer and strongly B doped polycrystalline-silicon (P{sup +}) layer. To avoid long-range B redistributions, thermal annealing was carried out at relatively low-temperatures (600 C and 700 C) for various times ranging between 30 min and 2 h. To investigate the experimental secondary ion mass spectroscopy (SIMS) doping profiles, a redistribution model well adapted to the particular structure of two thin layers and to the effects of strong-concentrations has been established. The good adjustment of the simulated profiles with the experimental SIMS profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the complex B redistribution profiles-shoulders. The increasing kinetics of the B peak concentration near the bi-layers interface is well reproduced by the established model. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Cytotoxicity assessment of porous silicon microparticles for ocular drug delivery.
Korhonen, Eveliina; Rönkkö, Seppo; Hillebrand, Satu; Riikonen, Joakim; Xu, Wujun; Järvinen, Kristiina; Lehto, Vesa-Pekka; Kauppinen, Anu
2016-03-01
Porous silicon (PSi) is a promising material for the delivery and sustained release of therapeutic molecules in various tissues. Due to the constant rinsing of cornea by tear solution as well as the short half-life of intravitreal drugs, the eye is an attractive target for controlled drug delivery systems, such as PSi microparticles. Inherent barriers ensure that PSi particles are retained in the eye, releasing drugs at the desired speed until they slowly break down into harmless silicic acid. Here, we have examined the in vitro cytotoxicity of positively and negatively charged thermally oxidized (TOPSi) and thermally carbonized (TCPSi) porous silicon microparticles on human corneal epithelial (HCE) and retinal pigment epithelial (ARPE-19) cells. In addition to ocular assessment under an inverted microscope, cellular viability was evaluated using the CellTiter Blue™, CellTiter Fluor™, and lactate dehydrogenase (LDH) assays. CellTiter Fluor proved to be a suitable assay but due to non-specific and interfering responses, neither CellTiter Blue nor LDH assays should be used when evaluating PSi particles. Our results suggest that the toxicity of PSi particles is concentration-dependent, but at least at concentrations less than 200μg/ml, both positively and negatively charged PSi particles are well tolerated by human corneal and retinal epithelial cells and therefore applicable for delivering drug molecules into ocular tissues. Copyright © 2015 Elsevier B.V. All rights reserved.
Surface States and Effective Surface Area on Photoluminescent P-Type Porous Silicon
Weisz, S. Z.; Porras, A. Ramirez; Resto, O.; Goldstein, Y.; Many, A.; Savir, E.
1997-01-01
The present study is motivated by the possibility of utilizing porous silicon for spectral sensors. Pulse measurements on the porous-Si/electrolyte system are employed to determine the surface effective area and the surface-state density at various stages of the anodization process used to produce the porous material. Such measurements were combined with studies of the photoluminescence spectra. These spectra were found to shift progressively to the blue as a function of anodization time. The luminescence intensity increases initially with anodization time, reaches a maximum and then decreases with further anodization. The surface state density, on the other hand, increases with anodization time from an initial value of about 2 x 10(exp 12)/sq cm surface to about 1013 sq cm for the anodized surface. This value is attained already after -2 min anodization and upon further anodization remains fairly constant. In parallel, the effective surface area increases by a factor of 10-30. This behavior is markedly different from the one observed previously for n-type porous Si.
Nieto, Alejandra; Hou, Huiyuan; Sailor, Michael J; Freeman, William R; Cheng, Lingyun
2013-11-01
Porous silicon (pSi) microparticles have been investigated for intravitreal drug delivery and demonstrated good biocompatibility. With the appropriate surface chemistry, pSi can reside in vitreous for months or longer. However, ocular distribution and clearance pathway of its degradation product, silicic acid, are not well understood. In the current study, rabbit ocular tissue was collected at different time point following fresh pSi (day 1, 5, 9, 16, and 21) or oxidized pSi (day 3, 7, 14, 21, and 35) intravitreal injection. In addition, dual-probe simultaneous microdialysis of aqueous and vitreous humor was performed following a bolus intravitreal injection of 0.25 mL silicic acid (150 μg/mL) and six consecutive microdialysates were collected every 20 min. Silicon was quantified from the samples using inductively coupled plasma-optical emission spectroscopy. The study showed that following the intravitreal injection of oxidized pSi, free silicon was consistently higher in the aqueous than in the retina (8.1 ± 6.5 vs. 3.4 ± 3.9 μg/mL, p = 0.0031). The area under the concentration-time curve (AUC) of the retina was only about 24% that of the aqueous. The mean residence time was 16 days for aqueous, 13 days for vitreous, 6 days for retina, and 18 days for plasma. Similarly, following intravitreal fresh pSi, free silicon was also found higher in aqueous than in retina (7 ± 4.7 vs. 3.4 ± 4.1 μg/mL, p = 0.014). The AUC for the retina was about 50% of the AUC for the aqueous. The microdialysis revealed the terminal half-life of free silicon in the aqueous was 30 min and 92 min in the vitreous; the AUC for aqueous accounted for 38% of the AUC for vitreous. Our studies indicate that aqueous humor is a significant pathway for silicon egress from the eye following intravitreal injection of pSi crystals. Copyright © 2013 Elsevier Ltd. All rights reserved.
Naddaf, M.
2017-01-01
Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.
Rapid and label-free detection of protein a by aptamer-tethered porous silicon nanostructures.
Urmann, Katharina; Reich, Peggy; Walter, Johanna-Gabriela; Beckmann, Dieter; Segal, Ester; Scheper, Thomas
2017-09-10
Protein A, which is secreted by and displayed on the cell membrane of Staphylococcus aureus is an important biomarker for S. aureus. Thus, its rapid and specific detection may facilitate the pathogen identification and initiation of proper treatment. Herein, we present a simple, label-free and rapid optical biosensor enabling specific detection of protein A. Protein A-binding aptamer serves as the capture probe and is immobilized onto a nanostructured porous silicon thin film, which serves as the optical transducer element. We demonstrate high sensitivity of the biosensor with a linear detection range between 8 and 23μM. The apparent dissociation constant was determined as 13.98μM and the LoD is 3.17μM. Harnessing the affinity between protein A and antibodies, a sandwich assay format was developed to amplify the optical signal associated with protein A capture by the aptamer. Using this approach, we increase the sensitivity of the biosensor, resulting in a three times lower LoD. Copyright © 2017 Elsevier B.V. All rights reserved.
Porous Zinc Oxide Thin Films: Synthesis Approaches and Applications
Directory of Open Access Journals (Sweden)
Marco Laurenti
2018-02-01
Full Text Available Zinc oxide (ZnO thin films have been widely investigated due to their multifunctional properties, i.e., catalytic, semiconducting and optical. They have found practical use in a wide number of application fields. However, the presence of a compact micro/nanostructure has often limited the resulting material properties. Moreover, with the advent of low-dimensional ZnO nanostructures featuring unique physical and chemical properties, the interest in studying ZnO thin films diminished more and more. Therefore, the possibility to combine at the same time the advantages of thin-film based synthesis technologies together with a high surface area and a porous structure might represent a powerful solution to prepare ZnO thin films with unprecedented physical and chemical characteristics that may find use in novel application fields. Within this scope, this review offers an overview on the most successful synthesis methods that are able to produce ZnO thin films with both framework and textural porosities. Moreover, we discuss the related applications, mainly focused on photocatalytic degradation of dyes, gas sensor fabrication and photoanodes for dye-sensitized solar cells.
Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper
Energy Technology Data Exchange (ETDEWEB)
Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.
2002-07-01
We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.
Quadruple-Junction Thin-Film Silicon-Based Solar Cells
Si, F.T.
2017-01-01
The direct utilization of sunlight is a critical energy source in a sustainable future. One of the options is to convert the solar energy into electricity using thin-film silicon-based solar cells (TFSSCs). Solar cells in a triple-junction configuration have exhibited the highest energy conversion
International Nuclear Information System (INIS)
Tang, H.; Zhang, Y.J.; Xiong, Q.Q.; Cheng, J.D.; Zhang, Q.; Wang, X.L.; Gu, C.D.; Tu, J.P.
2015-01-01
A Si/porous reduced graphene oxide (rGO) composite film synthesized by evaporation and leavening method are developed as a high-performance anode material for lithium ion batteries. The porous structure as buffer base can effectively release the volume expansion of the silicon particles, increase the electrical conductivity and reduce the transfer resistance of Li ions. The Si/porous rGO composite film presents high specific capacity and good cycling stability (1261 mA h g −1 at 50 mA g −1 up to 70 cycles), as well as enhanced rate capability. This approach to prepare such a unique structure is a low-cost and facile route for the silicon-based anode materials
Studying the noise parameters of thin-film silicon resistors
International Nuclear Information System (INIS)
Belogurov, S.V.; Gostilo, V.V.; Yurov, A.S.
1986-01-01
The results of studies on spectral density and energy noise equivalent of thin-film resistors on the base of amorphous silicon and KIM and KVM commercial high-ohmic resistors are presented. Dependence of the active part of impedance on frequency is shown to be the main source of redundant noise in resistors. Dependence of spectral density of noise voltage of current noises of silicon resistors on applied voltage is described by the formula S T =B V 2 /f 1.6 with the values B=(1.4-1.7)x10 -12 Hz 0.6 . As to noise parameters the silicon resistor is superior to commercial resistors
Photoluminescence properties of sol-gel derived SiO.sub.2./sub. layers doped with porous silicon
Czech Academy of Sciences Publication Activity Database
Švrček, Vladimír; Pelant, Ivan; Rehspringer, J. L.; Gilliot, P.; Ohlmann, D.; Crégut, O.; Hönerlage, B.; Chvojka, T.; Valenta, J.; Dian, J.
2002-01-01
Roč. 19, - (2002), s. 233-236 ISSN 0928-4931 R&D Projects: GA AV ČR IAA1010809; GA AV ČR IAB2949101; GA AV ČR IAB1112901 Grant - others:GA UK(XC) 144/2000/B/FYZ Institutional research plan: CEZ:AV0Z1010914 Keywords : nanocrystalline silicon * photoluminescence * porous silicon Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.734, year: 2002
Development of thin pixel detectors on epitaxial silicon for HEP experiments
International Nuclear Information System (INIS)
Boscardin, Maurizio; Calvo, Daniela; Giacomini, Gabriele; Wheadon, Richard; Ronchin, Sabina; Zorzi, Nicola
2013-01-01
The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10 16 n eq /cm 2 . Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics
Development of thin pixel detectors on epitaxial silicon for HEP experiments
Energy Technology Data Exchange (ETDEWEB)
Boscardin, Maurizio, E-mail: boscardi@fbk.eu [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Calvo, Daniela [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Giacomini, Gabriele [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Wheadon, Richard [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Ronchin, Sabina; Zorzi, Nicola [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy)
2013-08-01
The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10{sup 16} n{sub eq}/cm{sup 2}. Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics.
Massad-Ivanir, Naama; Shtenberg, Giorgi; Raz, Nitzan; Gazenbeek, Christel; Budding, Dries; Bos, Martine P; Segal, Ester
2016-11-30
Rapid detection of target bacteria is crucial to provide a safe food supply and to prevent foodborne diseases. Herein, we present an optical biosensor for identification and quantification of Escherichia coli (E. coli, used as a model indicator bacteria species) in complex food industry process water. The biosensor is based on a nanostructured, oxidized porous silicon (PSi) thin film which is functionalized with specific antibodies against E. coli. The biosensors were exposed to water samples collected directly from process lines of fresh-cut produce and their reflectivity spectra were collected in real time. Process water were characterized by complex natural micro-flora (microbial load of >10 7 cell/mL), in addition to soil particles and plant cell debris. We show that process water spiked with culture-grown E. coli, induces robust and predictable changes in the thin-film optical interference spectrum of the biosensor. The latter is ascribed to highly specific capture of the target cells onto the biosensor surface, as confirmed by real-time polymerase chain reaction (PCR). The biosensors were capable of selectively identifying and quantifying the target cells, while the target cell concentration is orders of magnitude lower than that of other bacterial species, without any pre-enrichment or prior processing steps.
Preparation and characterization of nanocrystalline porous TiO2/WO3 composite thin films
International Nuclear Information System (INIS)
Hsu, C.-S.; Lin, C.-K.; Chan, C.-C.; Chang, C.-C.; Tsay, C.-Y.
2006-01-01
TiO 2 materials possessing not only photocatalytic but also electrochromic properties have attracted many research and development interests. Though WO 3 exhibits excellent electrochromic properties, the much higher cost and water-sensitivity of WO 3 as compared with the TiO 2 may restrict the practical application of WO 3 materials. In the present study, the feasibility of preparing nanocrystalline porous TiO 2 /WO 3 composite thin films was investigated. Precursors of sols TiO 2 and/or WO 3 and polystyrene microspheres were used to prepare nanocrystalline pure TiO 2 , WO 3 , and composite TiO 2 /WO 3 thin films by spin coating. The spin-coated thin films were amorphous and, after heat treating at a temperature of 500 o C, nanocrystalline TiO 2 , TiO 2 /WO 3 , and WO 3 thin films with or without pores were prepared successfully. The heat-treated thin films were colorless and coloration-bleaching phenomena can be observed during cyclic voltammetry tests. The heat-treated thin films exhibited good reversible electrochromic behavior while the porous TiO 2 /WO 3 composite film exhibited improved electrochromic properties
Formation of porous surface layers in reaction bonded silicon nitride during processing
Shaw, N. J.; Glasgow, T. K.
1979-01-01
Microstructural examination of reaction bonded silicon nitride (RBSN) has shown that there is often a region adjacent to the as-nitrided surfaces that is even more porous than the interior of this already quite porous material. Because this layer of large porosity is considered detrimental to both the strength and oxidation resistance of RBSN, a study was undertaken to determine if its formation could be prevented during processing. All test bars studied were made from a single batch of Si powder which was milled for 4 hours in heptane in a vibratory mill using high density alumina cylinders as the grinding media. After air drying the powder, bars were compacted in a single acting die and hydropressed.
Optical gain at 1.53 {mu}m in Er{sup 3+}-Yb{sup 3+} co-doped porous silicon waveguides
Energy Technology Data Exchange (ETDEWEB)
Najar, A. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, BP 80518, 22305 Lannion Cedex (France); Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 El Manar, Tunis (Tunisia)], E-mail: najar.adel@laposte.net; Charrier, J. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, BP 80518, 22305 Lannion Cedex (France); Ajlani, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 El Manar, Tunis (Tunisia); Lorrain, N.; Haesaert, S. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, BP 80518, 22305 Lannion Cedex (France); Oueslati, M. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 El Manar, Tunis (Tunisia); Haji, L. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, BP 80518, 22305 Lannion Cedex (France)
2008-01-15
Erbium-ytterbium (Er-Yb)-co-doped porous silicon planar waveguides were prepared from P{sup +}-type (1 0 0) oriented silicon wafer. Erbium and ytterbium ions were electrochemically introduced into the porous structure of the waveguide core. The doping profiles of erbium and ytterbium ions were determined by EDX analysis performed on sample cross-section. The mean concentration in the guiding layer is of about 1 x 10{sup 20} cm{sup -3}. The refractive indices were measured from co-doped porous silicon and undoped waveguides after the thermal treatments. The photoluminescence (PL) peak of optically activated erbium ions at 1.53 {mu}m was recorded. The PL enhancement is the result of the energy transfer from the excited state of Yb to the state of Er. Optical losses at 1.55 {mu}m were measured on these waveguides and were of about 2 dB/cm. An internal gain at 1.53 {mu}m of 5.8 dB/cm has been measured with a pump power of 65 mW at 980 nm.
Secondary electron emission in nanostructured porous silicon
Energy Technology Data Exchange (ETDEWEB)
Ruano, G D; Ferron, J; Koropecki, R R, E-mail: gdruano@ceride.gov.a [INTEC-UNL-CONICET, Gueemes 3450 - 3000 Santa Fe (Argentina)
2009-05-01
We studied the reversible reduction induced by ion bombardment of the secondary electron emission (SEE) yield. This effect has been modelled as due to changes in dynamically sustained dipoles related with ions and electrons penetration ranges. Such charge configuration precludes the escape of electrons from the nanoporous silicon, making the SEE dependent on the flux of impinging ions. Since this dipolar momentum depends on the electric conduction of the porous medium, by controlled oxidation of the nanoporous structure we change the conduction features of the sample, studying the impact on the SEE reduction effect. Li ion bombardment was also used with the intention of changing the parameters determining the effect. FT-IR and Auger electron spectroscopy were used to characterize the oxidation degree of the samples at different depth scales
Investigation of MeV-Cu implantation and channeling effects into porous silicon formation
International Nuclear Information System (INIS)
Ahmad, M.; Naddaf, M.
2011-01-01
P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.
Investigation of MeV-Cu implantation and channeling effects into porous silicon formation
International Nuclear Information System (INIS)
Ahmad, M.; Naddaf, M.
2012-01-01
P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.(author)
Investigation of MeV-Cu implantation and channeling effects into porous silicon formation
Ahmad, M.; Naddaf, M.
2011-11-01
P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.
The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film
Energy Technology Data Exchange (ETDEWEB)
Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.
2013-04-01
The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.
International Nuclear Information System (INIS)
Vendamani, V.S.; Dang, Z.Y.; Ramana, P.; Pathak, A.P.; Ravi Kanth Kumar, V.V.; Breese, M.B.H.; Nageswara Rao, S.V.S.
2015-01-01
Highlights: • Fabrication of tunable distributed Bragg reflectors (DBRs) by gamma/ion irradiation of Si and subsequent formation of porous silicon multilayers has been described. • The central wavelength and the width of the stop band are found to decrease with increase in irradiation fluence. • The Si samples irradiated with highest fluence of 2 × 10 13 ions/cm 2 (100 MeV Ag ions) and 60 kGy (gamma) showed a central reflection at λ = 476 nm and 544 nm respectively, in contrast to un-irradiated sample, where λ = 635 nm. • The observed changes in the central wavelengths are attributed to the density of defects generated by gamma and ion irradiation in c-Si. • This study is expected to provide useful information for fabricating tunable wave reflectors for optical communication and other device applications. - Abstract: We report a study on the fabrication of tunable distributed Bragg reflectors (DBRs) by gamma/ion irradiation of Si and subsequent formation of porous silicon multilayers. Porous Si multilayers with 50 bilayers were designed to achieve high intensity of reflection. The reflection spectra appear to have a broad continuous band between 400 and 800 nm with a distinct central wavelength corresponding to different wave reflectors. The central wavelength and the width of the stop band are found to decrease with increase in irradiation fluence. The Si samples irradiated with highest fluence of 2 × 10 13 ions/cm 2 (100 MeV Ag ions) and 60 kGy (gamma) showed a central reflection at λ = 476 nm and 544 nm respectively, in contrast to un-irradiated sample, where λ = 635 nm. The observed changes are attributed to the density of defects generated by gamma and ion irradiation in c-Si. These results suggest that the gamma irradiation is a convenient and alternative method to tune the central wavelength of reflection without creating high density of defects by high energy ion implantation. This study is expected to provide useful information for
Effects of excitation intensity on the photocurrent response of thin film silicon solar modules
Kim, Q.; Shumka, A.; Trask, J.
1986-01-01
Photocurrent responses of amorphous thin film silicon solar modules at room temperature were studied at different excitation intensities using various monochromatic light sources. Photocurrent imaging techniques have been effectively used to locate rapidly, and non-destructively, failure and defect sites in the multilayer thin film device. Differences observed in the photocurrent response characteristics for two different cells in the same amorphous thin film silicon solar module suggest the possibility of the formation of dissimilarly active devices, even though the module is processed in the same fabrication process. Possible mechanisms are discussed.
Heimdal, Carl Philip J
2014-01-01
The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...
Dispersion tailoring of a silicon strip waveguide employing Titania-Alumina thin-film coating
DEFF Research Database (Denmark)
Guo, Kai; Christensen, Jesper B.; Christensen, Erik N.
2017-01-01
We numerically demonstrate dispersion tailoring of a silicon strip waveguide employing Titania-Alumina thin-film coating using a finite-difference mode solver. The proposed structure exhibits spectrally-flattened near-zero anomalous dispersion within the telecom wavelength range. We also numerica......We numerically demonstrate dispersion tailoring of a silicon strip waveguide employing Titania-Alumina thin-film coating using a finite-difference mode solver. The proposed structure exhibits spectrally-flattened near-zero anomalous dispersion within the telecom wavelength range. We also...
Laterally inherently thin amorphous-crystalline silicon heterojunction photovoltaic cell
Energy Technology Data Exchange (ETDEWEB)
Chowdhury, Zahidur R., E-mail: zr.chowdhury@utoronto.ca; Kherani, Nazir P., E-mail: kherani@ecf.utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada)
2014-12-29
This article reports on an amorphous-crystalline silicon heterojunction photovoltaic cell concept wherein the heterojunction regions are laterally narrow and distributed amidst a backdrop of well-passivated crystalline silicon surface. The localized amorphous-crystalline silicon heterojunctions consisting of the laterally thin emitter and back-surface field regions are precisely aligned under the metal grid-lines and bus-bars while the remaining crystalline silicon surface is passivated using the recently proposed facile grown native oxide–plasma enhanced chemical vapour deposited silicon nitride passivation scheme. The proposed cell concept mitigates parasitic optical absorption losses by relegating amorphous silicon to beneath the shadowed metallized regions and by using optically transparent passivation layer. A photovoltaic conversion efficiency of 13.6% is obtained for an untextured proof-of-concept cell illuminated under AM 1.5 global spectrum; the specific cell performance parameters are V{sub OC} of 666 mV, J{sub SC} of 29.5 mA-cm{sup −2}, and fill-factor of 69.3%. Reduced parasitic absorption, predominantly in the shorter wavelength range, is confirmed with external quantum efficiency measurement.
Amorphous silicon pixel radiation detectors and associated thin film transistor electronics readout
International Nuclear Information System (INIS)
Perez-Mendez, V.; Cho, G.; Drewery, J.; Jing, T.; Kaplan, S.N.; Mireshghi, A.; Wildermuth, D.; Goodman, C.; Fujieda, I.
1992-07-01
We describe the characteristics of thin (1 μm) and thick (> 30 μm) hydrogenated amorphous silicon p-i-n diodes which are optimized for detecting and recording the spatial distribution of charged particles, x-ray, γ rays and thermal neutrons. For x-ray, γ ray, and charged particle detection we can use thin p-i-n photosensitive diode arrays coupled to evaporated layers of suitable scintillators. For thermal neutron detection we use thin (2∼5 μm) gadolinium converters on 30 μm thick a-Si:H diodes. For direct detection of minimum ionizing particles and others with high resistance to radiation damage, we use the thick p-i-n diode arrays. Diode and amorphous silicon readouts as well as polysilicon pixel amplifiers are described
Directory of Open Access Journals (Sweden)
Walid Darwich
2016-06-01
Full Text Available The metallization of porous silicon (PSi is generally realized through physical vapor deposition (PVD or electrochemical processes using aqueous solutions. The former uses a strong vacuum and does not allow for a conformal deposition into the pores. In the latter, the water used as solvent causes oxidation of the silicon during the reduction of the salt precursors. Moreover, as PSi is hydrophobic, the metal penetration into the pores is restricted to the near-surface region. Using a solution of organometallic (OM precursors in ionic liquid (IL, we have developed an easy and efficient way to fully metallize the pores throughout the several-µm-thick porous Si. This process affords supported metallic nanoparticles characterized by a narrow size distribution. This process is demonstrated for different metals (Pt, Pd, Cu, and Ru and can probably be extended to other metals. Moreover, as no reducing agent is necessary (the decomposition in an argon atmosphere at 50 °C is fostered by surface silicon hydride groups borne by PSi, the safety and the cost of the process are improved.
Darwich, Walid; Haumesser, Paul-Henri; Santini, Catherine C; Gaillard, Frédéric
2016-06-03
The metallization of porous silicon (PSi) is generally realized through physical vapor deposition (PVD) or electrochemical processes using aqueous solutions. The former uses a strong vacuum and does not allow for a conformal deposition into the pores. In the latter, the water used as solvent causes oxidation of the silicon during the reduction of the salt precursors. Moreover, as PSi is hydrophobic, the metal penetration into the pores is restricted to the near-surface region. Using a solution of organometallic (OM) precursors in ionic liquid (IL), we have developed an easy and efficient way to fully metallize the pores throughout the several-µm-thick porous Si. This process affords supported metallic nanoparticles characterized by a narrow size distribution. This process is demonstrated for different metals (Pt, Pd, Cu, and Ru) and can probably be extended to other metals. Moreover, as no reducing agent is necessary (the decomposition in an argon atmosphere at 50 °C is fostered by surface silicon hydride groups borne by PSi), the safety and the cost of the process are improved.
International Nuclear Information System (INIS)
Gusain, Rashi; Kokufu, Sho; Bakshi, Paramjeet S.; Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki; Khatri, Om P.
2016-01-01
Graphical abstract: - Highlights: • Ionic liquid thin film is deposited on a silicon surface via covalent interaction. • Chemical and morphological features of ionic liquid thin film are probed by XPS and AFM. • Ionic liquid thin film exhibited low and steady friction along with remarkable wear-resistivity. - Abstract: Imidazolium-hexafluorophosphate (ImPF_6) ionic liquid thin film is prepared on a silicon surface using 3-chloropropyltrimethoxysilane as a bifunctional chemical linker. XPS result revealed the covalent grafting of ImPF_6 thin film on a silicon surface. The atomic force microscopic images demonstrated that the ImPF_6 thin film is composed of nanoscopic pads/clusters with height of 3–7 nm. Microtribological properties in terms of coefficient of friction and wear-resistivity are probed at the mean Hertzian contact pressure of 0.35–0.6 GPa under the rotational sliding contact. The ImPF_6 thin film exhibited low and steady coefficient of friction (μ = 0.11) along with remarkable wear-resistivity to protect the underlying silicon substrate. The low shear strength of ImPF_6 thin film, the covalent interaction between ImPF_6 ionic liquid thin film and underlying silicon substrate, and its regular grafting collectively reduced the friction and improved the anti-wear property. The covalently grafted ionic liquid thin film further shows immense potential to expand the durability and lifetime of M/NEMS based devices with significant reduction of the friction.
Energy Technology Data Exchange (ETDEWEB)
Gusain, Rashi [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Academy of Scientific and Innovative Research, New Delhi 110025 (India); Kokufu, Sho [Department of Materials Science and Engineering, Kyoto University, Kyoto 606-8501 (Japan); Bakshi, Paramjeet S. [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki [Department of Materials Science and Engineering, Kyoto University, Kyoto 606-8501 (Japan); Khatri, Om P., E-mail: opkhatri@iip.res.in [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Academy of Scientific and Innovative Research, New Delhi 110025 (India)
2016-02-28
Graphical abstract: - Highlights: • Ionic liquid thin film is deposited on a silicon surface via covalent interaction. • Chemical and morphological features of ionic liquid thin film are probed by XPS and AFM. • Ionic liquid thin film exhibited low and steady friction along with remarkable wear-resistivity. - Abstract: Imidazolium-hexafluorophosphate (ImPF{sub 6}) ionic liquid thin film is prepared on a silicon surface using 3-chloropropyltrimethoxysilane as a bifunctional chemical linker. XPS result revealed the covalent grafting of ImPF{sub 6} thin film on a silicon surface. The atomic force microscopic images demonstrated that the ImPF{sub 6} thin film is composed of nanoscopic pads/clusters with height of 3–7 nm. Microtribological properties in terms of coefficient of friction and wear-resistivity are probed at the mean Hertzian contact pressure of 0.35–0.6 GPa under the rotational sliding contact. The ImPF{sub 6} thin film exhibited low and steady coefficient of friction (μ = 0.11) along with remarkable wear-resistivity to protect the underlying silicon substrate. The low shear strength of ImPF{sub 6} thin film, the covalent interaction between ImPF{sub 6} ionic liquid thin film and underlying silicon substrate, and its regular grafting collectively reduced the friction and improved the anti-wear property. The covalently grafted ionic liquid thin film further shows immense potential to expand the durability and lifetime of M/NEMS based devices with significant reduction of the friction.
Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications
Ghoneim, Mohamed T.; Zidan, Mohammed A.; Al-Nassar, Mohammed Y.; Hanna, Amir; Kosel, Jü rgen; Salama, Khaled N.; Hussain, Muhammad Mustafa
2015-01-01
A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational
Vasudevan, R.A.; Thanawala, Z; Han, L.; Buijs, Thom; Tan, H.; Deligiannis, D.; Perez Rodriguez, P.; Digdaya, I.A.; Smith, W.A.; Zeman, M.; Smets, A.H.M.
2016-01-01
A hybrid tandem solar cell consisting of a thin-film, nanocrystalline silicon top junction and a siliconheterojunction bottom junction is proposed as a supporting solar cell for photoelectrochemical applications.Tunneling recombination junction engineering is shown to be an important consideration
Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology
Yang, Xiaoming
2014-10-01
This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.
Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology
Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng
2014-01-01
This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.
Energy Technology Data Exchange (ETDEWEB)
Guo, Anran; Zhong, Hao [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Li, Wei, E-mail: wli@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gu, Deen; Jiang, Xiangdong [School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)
2016-10-30
Highlights: • The increase of Ru concentration leads to a narrower bandgap of a-Si{sub 1-x}Ru{sub x} thin film. • The absorption coefficient of a-Si{sub 1-x}Ru{sub x} is higher than that of SiGe. • A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} film and Si nano-holes layer is achieved. - Abstract: Silicon is widely used in semiconductor industry but has poor performance in near-infrared photoelectronic devices because of its bandgap limit. In this study, a narrow bandgap silicon rich semiconductor is achieved by introducing ruthenium (Ru) into amorphous silicon (a-Si) to form amorphous silicon ruthenium (a-Si{sub 1-x}Ru{sub x}) thin films through co-sputtering. The increase of Ru concentration leads to an enhancement of light absorption and a narrower bandgap. Meanwhile, a specific light trapping technique is employed to realize high absorption of a-Si{sub 1-x}Ru{sub x} thin film in a finite thickness to avoid unnecessary carrier recombination. A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} thin film and silicon random nano-holes layer is formed on the back surface of silicon substrates, and significantly improves near-infrared absorption while the leaky light intensity is less than 5%. This novel absorber, combining narrow bandgap thin film with light trapping structure, may have a potential application in near-infrared photoelectronic devices.
Enhancement of porous silicon photoluminescence property by lithium chloride treatment
Azaiez, Khawla; Zaghouani, Rabia Benabderrahmane; Khamlich, Saleh; Meddeb, Hosny; Dimassi, Wissem
2018-05-01
Porous silicon (PS) decorated by several nanostructured metal elements has still aroused interests as promising composites in many industrial applications. With the focus mainly on the synthesis, the aspect of stability against optical irradiation of such materials has so far not been thoroughly addressed. This work focuses primarily on the influence of lithium chloride solution (LiCl) treatment on the physical properties of PS. Variations in the structural and optoelectronic properties of PS were observed after immersion in (LiCl), as revealed by the obtained analyses. Moreover, enhanced photoluminescence (PL) property of the PS after passivation by lithium particles was clearly shown, and their presence on the surface of the microporous silicon was confirmed by FTIR spectroscopy and atomic force microscopy. An improvement of the minority carrier lifetime was also obtained, which was attributed to the decrease of the surface recombination velocity after LiCl treatment.
Silicon: electrochemistry and luminescence
Kooij, Ernst Stefan
1997-01-01
The electrochemistry of crystalline and porous silicon and the luminescence from porous silicon has been studied. One chapter deals with a model for the anodic dissolution of silicon in HF solution. In following chapters both the electrochemistry and various ways of generating visible
Chemical stabilization of porous silicon for enhanced biofunctionalization with immunoglobulin
Directory of Open Access Journals (Sweden)
Nelson Naveas, Vicente Torres Costa, Dario Gallach, Jacobo Hernandez-Montelongo, Raul Jose Martín Palma, Josefa Predenstinacion Garcia-Ruiz and Miguel Manso-Silván
2012-01-01
Full Text Available Porous silicon (PSi is widely used in biological experiments, owing to its biocompatibility and well-established fabrication methods that allow tailoring its surface. Nevertheless, there are some unresolved issues such as deciding whether the stabilization of PSi is necessary for its biological applications and evaluating the effects of PSi stabilization on the surface biofunctionalization with proteins. In this work we demonstrate that non-stabilized PSi is prone to detachment owing to the stress induced upon biomolecular adsorption. Biofunctionalized non-stabilized PSi loses the interference properties characteristic of a thin film, and groove-like structures resulting from a final layer collapse were observed by scanning electron microscopy. Likewise, direct PSi derivatization with 3-aminopropyl-triethoxysilane (APTS does not stabilize PSi against immunoglobulin biofunctionalization. To overcome this problem, we developed a simple chemical process of stabilizing PSi (CoxPSi for biological applications, which has several advantages over thermal stabilization (ToxPSi. The process consists of chemical oxidation in H2O2, surface derivatization with APTS and a curing step at 120 °C. This process offers integral homogeneous PSi morphology, hydrophilic surface termination (contact angle θ = 26° and highly efficient derivatized and biofunctionalized PSi surfaces (six times more efficient than ToxPSi. All these features are highly desirable for biological applications, such as biosensing, where our results can be used for the design and optimization of the biomolecular immobilization cascade on PSi surfaces.
Porosity dependence of positive magnetoconductance in n-type porous silicon
Energy Technology Data Exchange (ETDEWEB)
Chouaibi, Bassem; Radaoui, Moufid; Benfredj, Amel; Bouchriha, Habib [Laboratoire Materiaux Avances et Phenomenes Quantiques, Faculte des Sciences de Tunis, Universite El Manar, 2092 Campus universitaire, Tunis (Tunisia); Romdhane, Samir [Laboratoire Materiaux Avances et Phenomenes Quantiques, Faculte des Sciences de Tunis, Universite El Manar, 2092 Campus universitaire, Tunis (Tunisia); Faculte des Sciences de Bizerte, 7021 Zarzouna, Bizerte, Universite de Carthage (Tunisia); Bouaicha, Mongi [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)
2012-10-15
Positive magnetoconductance (MC) on n-type porous silicon (PS) based devices was observed at room temperature for low static magnetic field (under 6000 G). We found that the measured MC decreases when the film porosity is increased. Obtained results were analyzed by means of the quasi-1D weak localization (WL) theory. From the dependence of the MC vs. applied magnetic field, we determine the phase coherence length L{sup {phi}}. Good agreement between theoretical and experimental results was found (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Czech Academy of Sciences Publication Activity Database
Dian, J.; Vrkoslav, Vladimír; Jelínek, I.
2010-01-01
Roč. 147, - (2010), s. 406-410 ISSN 0925-4005 Institutional research plan: CEZ:AV0Z40550506 Keywords : porous silicon * photoluminescence * sensor * recognition enhancement Subject RIV: CC - Organic Chemistry Impact factor: 3.368, year: 2010
Transparent conductive oxides for thin-film silicon solar cells
Löffler, J.
2005-01-01
This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,
Morphological and optical properties of silicon thin films by PLD
International Nuclear Information System (INIS)
Ayouchi, R.; Schwarz, R.; Melo, L.V.; Ramalho, R.; Alves, E.; Marques, C.P.; Santos, L.; Almeida, R.; Conde, O.
2009-01-01
Silicon thin films have been prepared on sapphire substrates by pulsed laser deposition (PLD) technique. The films were deposited in vacuum from a silicon target at a base pressure of 10 -6 mbar in the temperature range from 400 to 800 deg. C. A Q-switched Nd:YAG laser (1064 nm, 5 ns duration, 10 Hz) at a constant energy density of 2 J x cm -2 has been used. The influence of the substrate temperature on the structural, morphological and optical properties of the Si thin films was investigated. Spectral ellipsometry and atomic force microscopy (AFM) were used to study the thickness and the surface roughness of the deposited films. Surface roughness values measured by AFM and ellipsometry show the same tendency of increasing roughness with increased deposition temperature
Patton, Ryan J; Wood, Michael G; Reano, Ronald M
2017-11-01
We report enhanced photoluminescence in the telecommunications wavelength range in ring resonators patterned in hydrogenated amorphous silicon thin films deposited via low-temperature plasma enhanced chemical vapor deposition. The thin films exhibit broadband photoluminescence that is enhanced by up to 5 dB by the resonant modes of the ring resonators due to the Purcell effect. Ellipsometry measurements of the thin films show a refractive index comparable to crystalline silicon and an extinction coefficient on the order of 0.001 from 1300 nm to 1600 nm wavelengths. The results are promising for chip-scale integrated optical light sources.
Materials and Light Management for High-Efficiency Thin-Film Silicon Solar Cells
Tan, H.
2015-01-01
Direct conversion of sunlight into electricity is one of the most promising approaches to provide sufficient renewable energy for humankind. Solar cells are such devices which can efficiently generate electricity from sunlight through the photovoltaic effect. Thin-film silicon solar cells, a type of photovoltaic (PV) devices which deploy the chemical-vapor-deposited hydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H) and their alloys as the absorber layers and doped ...
Sola-Rabada, Anna; Sahare, Padma; Hickman, Graham J; Vasquez, Marco; Canham, Leigh T; Perry, Carole C; Agarwal, Vivechana
2018-06-01
Porous silica-based materials are attractive for biomedical applications due to their biocompatibility and biodegradable character. In addition, inorganic supports such as porous silicon are being developed due to integrated circuit chip compatibility and tunable properties leading to a wide range of multidisciplinary applications. In this contribution, biosilica extracted from a rarely studied plant material (Equisetum Myriochaetum), its conversion to silicon and the potential for both materials to be used as supports for enzyme immobilization are investigated. E. myriochaetum was subject to conventional acid digestion to extract biogenic silica with a% yield remarkably higher (up to 3 times) than for other Equisetum sp. (i.e. E. Arvense). The surface area of the isolated silica was ∼400 m 2 /g, suitable for biotechnological applications. Biogenic silicon was obtained by magnesiothermic reduction. The materials were characterized by SEM-EDX, XRD, FT-IR, ICP-OES, TGA and BET analysis and did not contain significant levels of class 1 heavy elements (such as Pb, Cd, Hg and As). Two commercial peroxidases, horseradish peroxidase (HRP) and Coprinus cinereus peroxidase (CiP) were immobilized onto the biogenic materials using three different functionalization routes: (A) carbodiimide, (B) amine + glutaraldehyde and (C) amine + carbodiimide. Although both biogenic silica and porous silicon could be used as supports differences in behaviour were observed for the two enzymes. For HRP, loading onto biogenic silica via the glutaraldehyde immobilization technique (route B) was most effective. The loading of CiP showed a much higher peroxidase activity onto porous silicon than silica functionalized by the carbodiimide method (route A). From the properties of the extracted materials obtained from Equisetum Myriochaetum and the immobilization results observed, these materials appear to be promising for industrial and biomedical applications. Copyright © 2018 Elsevier
Energy Technology Data Exchange (ETDEWEB)
Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw
2014-04-15
Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were
Hierarchical porous TiO{sub 2} thin films by soft and dual templating
Energy Technology Data Exchange (ETDEWEB)
Henrist, Catherine, E-mail: catherine.henrist@ulg.ac.be [University of Liege, Department of Chemistry, GREENMAT-LCIS, B6 Sart Tilman, Liege 4000 (Belgium); University of Liege, Center for Applied Technology in Microscopy (CATmu), B6 Sart Tilman, Liege 4000 (Belgium); Dewalque, Jennifer [University of Liege, Department of Chemistry, GREENMAT-LCIS, B6 Sart Tilman, Liege 4000 (Belgium); Cloots, Rudi [University of Liege, Department of Chemistry, GREENMAT-LCIS, B6 Sart Tilman, Liege 4000 (Belgium); University of Liege, Center for Applied Technology in Microscopy (CATmu), B6 Sart Tilman, Liege 4000 (Belgium); Vertruyen, Bénédicte; Jonlet, Jonathan; Colson, Pierre [University of Liege, Department of Chemistry, GREENMAT-LCIS, B6 Sart Tilman, Liege 4000 (Belgium)
2013-07-31
Hierarchical porous structures, with different pore sizes, including pores larger than 10 nm, constitute an important field of research for many applications such as selective molecule detection, catalysis, dye-sensitized solar cells, nanobiotechnology and nanomedecine. However, increasing the pore size logically results in the decrease of specific surface. There is a need to quantify and predict the resulting porosity and specific surface. We have prepared hierarchical porous TiO{sub 2} thin films either by surfactant templating (soft) or dual surfactant/nanospheres templating (soft/hard). They all show narrow, bimodal distribution of pores. Soft templating route uses a modified sol–gel procedure by adding a swelling agent (polypropylene glycol) to a precursor solution containing Ti alkoxide and block-copolymer surfactant. This scheme leads to very thin films showing high specific surface and bimodal porosity with diameters of 10 nm and 54 nm. Dual templating route combines a precursor solution made of Ti alkoxide and block-copolymer surfactant with polystyrene (PS) nanospheres (diam. 250 nm) in a one-pot simple process. This gives thicker films with a bimodal distribution of pores (8 nm and 165-200 nm). The introduction of PS nanospheres in the surfactant–Ti system does not interfere with the soft templating process and results in a macroporosity with a pore diameter 20–30% smaller than the original beads diameter. The dye loading of hierarchical films is compared to pure surfactant-templated TiO{sub 2} films and shows a relative decrease of 29% for soft templating and 43% for dual templating. The microstructure of bimodal porous films is characterized by several techniques such as transmission and scanning electron microscopy, X-ray diffraction, profilometry and ellipsometry. Finally, a geometrical model is proposed and validated for each system, based on the agreement between calculated specific surfaces and experimental dye loading with N719 dye
Energy Technology Data Exchange (ETDEWEB)
Antunez, E. E.; Salazar-Kuri, U.; Estevez, J. O.; Basurto, M. A.; Agarwal, V., E-mail: vagarwal@uaem.mx [Centro de Investigación en Ingeniería y Ciencias Aplicadas, Instituto de Investigación en Ciencias Básicas y Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Mor. 62209 (Mexico); Campos, J. [Instituto de Energías Renovables, UNAM, Priv. Xochicalco S/N, Temixco, Mor. 62580 (Mexico); Jiménez Sandoval, S. [Laboratorio de Investigación en Materiales, Centro de Investigación y estudios Avanzados del Instituto Politécnico Nacional, Unidad Querétaro, Qro. 76001 (Mexico)
2015-10-07
Morphological properties of thermochromic VO{sub 2}—porous silicon based hybrids reveal the growth of well-crystalized nanometer-scale features of VO{sub 2} as compared with typical submicron granular structure obtained in thin films deposited on flat substrates. Structural characterization performed as a function of temperature via grazing incidence X-ray diffraction and micro-Raman demonstrate reversible semiconductor-metal transition of the hybrid, changing from a low-temperature monoclinic VO{sub 2}(M) to a high-temperature tetragonal rutile VO{sub 2}(R) crystalline structure, coupled with a decrease in phase transition temperature. Effective optical response studied in terms of red/blue shift of the reflectance spectra results in a wavelength-dependent optical switching with temperature. As compared to VO{sub 2} film over crystalline silicon substrate, the hybrid structure is found to demonstrate up to 3-fold increase in the change of reflectivity with temperature, an enlarged hysteresis loop and a wider operational window for its potential application as an optical temperature sensor. Such silicon based hybrids represent an exciting class of functional materials to display thermally triggered optical switching culminated by the characteristics of each of the constituent blocks as well as device compatibility with standard integrated circuit technology.
Najar, Adel; Anjum, Dalaver H.; Hedhili, Mohamed N.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid
2012-01-01
We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been
International Nuclear Information System (INIS)
Li, Da; Kunz, Thomas; Wolf, Nadine; Liebig, Jan Philipp; Wittmann, Stephan; Ahmad, Taimoor; Hessmann, Maik T.; Auer, Richard; Göken, Mathias; Brabec, Christoph J.
2015-01-01
Hydrogenated intrinsic amorphous silicon (a-Si:H) was investigated as a surface passivation method for crystalline silicon thin film solar cells on graphite substrates. The results of the experiments, including quantum efficiency and current density-voltage measurements, show improvements in cell performance. This improvement is due to surface passivation by an a-Si:H(i) layer, which increases the open circuit voltage and the fill factor. In comparison with our previous work, we have achieved an increase of 0.6% absolute cell efficiency for a 40 μm thick 4 cm 2 aperture area on the graphite substrate. The optical properties of the SiN x /a-Si:H(i) stack were studied using spectroscopic ellipsometer techniques. Scanning transmission electron microscopy inside a scanning electron microscope was applied to characterize the cross section of the SiN x /a-Si:H(i) stack using focus ion beam preparation. - Highlights: • We report a 10.8% efficiency for thin-film silicon solar cell on graphite. • Hydrogenated intrinsic amorphous silicon was applied for surface passivation. • SiN x /a-Si:H(i) stacks were characterized by spectroscopic ellipsometer techniques. • Cross-section micrograph was obtained by scanning transmission electron microscopy. • Quantum efficiency and J-V measurements show improvements in the cell performance
Hybrid luminescent/magnetic nanostructured porous silicon particles for biomedical applications
Muñoz-Noval, Álvaro; Sánchez-Vaquero, Vanessa; Torres-Costa, Vicente; Gallach, Darío; Ferro-Llanos, Vicente; Javier Serrano, José; Manso-Silván, Miguel; García-Ruiz, Josefa Predestinación; Del Pozo, Francisco; Martín-Palma, Raúl J.
2011-02-01
This work describes a novel process for the fabrication of hybrid nanostructured particles showing intense tunable photoluminescence and a simultaneous ferromagnetic behavior. The fabrication process involves the synthesis of nanostructured porous silicon (NPSi) by chemical anodization of crystalline silicon and subsequent in pore growth of Co nanoparticles by electrochemically-assisted infiltration. Final particles are obtained by subsequent sonication of the Co-infiltrated NPSi layers and conjugation with poly(ethylene glycol) aiming at enhancing their hydrophilic character. These particles respond to magnetic fields, emit light in the visible when excited in the UV range, and internalize into human mesenchymal stem cells with no apoptosis induction. Furthermore, cytotoxicity in in-vitro systems confirms their biocompatibility and the viability of the cells after incorporation of the particles. The hybrid nanostructured particles might represent powerful research tools as cellular trackers or in cellular therapy since they allow combining two or more properties into a single particle.
Nguyen, Minh-Hang; Tsai, Hau-Jie; Wu, Jen-Kuei; Wu, Yi-Shiuan; Lee, Ming-Chang; Tseng, Fan-Gang
2013-09-15
We present a chemical-biosensor in the Mid-IR range and based on cascaded porous silicon made on p- and n-type (100) silicon substrates of resistivities between 0.001Ωcm and 0.005Ωcm. The stacked porous layers of various porosities (20-80%) and thicknesses (5-9μm) are formed by successive electrochemical etchings with different current densities. Working with FTIR technique that possesses fast response, high sensitivity, and capability of detecting and identifying functional groups, the cascaded porous structures provided enhanced refractive index sensitivities and reduced detection limits in chemical and biodetection. The largest wavenumber shifts were 50cm(-1)/mM obtained for d-(+)-glucose and 96cm(-1)/μg/mL for Cy5-conjungated Rabbit Anti-Mouse IgG. The lowest detectable concentration of glucose was 80μM (1.4mg/mL) with PS porosity of 40% and thickness of about 9μm while it was 40ng/mL for Cy5-conjugated Rabbit Anti-Mouse IgG which is 2.5×10(5) folds better than those in literature. Copyright © 2013 Elsevier B.V. All rights reserved.
A size selective porous silicon grating-coupled Bloch surface and sub-surface wave biosensor.
Rodriguez, Gilberto A; Ryckman, Judson D; Jiao, Yang; Weiss, Sharon M
2014-03-15
A porous silicon (PSi) grating-coupled Bloch surface and sub-surface wave (BSW/BSSW) biosensor is demonstrated to size selectively detect the presence of both large and small molecules. The BSW is used to sense large immobilized analytes at the surface of the structure while the BSSW that is confined inside but near the top of the structure is used to sensitively detect small molecules. Functionality of the BSW and BSSW modes is theoretically described by dispersion relations, field confinements, and simulated refractive index shifts within the structure. The theoretical results are experimentally verified by detecting two different small chemical molecules and one large 40 base DNA oligonucleotide. The PSi-BSW/BSSW structure is benchmarked against current porous silicon technology and is shown to have a 6-fold higher sensitivity in detecting large molecules and a 33% improvement in detecting small molecules. This is the first report of a grating-coupled BSW biosensor and the first report of a BSSW propagating mode. © 2013 Published by Elsevier B.V.
Secret, Emilie; Maynadier, Marie; Gallud, Audrey; Chaix, Arnaud; Bouffard, Elise; Gary-Bobo, Magali; Marcotte, Nathalie; Mongin, Olivier; El Cheikh, Khaled; Hugues, Vincent; Auffan, Mélanie; Frochot, Céline; Morère, Alain; Maillard, Philippe; Blanchard-Desce, Mireille; Sailor, Michael J; Garcia, Marcel; Durand, Jean-Olivier; Cunin, Frédérique
2014-12-03
Porous silicon nanoparticles (pSiNPs) act as a sensitizer for the 2-photon excitation of a pendant porphyrin using NIR laser light, for imaging and photodynamic therapy. Mannose-functionalized pSiNPs can be vectorized to MCF-7 human breast cancer cells through a mannose receptor-mediated endocytosis mechanism to provide a 3-fold enhancement of the 2-photon PDT effect. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Effect of a cracked surface of porous silicon on the behaviour of the acoustic signature
Directory of Open Access Journals (Sweden)
Bouhedja Samia
2014-06-01
Full Text Available We study in this work the effect of a crack, located on the porous silicon, Psi, surface on the propagation of Rayleigh waves. We simulate and analyse the acoustic signature V(z according porosity at 142 MHz, to study the microstructure of PSi around the crack.
Jalkanen, Tero; Mäkilä, Ermei; Sakka, Tetsuo; Salonen, Jarno; Ogata, Yukio H
2012-01-01
Thermally promoted addition of undecylenic acid is studied as a method for modifying porous silicon optical reflectors that have been pre-treated with thermal hydrocarbonization. Successful derivatization of undecylenic acid is demonstrated and confirmed with Fourier transform infrared and X-ray photoelectron spectroscopies. The results indicate that the hydrocarbonization pre-treatment considerably improves stability against oxidation and chemical dissolution in basic environments. The two-s...
Porous silicon platform for optical detection of functionalized magnetic particles biosensing.
Ko, Pil Ju; Ishikawa, Ryousuke; Sohn, Honglae; Sandhu, Adarsh
2013-04-01
The physical properties of porous materials are being exploited for a wide range of applications including optical biosensors, waveguides, gas sensors, micro capacitors, and solar cells. Here, we review the fast, easy and inexpensive electrochemical anodization based fabrication porous silicon (PSi) for optical biosensing using functionalized magnetic particles. Combining magnetically labeled biomolecules with PSi offers a rapid and one-step immunoassay and real-time detection by magnetic manipulation of superparamagnetic beads (SPBs) functionalized with target molecules onto corresponding probe molecules immobilized inside nano-pores of PSi. We first give an introduction to electrochemical and chemical etching procedures used to fabricate a wide range of PSi structures. Next, we describe the basic properties of PSi and underlying optical scattering mechanisms that govern their unique optical properties. Finally, we give examples of our experiments that demonstrate the potential of combining PSi and magnetic beads for real-time point of care diagnostics.
Ultra-low reflection porous silicon nanowires for solar cell applications
Najar, Adel
2012-01-01
High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measurements show that the 35% reflectivity of the starting silicon wafer drops to 0.1% recorded for more than 10 μm long PSiNWs. Models based on cone shape of nanowires located in a circular and rectangular bases were used to calculate the reflectance employing the Transfert Matrix Formalism (TMF) of the PSiNWs layer. Using TMF, the Bruggeman model was used to calculate the refractive index of PSiNWs layer. The calculated reflectance using circular cone shape fits better the measured reflectance for PSiNWs. The remarkable decrease in optical reflectivity indicates that PSiNWs is a good antireflective layer and have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection. ©2012 Optical Society of America.
Synchrotron-radiation XPS analysis of ultra-thin silane films: Specifying the organic silicon
Energy Technology Data Exchange (ETDEWEB)
Dietrich, Paul M., E-mail: paul.dietrich@yahoo.de [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Glamsch, Stephan [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Ehlert, Christopher [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Institut für Chemie, Universität Potsdam, Karl-Liebknecht-Straße 24-25, 14476 Potsdam (Germany); Lippitz, Andreas [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Kulak, Nora [Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Unger, Wolfgang E.S. [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany)
2016-02-15
Graphical abstract: - Highlights: • A synchrotron-based XPS method to analyze ultra-thin silane films is presented. • Specification and quantification of organic next to inorganic silicon is demonstrated. • Non-destructive chemical depth profiles of the silane monolayers were obtained. - Abstract: The analysis of chemical and elemental in-depth variations in ultra-thin organic layers with thicknesses below 5 nm is very challenging. Energy- and angle-resolved XPS (ER/AR-XPS) opens up the possibility for non-destructive chemical ultra-shallow depth profiling of the outermost surface layer of ultra-thin organic films due to its exceptional surface sensitivity. For common organic materials a reliable chemical in-depth analysis with a lower limit of the XPS information depth z{sub 95} of about 1 nm can be performed. As a proof-of-principle example with relevance for industrial applications the ER/AR-XPS analysis of different organic monolayers made of amino- or benzamidosilane molecules on silicon oxide surfaces is presented. It is demonstrated how to use the Si 2p core-level region to non-destructively depth-profile the organic (silane monolayer) – inorganic (SiO{sub 2}/Si) interface and how to quantify Si species, ranging from elemental silicon over native silicon oxide to the silane itself. The main advantage of the applied ER/AR-XPS method is the improved specification of organic from inorganic silicon components in Si 2p core-level spectra with exceptional low uncertainties compared to conventional laboratory XPS.
Effect of illumination on photoluminescence properties of porous silicon
International Nuclear Information System (INIS)
Naddaf, M.; Hamadeh, H.
2008-11-01
Porous silicon (PS) layers were formed by photo-electrochemical etching of both p-type and n-type single crystal wafers in HF based solution. During the etching process, the silicon wafer was illuminated by a halogen lamp light guided by an optical fiber through a monochromator or diode lasers at different power density and wavelengths (480,533,580 and 635 nm). The optical and structural properties of the prepared PS samples have been investigated by using temperature dependent photoluminescence (PL) spectroscopy, Fourier Transform Infrared (FTIR) spectroscopy, contact angle (CA) measurements, optical microscope and atomic force microscope (AFM). Beside the strong red-yellow PL band, a blue PL band has been observed only in the PS samples formed under the illumination with low power and short wavelengths (480-580 nm) light. In the near infrared (IR) spectral range, a new PL band at 850 nm was observed in p-type PS samples, which prepared under darkness or illumination with 635 nm of low power light. Temperature dependent PL measurements showed that, in contrast to the main IR PL band at around 1100 nm, the intensity of this new band increases on increasing the temperature. These changes in the PL properties was correlated with the illumination induced-structural and morphological modifications in the PS skeleton. In particular, the FTIR analysis showed that the chemical groups and bonds constituting the PS skeleton, such as, SiH, SiO bonds and silanol SiOH group play key role in deciding the PL emission intensity and blue shift. The study proved that the illumination parameters during the photo-electrochemical etching process can be utilized for tailoring a porous layer with novel optical and structural properties. (Authors)
Effect of illumination on photoluminescence properties of porous silicon
International Nuclear Information System (INIS)
Naddaf, M.; Hamadeh, H.
2009-01-01
Porous silicon (PS) layers were formed by photo-electrochemical etching of both p-type and n-type single crystal wafers in HF based solution. During the etching process, the silicon wafer was illuminated by a halogen lamp light guided by an optical fiber through a monochromator or diode lasers at different power density and wavelengths (480,533,580 and 635 nm). The optical and structural properties of the prepared PS samples have been investigated by using temperature dependent photoluminescence (PL) spectroscopy, Fourier Transform Infrared (FTIR) spectroscopy, contact angle (CA) measurements, optical microscope and atomic force microscope (AFM). Beside the strong red-yellow PL band, a blue PL band has been observed only in the PS samples formed under the illumination with low power and short wavelengths (480-580 nm) light. In the near infrared (IR) spectral range, a new PL band at 850 nm was observed in p-type PS samples, which prepared under darkness or illumination with 635 nm of low power light. Temperature dependent PL measurements showed that, in contrast to the main IR PL band at around 1100 nm, the intensity of this new band increases on increasing the temperature. These changes in the PL properties was correlated with the illumination induced-structural and morphological modifications in the PS skeleton. In particular, the FTIR analysis showed that the chemical groups and bonds constituting the PS skeleton, such as, SiH, SiO bonds and silanol SiOH group play key role in deciding the PL emission intensity and blue shift. The study proved that the illumination parameters during the photo-electrochemical etching process can be utilized for tailoring a porous layer with novel optical and structural properties. (Authors)
Fabricating porous silicon carbide
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1994-01-01
The formation of porous SiC occurs under electrochemical anodization. A sample of SiC is contacted electrically with nickel and placed into an electrochemical cell which cell includes a counter electrode and a reference electrode. The sample is encapsulated so that only a bare semiconductor surface is exposed. The electrochemical cell is filled with an HF electrolyte which dissolves the SiC electrochemically. A potential is applied to the semiconductor and UV light illuminates the surface of the semiconductor. By controlling the light intensity, the potential and the doping level, a porous layer is formed in the semiconductor and thus one produces porous SiC.
Energy Technology Data Exchange (ETDEWEB)
NONE
1998-03-01
Research and development was performed with an objective to manufacture amorphous silicon and thin film poly-crystal silicon hybrid solar cells with large area and at low cost, being a high-efficiency next generation solar cell. The research was performed based on a principle that low-cost substrates shall be used, that a manufacturing process capable of forming amorphous silicon films with large area shall be based on, and that silicon film with as thin as possible thickness shall be used. Fiscal 1997 has started research and development on making the cells hybrid with amorphous silicon cells. As a result of the research and development, such achievements have been attained as using texture structure on the rear layer in thin poly-crystal silicon film solar cells with a thickness of two microns, and having achieved conversion efficiency of 10.1% by optimizing the junction interface forming conditions. A photo-deterioration test was carried out on hybrid cells which combine the thin poly-crystal silicon film cells having STAR structure with the amorphous silicon cells. Stabilization efficiency of 11.5% was attained after light has been irradiated for 500 hours or longer. (NEDO)
Peptide and protein loading into porous silicon wafers
Energy Technology Data Exchange (ETDEWEB)
Prestidge, C.A.; Barnes, T.J.; Mierczynska-Vasilev, A.; Kempson, I.; Peddie, F. [Ian Wark Research Institute, University of South Australia, Mawson Lakes (Australia); Barnett, C. [Medica Ltd, Malvern, Worcestershire, UK WR14 3SZ (United Kingdom)
2008-02-15
The influence of peptide/protein size and hydrophobicity on the physical and chemical aspects of loading within porous silicon (pSi) wafer samples has been determined using Atomic Force Microscopy (AFM) and Time-of-Flight Secondary Ion Mass Spectroscopy (ToF-SIMS). Both Gramicidin A (a small hydrophobic peptide) and Papain (a larger hydrophilic protein) were observed (ToF-SIMS) to penetrate across the entire pSi layer, even at low loading levels. AFM surface imaging of pSi wafers during peptide/protein loading showed that surface roughness increased with Papain loading, but decreased with Gramicidin A loading. For Papain, the loading methodology was also found to influence loading efficiency. These differences indicate more pronounced surface adsorption of Papain. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Fabricating 40 µm-thin silicon solar cells with different orientations by using SLiM-cut method
Wang, Teng-Yu; Chen, Chien-Hsun; Shiao, Jui-Chung; Chen, Sung-Yu; Du, Chen-Hsun
2017-10-01
Thin silicon foils with different crystal orientations were fabricated using the stress induced lift-off (SLiM-cut) method. The thickness of the silicon foils was approximately 40 µm. The ≤ft foil had a smoother surface than the ≤ft foil. With surface passivation, the minority carrier lifetimes of the ≤ft and ≤ft silicon foil were 1.0 µs and 1.6 µs, respectively. In this study, 4 cm2-thin silicon solar cells with heterojunction structures were fabricated. The energy conversion efficiencies were determined to be 10.74% and 14.74% for the ≤ft and ≤ft solar cells, respectively. The surface quality of the silicon foils was determined to affect the solar cell character. This study demonstrated that fabricating the solar cell by using silicon foil obtained from the SLiM-cut method is feasible.
The Refractive Index Measurement Of Silicon Dioxide Thin Film by the Coupling Prism Method
International Nuclear Information System (INIS)
Budianto, Anwar; Hariyanto, Sigit; Subarkah
1996-01-01
Refractive index of silicon dioxide thin film that doped with phosphor (SiO 2 :P) above the pure silicon dioxide substrate has been measured by light coupling prism method. The method principle is focusing the light on coupling prism base so that the light propagates into the waveguide layer while the reflected one forms a mode in the observation plane. The SiO 2 thin film as waveguide layer has a refractive index that give the thick and refractive index relation. The He-Ne laser as light source has the wavelength λ 0,6328 μm. The refractive index measurement of the thin film with the substrate refractive index n sb = 1,47 and the thin film thick d = 2μm gives n g = 1,5534 ± 0,01136. This method can distinguish the refractive index of thin film about 6% to the refractive index of substrate
Study of optical absorbance in porous silicon nanowires for photovoltaic applications
Charrier, Joël
2013-10-01
Porous silicon nanowires (PSiNWs) layers fabrication was reported. Reflectance spectra were measured as a function of the nanowire length and were inferior to 0.1% and a strong photoluminescence (PL) signal was measured from samples. Models based on cone shape of nanowires located in circular and rectangular bases were used to calculate the reflectance using the transfer matrix formalism (TMF) of PSiNWs layer. The modeling of the reflectance permits to explain this value by taking account into the shape of the nanowires and its porosity. Optical absorbance and transmission were also theoretically studied. The absorbance was superior to that obtained with silicon nanowires and the ultimate efficiency was about equal to 25% for normal incidence angle. These results could be applied to the potential application in low-cost and high efficiency PSiNWs based solar cells. © 2013 Elsevier B.V. All rights reserved.
International Nuclear Information System (INIS)
Bhaskaran, M; Sriram, S; Sim, L W
2008-01-01
This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining
Energy Technology Data Exchange (ETDEWEB)
NONE
1998-03-01
A thin film single crystal silicon solar cell module is developed, in which a porous silicon layer is formed on the surface of a long-sized single crystal silicon substrate, a single crystal silicon film is integrated on the layer by epitaxially growing the film thereon to form a solar cell, and the solar cell is peeled off from the silicon substrate and transferred to a plastic film substrate. The achievements during this fiscal year may be summarized as follows: simultaneous formation of a porous silicon layer on a silicon substrate, reduction of anode formation current density from 200 mA/cm{sup 2} to 10 mA/cm{sup 2}, development of a silicon epitaxial device using a carbon heater, and attainment of aperture conversion efficiency of 11.8% in a thin film single crystal silicon solar cell. Three kinds of methods were developed to peel off the solar cell. A method was developed to grind silicon substrate surface from which the solar cell has been peeled off. A technology was developed to obtain a long-sized silicon substrate of about 30 cm times 10 cm times 0.1 cm from a 4-inch silicon ingot by using a wire saw. (NEDO)
International Nuclear Information System (INIS)
Pichon, L; Rogel, R; Demami, F
2010-01-01
We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor
Low-temperature technique of thin silicon ion implanted epitaxial detectors
Energy Technology Data Exchange (ETDEWEB)
Kordyasz, A.J.; Bednarek, A. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); Le Neindre, N.; Bougault, R.; Lopez, O.; Merrer, Y.; Vient, E. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); Parlog, M. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); ' ' Horia Hulubei' ' National Institute of Physics and Nuclear Engineering (IFIN-HH), Bucharest Magurele (Romania); Casini, G.; Poggi, G.; Bini, M.; Valdre, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S. [INFN Firenze, Sesto Fiorentino (Italy); Universita di Firenze, Sesto Fiorentino (Firenze) (Italy); Kowalczyk, M. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Frankland, J.D.; Bonnet, E.; Chbihi, A.; Gruyer, D. [CEA et IN2P3-CNRS, GANIL, Caen-Cedex 05 (France); Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M.F.; Salomon, F. [IN2P3-CNRS, Institut de Physique Nucleaire, Orsay-Cedex (France); Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E. [Universita di Napoli ' ' Federico II' ' , Dipartimento di Scienze Fisiche, Napoli (Italy); INFN, Napoli (Italy); Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M. [INFN, Bologna (Italy); Universita di Bologna, Bologna (Italy); Alba, R.; Santonocito, D.; Maiolino, C. [INFN, Catania (Italy); Universita di Catania, LNS, Catania (Italy); Cinausero, M.; Gramegna, F.; Marchi, T. [INFN LNL Legnaro, Legnaro (Padova) (Italy); Kozik, T.; Kulig, P.; Twarog, T.; Sosin, Z. [Jagiellonian University, Cracow (Poland); Gasior, K.; Grzeszczuk, A.; Zipper, W. [University of Silesia, Silesian University, Katowice (Poland); Sarnecki, J.; Lipinski, D.; Wodzinska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyzak, K. [Institute of Electronic Materials Technology, Warsaw (Poland); Tarasiuk, K.J. [University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Khabanowa, Z. [Faculty of Physics, Warsaw University of Technology, Warsaw (Poland); Kordyasz, L. [Warsaw University of Technology, Faculty of Mechatronics, Institute of Mikromechanics and Photonics, Department of Design of Precision Devices, Warsaw (Poland)
2015-02-01
A new technique of large-area thin ion implanted silicon detectors has been developed within the R and D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B{sup +} ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from {sup 241}Am (left angle E{sub α} right angle = 5.5 MeV). Preliminary tests on the first thin detector (area ∼ 20 x 20 mm{sup 2}) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction {sup 84}Kr (E = 35 A MeV) + {sup 112}Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge. (orig.)
Hussain, Aftab M.
2013-08-16
We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.
Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min
2014-05-13
The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.
In and Ga Codoped ZnO Film as a Front Electrode for Thin Film Silicon Solar Cells
Directory of Open Access Journals (Sweden)
Duy Phong Pham
2014-01-01
Full Text Available Doped ZnO thin films have attracted much attention in the research community as front-contact transparent conducting electrodes in thin film silicon solar cells. The prerequisite in both low resistivity and high transmittance in visible and near-infrared region for hydrogenated microcrystalline or amorphous/microcrystalline tandem thin film silicon solar cells has promoted further improvements of this material. In this work, we propose the combination of major Ga and minor In impurities codoped in ZnO film (IGZO to improve the film optoelectronic properties. A wide range of Ga and In contents in sputtering targets was explored to find optimum optical and electrical properties of deposited films. The results show that an appropriate combination of In and Ga atoms in ZnO material, followed by in-air thermal annealing process, can enhance the crystallization, conductivity, and transmittance of IGZO thin films, which can be well used as front-contact electrodes in thin film silicon solar cells.
International Nuclear Information System (INIS)
Chen, Chao-Nan; Huang, Jung-Jie
2013-01-01
This study explored the removal of silicon nitride using KrF laser ablation technology with a high threshold fluence of 990 mJ/cm 2 . This technology was used for contact hole patterning to fabricate SiN x -passivation-based amorphous-silicon thin films in a transistor device. Compared to the photolithography process, laser direct patterning using KrF laser ablation technology can reduce the number of process steps by at least three. Experimental results showed that the mobility and threshold voltages of thin film transistors patterned using the laser process were 0.16 cm 2 /V-sec and 0.2 V, respectively. The device performance and the test results of gate voltage stress reliability demonstrated that laser direct patterning is a promising alternative to photolithography in the panel manufacturing of thin-film transistors for liquid crystal displays. - Highlights: ► KrF laser ablation technology is used to remove silicon nitride. ► A simple method for direct patterning contact-hole in thin-film-transistor device. ► Laser technology reduced processing by at least three steps
International Nuclear Information System (INIS)
Bychto, Leszek; Balaguer, Maria; Pastor, Ester; Chirvony, Vladimir; Matveeva, Eugenia
2008-01-01
The time changes of photoluminescence (PL) characteristics of porous silicon (porSi) powder during storing in different ambients have been reported. A porous silicon material with embedded Si nanocrystals of size of few nanometers was prepared by an electrochemical method from 10 to 20 Ωcm p-type Si wafers, and both constant and pulse current anodization regimes were used. A powder with a submicron average particle size was obtained by simple mechanical lift-off of the porous layer followed by additional manual milling. The air, hexane, and water as storage media were used, and modification by a nonionic surfactant (undecylenic acid) of the porSi surface was applied in the latter case. Dependence of PL characteristics on preparation and storage conditions was then studied. A remarkable blue shift of a position of PL maximum was observed in time for porSi powders in each storage media. In water suspension a many-fold build-up (10-30) of PL intensity in a time scale of few days was accompanied by an observed blue shift. Photoluminescence time behavior of porSi powders was described by a known mechanism of the change of porSi PL from free exciton emission of Si nanocrystals to luminescence of localized oxidized states on the Si nanocrystal surface.
Energy Technology Data Exchange (ETDEWEB)
Bychto, Leszek, E-mail: leszek.bychto@tu.koszalin.pl; Balaguer, Maria; Pastor, Ester; Chirvony, Vladimir; Matveeva, Eugenia, E-mail: eumat@upvnet.upv.e [Technical University of Valencia, Nanophotonics Technology Center (Spain)
2008-12-15
The time changes of photoluminescence (PL) characteristics of porous silicon (porSi) powder during storing in different ambients have been reported. A porous silicon material with embedded Si nanocrystals of size of few nanometers was prepared by an electrochemical method from 10 to 20 {Omega}cm p-type Si wafers, and both constant and pulse current anodization regimes were used. A powder with a submicron average particle size was obtained by simple mechanical lift-off of the porous layer followed by additional manual milling. The air, hexane, and water as storage media were used, and modification by a nonionic surfactant (undecylenic acid) of the porSi surface was applied in the latter case. Dependence of PL characteristics on preparation and storage conditions was then studied. A remarkable blue shift of a position of PL maximum was observed in time for porSi powders in each storage media. In water suspension a many-fold build-up (10-30) of PL intensity in a time scale of few days was accompanied by an observed blue shift. Photoluminescence time behavior of porSi powders was described by a known mechanism of the change of porSi PL from free exciton emission of Si nanocrystals to luminescence of localized oxidized states on the Si nanocrystal surface.
Bychto, Leszek; Balaguer, Maria; Pastor, Ester; Chirvony, Vladimir; Matveeva, Eugenia
2008-12-01
The time changes of photoluminescence (PL) characteristics of porous silicon (porSi) powder during storing in different ambients have been reported. A porous silicon material with embedded Si nanocrystals of size of few nanometers was prepared by an electrochemical method from 10 to 20 Ωcm p-type Si wafers, and both constant and pulse current anodization regimes were used. A powder with a submicron average particle size was obtained by simple mechanical lift-off of the porous layer followed by additional manual milling. The air, hexane, and water as storage media were used, and modification by a nonionic surfactant (undecylenic acid) of the porSi surface was applied in the latter case. Dependence of PL characteristics on preparation and storage conditions was then studied. A remarkable blue shift of a position of PL maximum was observed in time for porSi powders in each storage media. In water suspension a many-fold build-up (10-30) of PL intensity in a time scale of few days was accompanied by an observed blue shift. Photoluminescence time behavior of porSi powders was described by a known mechanism of the change of porSi PL from free exciton emission of Si nanocrystals to luminescence of localized oxidized states on the Si nanocrystal surface.
Micro-architecture embedding ultra-thin interlayer to bond diamond and silicon via direct fusion
Kim, Jong Cheol; Kim, Jongsik; Xin, Yan; Lee, Jinhyung; Kim, Young-Gyun; Subhash, Ghatu; Singh, Rajiv K.; Arjunan, Arul C.; Lee, Haigun
2018-05-01
The continuous demand on miniaturized electronic circuits bearing high power density illuminates the need to modify the silicon-on-insulator-based chip architecture. This is because of the low thermal conductivity of the few hundred nanometer-thick insulator present between the silicon substrate and active layers. The thick insulator is notorious for releasing the heat generated from the active layers during the operation of devices, leading to degradation in their performance and thus reducing their lifetime. To avoid the heat accumulation, we propose a method to fabricate the silicon-on-diamond (SOD) microstructure featured by an exceptionally thin silicon oxycarbide interlayer (˜3 nm). While exploiting the diamond as an insulator, we employ spark plasma sintering to render the silicon directly fused to the diamond. Notably, this process can manufacture the SOD microarchitecture via a simple/rapid way and incorporates the ultra-thin interlayer for minute thermal resistance. The method invented herein expects to minimize the thermal interfacial resistance of the devices and is thus deemed as a breakthrough appealing to the current chip industry.
MEMS-based Porous Silicon Preconcentrators Filled with Carbopack-B for Explosives Detection
Camara , El Hadji Malik; James , Franck; Breuil , Philippe; Pijolat , Christophe; Briand , Danick; De Rooij , Nicolaas F
2014-01-01
International audience; In this paper we report the detection of explosive compounds using a miniaturized gas preconcentrator (μGP) made of porous silicon (PS) filled in with Carbopack B as an adsorbent material. The μGP includes also a platinum heater patterned at the backside and fluidic connectors sealed on the glass cover. Our μGP is designed and optimized through fluidic and thermal simulations for meeting the requirements of trace explosives detection. The thermal mass of the device was...
Hwang, Jangsun; Hwang, Mintai P.; Choi, Moonhyun; Seo, Youngmin; Jo, Yeonho; Son, Jaewoo; Hong, Jinkee; Choi, Jonghoon
2016-10-01
Heavy metal pollution has been a problem since the advent of modern transportation, which despite efforts to curb emissions, continues to play a critical role in environmental pollution. Copper ions (Cu2+), in particular, are one of the more prevalent metals that have widespread detrimental ramifications. From this perspective, a simple and inexpensive method of detecting Cu2+ at the micromolar level would be highly desirable. In this study, we use porous silicon nanoparticles (NPs), obtained via anodic etching of Si wafers, as a basis for undecylenic acid (UDA)- or acrylic acid (AA)-mediated hydrosilylation. The resulting alkyl-terminated porous silicon nanoparticles (APS NPs) have enhanced fluorescence stability and intensity, and importantly, exhibit [Cu2+]-dependent quenching of fluorescence. After determining various aqueous sensing conditions for Cu2+, we demonstrate the use of APS NPs in two separate applications - a standard well-based paper kit and a portable layer-by-layer stick kit. Collectively, we demonstrate the potential of APS NPs in sensors for the effective detection of Cu2+.
Energy Technology Data Exchange (ETDEWEB)
Giovannozzi, Andrea M.; Rossi, Andrea M. [National Institute for Metrological Research, Thermodynamic Division, Strada delle Cacce 91, 10135 Torino (Italy); Renacco, Chiara; Farano, Alessandro [Ribes Ricecrhe Srl, Via Lavoratori Vittime del Col du Mont 24, 11100 Aosta (Italy); Derosas, Manuela [Biodiversity Srl, Via Corfu 71, 25124 Brescia (Italy); Enrico, Emanuele [National Institute for Metrological Research, Electromagnetism Division, Strada delle Cacce 91, 10135 Torino (Italy)
2011-06-15
The performance of porous silicon optical based biosensors strongly depends on material nanomorphology, on biomolecules distribution inside the pores and on the ability to link sensing species to the pore walls. In this paper we studied the immobilization of biomolecules with different size, such as antibody anti aflatoxin (anti Aflatox Ab, {proportional_to}150 KDa), malate dehydrogenase (MDH, {proportional_to}36KDa) and metallothionein (MT, {proportional_to}6KDa) at different concentrations on mesoporous silicon samples ({proportional_to}15 nm pores diameter). Fluorescence measurements using FITC- labeled biomolecules and refractive index analysis based on reflectivity spectra have been employed together to detect the amount of proteins bound to the surface and to evaluate their diffusion inside the pores. Here we suggest that these two techniques should be used together to have a better understanding of what happens at the porous silicon surface. In fact, when pores dimensions are not perfectly tuned to the protein size a higher fluorescence signal doesn't often correspond to a higher biomolecules distribution inside the pores. When a too much higher concentration of biomolecule is anchored on the surface, steric crowd effects and repulsive interactions probably take over and hinder pores infiltration, inducing a small or absent shift in the fringe pattern even if a higher fluorescence signal is registered. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Kostishko, B M
2001-01-01
The effect of the particles flux density by the electron irradiation of the porous silicon on the kinetics of the surface complexes desorption and correspondingly on the photoluminescence quenching degree is studied. It is shown, that by the electron beam density above 5.5 x 10 sup 1 sup 3 cm sup - sup 2 s sup - sup 1 there occurs the surface charging and decrease in its adsorption ability relative to the donor molecular groups
Chiappini, Ciro; Campagnolo, Paola; Almeida, Carina S; Abbassi-Ghadi, Nima; Chow, Lesley W; Hanna, George B; Stevens, Molly M
2015-09-16
Porous silicon nanoneedles can map Cathepsin B activity across normal and tumor human esophageal mucosa. Assembling a peptide-based Cathepsin B cleavable sensor over a large array of nano-needles allows the discrimination of cancer cells from healthy ones in mixed culture. The same sensor applied to tissue can map Cathepsin B activity with high resolution across the tumor margin area of esophageal adenocarcinoma. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Directory of Open Access Journals (Sweden)
Guy Beaucarne
2007-01-01
with plasma-enhanced chemical vapor deposition (PECVD. In spite of the fundamental limitation of this material due to its disorder and metastability, the technology is now gaining industrial momentum thanks to the entry of equipment manufacturers with experience with large-area PECVD. Microcrystalline Si (also called nanocrystalline Si is a material with crystallites in the nanometer range in an amorphous matrix, and which contains less defects than amorphous silicon. Its lower bandgap makes it particularly appropriate as active material for the bottom cell in tandem and triple junction devices. The combination of an amorphous silicon top cell and a microcrystalline bottom cell has yielded promising results, but much work is needed to implement it on large-area and to limit light-induced degradation. Finally thin-film polysilicon solar cells, with grain size in the micrometer range, has recently emerged as an alternative photovoltaic technology. The layers have a grain size ranging from 1 μm to several tens of microns, and are formed at a temperature ranging from 600 to more than 1000∘C. Solid Phase Crystallization has yielded the best results so far but there has recently been fast progress with seed layer approaches, particularly those using the aluminum-induced crystallization technique.
Fabrication of the similar porous alumina silicon template for soft UV nanoimprint lithography
Energy Technology Data Exchange (ETDEWEB)
Sun, Tangyou [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Xu, Zhimou, E-mail: xuzhimou@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhao, Wenning; Wu, Xinghui; Liu, Sisi; Zhang, Zheng; Wang, Shuangbao; Liu, Wen [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Peng, Jing [College of Sciences, Wuhan University of Science and Technology, Wuhan 430081 (China)
2013-07-01
High density honeycombed nanostructures of porous alumina template (PAT) have been widely used to the fabrication of various electronic, optoelectronic, magnetic, and energy storage devices. However, patterning structures at sub-100 nm feature size with large area and low cost is of great importance and hardness on which semiconductor manufacture technology depends. In this paper, soft UV nanoimprint lithography (SUNIL) by using PAT as the initial mold is studied in detail. The results reveal a significant incompatibility between these two candidates. The native nonflatness of the PAT surface is about 100 nm in the range of 2–5 μm. Resist detaches from the substrate because of the mold deformation in the nonflat SUNIL. A two-inch similar porous alumina silicon (Si) template with nanopore size of 50–100 nm is fabricated. I–t curve conducted anodization and subsequent inductive coupled plasma (ICP) dry etching are applied to ensure the uniformity of the fabricated template. The surface flatness of the similar porous alumina Si template is the same as the polished Si wafer, which perfectly matches NIL.
Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films
Wienkes, Lee Raymond
Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.
Light trapping with plasmonic back contacts in thin-film silicon solar cells
Energy Technology Data Exchange (ETDEWEB)
Paetzold, Ulrich Wilhelm
2013-02-08
Trapping light in silicon solar cells is essential as it allows an increase in the absorption of incident sunlight in optically thin silicon absorber layers. This way, the costs of the solar cells can be reduced by lowering the material consumption and decreasing the physical constraints on the material quality. In this work, plasmonic light trapping with Ag back contacts in thin-film silicon solar cells is studied. Solar cell prototypes with plasmonic back contacts are presented along with optical simulations of these devices and general design considerations of plasmonic back contacts. Based on three-dimensional electromagnetic simulations, the conceptual design of plasmonic nanostructures on Ag back contacts in thin-film silicon solar cells is studied in this work. Optimizations of the nanostructures regarding their ability to scatter incident light at low optical losses into large angles in the silicon absorber layers of the thin-film silicon solar cells are presented. Geometrical parameters as well as the embedding dielectric layer stack of the nanostructures on Ag layers are varied. Periodic as well as isolated hemispherical Ag nanostructures of dimensions above 200 nm are found to scatter incident light at high efficiencies and low optical losses. Hence, these nanostructures are of interest for light trapping in solar cells. In contrast, small Ag nanostructures of dimension below 100 nm are found to induce optical losses. At the surface of randomly textured Ag back contacts small Ag nanostructures exist which induce optical losses. In this work, the relevance of these localized plasmon induced optical losses as well as optical losses caused by propagating plasmons are investigated with regard to the reflectance of the textured back contacts. In state-of-the-art solar cells, the plasmon-induced optical losses are shifted out of the relevant wavelength range by incorporating a ZnO:Al interlayer of low refractive index at the back contact. The additional but
International Nuclear Information System (INIS)
Lin, Hung-Chien; Ho, King-Yuan; Hsu, Chih-Chieh; Yan, Jing-Yi; Ho, Jia-Chong
2011-01-01
The reduction of photo-leakage current of amorphous silicon thin-film transistors (a-Si TFTs) is investigated and is found to be successfully suppressed by the use of an n-doped nanocrystalline silicon layer (n+ nc-Si) as an ohmic contact layer. The shallow-level defects of n+ nc-Si can become trapping centres of photo-induced electrons as the a-Si TFT is operated under light illumination. A lower oxygen concentration during n+ nc-Si deposition can increase the creation of shallow-level defects and improve the contrast ratio of active matrix organic light-emitting diode panels.