WorldWideScience

Sample records for thin oxide layers

  1. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  2. Studies of oxide-based thin-layered heterostructures by X-ray scattering methods

    Energy Technology Data Exchange (ETDEWEB)

    Durand, O. [Thales Research and Technology France, Route Departementale 128, F-91767 Palaiseau Cedex (France)]. E-mail: olivier.durand@thalesgroup.com; Rogers, D. [Nanovation SARL, 103 bis rue de Versailles 91400 Orsay (France); Universite de Technologie de Troyes, 10-12 rue Marie Curie, 10010 (France); Teherani, F. Hosseini [Nanovation SARL, 103 bis rue de Versailles 91400 Orsay (France); Andrieux, M. [LEMHE, ICMMOCNRS-UMR 8182, Universite d' Orsay, Batiment 410, 91410 Orsay (France); Modreanu, M. [Tyndall National Institute, Lee Maltings, Prospect Row, Cork (Ireland)

    2007-06-04

    Some X-ray scattering methods (X-ray reflectometry and Diffractometry) dedicated to the study of thin-layered heterostructures are presented with a particular focus, for practical purposes, on the description of fast, accurate and robust techniques. The use of X-ray scattering metrology as a routinely working non-destructive testing method, particularly by using procedures simplifying the data-evaluation, is emphasized. The model-independent Fourier-inversion method applied to a reflectivity curve allows a fast determination of the individual layer thicknesses. We demonstrate the capability of this method by reporting X-ray reflectometry study on multilayered oxide structures, even when the number of the layers constitutive of the stack is not known a-priori. Fast Fourier transform-based procedure has also been employed successfully on high resolution X-ray diffraction profiles. A study of the reliability of the integral-breadth methods in diffraction line-broadening analysis applied to thin layers, in order to determine coherent domain sizes, is also reported. Examples from studies of oxides-based thin-layers heterostructures will illustrate these methods. In particular, X-ray scattering studies performed on high-k HfO{sub 2} and SrZrO{sub 3} thin-layers, a (GaAs/AlOx) waveguide, and a ZnO thin-layer are reported.

  3. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  4. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  5. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  6. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Quesnel, David J. [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627 (United States)

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical properties of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of the

  7. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  8. Thin Solid Oxide Cell

    DEFF Research Database (Denmark)

    2010-01-01

    The present invention relates to a thin and in principle unsupported solid oxide cell, comprising at least a porous anode layer, an electrolyte layer and a porous cathode layer, wherein the anode layer and the cathode layer comprise an electrolyte material, at least one metal and a catalyst...... material, and wherein the overall thickness of the thin reversible cell is about 150 [mu]m or less, and to a method for producing same. The present invention also relates to a thin and in principle unsupported solid oxide cell, comprising at least a porous anode layer, an electrolyte layer and a porous...... cathode layer, wherein the anode layer and the cathode layer comprise an electrolyte material and a catalyst material, wherein the electrolyte material is doper zirconia, and wherein the overall thickness of the thin reversible cell is about 150 [mu]m or less, and to a method for producing same...

  9. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  10. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    Science.gov (United States)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.; Lloyd, Matthew T.; Widjonarko, Nicodemus Edwin; Miedaner, Alexander; Curtis, Calvin J.; Ginley, David S.; Olson, Dana C.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  11. Multi-layer thin-film electrolytes for metal supported solid oxide fuel cells

    Science.gov (United States)

    Haydn, Markus; Ortner, Kai; Franco, Thomas; Uhlenbruck, Sven; Menzler, Norbert H.; Stöver, Detlev; Bräuer, Günter; Venskutonis, Andreas; Sigl, Lorenz S.; Buchkremer, Hans-Peter; Vaßen, Robert

    2014-06-01

    A key to the development of metal-supported solid oxide fuel cells (MSCs) is the manufacturing of gas-tight thin-film electrolytes, which separate the cathode from the anode. This paper focuses the electrolyte manufacturing on the basis of 8YSZ (8 mol.-% Y2O3 stabilized ZrO2). The electrolyte layers are applied by a physical vapor deposition (PVD) gas flow sputtering (GFS) process. The gas-tightness of the electrolyte is significantly improved when sequential oxidic and metallic thin-film multi-layers are deposited, which interrupt the columnar grain structure of single-layer electrolytes. Such electrolytes with two or eight oxide/metal layers and a total thickness of about 4 μm obtain leakage rates of less than 3 × 10-4 hPa dm3 s-1 cm-2 (Δp: 100 hPa) at room temperature and therefore fulfill the gas tightness requirements. They are also highly tolerant with respect to surface flaws and particulate impurities which can be present on the graded anode underground. MSC cell tests with double-layer and multilayer electrolytes feature high power densities more than 1.4 W cm-2 at 850 °C and underline the high potential of MSC cells.

  12. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    Science.gov (United States)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  13. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  14. Deposition of thin layer (monoatomic layer) of barium on gold single crystal surfaces and studies of its oxidation employing X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ahmad, H.; Ahmad, R.; Khalid, M.; Alvi, R.A.

    2007-01-01

    Due to the high reactivity of barium with oxygen, some oxygen diffuse into the bulk to form bulk oxide and it is very difficult to differentiate the oxide over layer and the bulk oxide. To study the oxidation of barium surface layer, a thin layer (monolayer) of barium is developed over gold single crystal surface. Gold is selected as support because it is one of the least reactive metal in transition metal group and have very low probability of reaction with oxygen at room temperature (300K). Nitrous oxide (N/sub 2/O) was used as oxidant. Thin layer of barium was deposited on Au(100) surface. The barium coverage on gold surface was calculated that varied from 0.4 to 1.4 monolayer (ML). Photoelectron spectra for O(ls), N(ls), Ba (3d), and Au (4f) have been recorded on X-ray photoelectron spectrometer at different binding energy region specific for each element. The decomposition of nitrous oxide has been observed in all cases. It has found that nitrogen is evolved in the gaseous state and oxygen is adsorbed/chemisorbed on barium over layer. (author)

  15. Natively textured surface hydrogenated gallium-doped zinc oxide transparent conductive thin films with buffer layers for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xin-liang, E-mail: cxlruzhou@163.com; Wang, Fei; Geng, Xin-hua; Huang, Qian; Zhao, Ying; Zhang, Xiao-dan

    2013-09-02

    Natively textured surface hydrogenated gallium-doped zinc oxide (HGZO) thin films have been deposited via magnetron sputtering on glass substrates. These natively textured HGZO thin films exhibit rough pyramid-like textured surface, high optical transmittances in the visible and near infrared region and excellent electrical properties. The experiment results indicate that tungsten-doped indium oxide (In{sub 2}O{sub 3}:W, IWO) buffer layers can effectively improve the surface roughness and enhance the light scattering ability of HGZO thin films. The root-mean-square roughness of HGZO, IWO (10 nm)/HGZO and IWO (30 nm)/HGZO thin films are 28, 44 and 47 nm, respectively. The haze values at the wavelength of 550 nm increase from 7.0% of HGZO thin film without buffer layer to 18.37% of IWO (10 nm)/HGZO thin film. The optimized IWO (10 nm)/HGZO exhibits a high optical transmittance of 82.18% in the visible and near infrared region (λ ∼ 400–1100 nm) and excellent electrical properties with a relatively low sheet resistance of 3.6 Ω/□ and the resistivity of 6.21 × 10{sup −4} Ωcm. - Highlights: • Textured hydrogenated gallium-doped zinc oxide (HGZO) films were developed. • Tungsten-doped indium oxide (IWO) buffer layers were applied for the HGZO films. • Light-scattering ability of the HGZO films can be improved through buffer layers. • Low sheet resistance and high haze were obtained for the IWO(10 nm)/HGZO film. • The IWO/HGZO films are promising transparent conductive layers for solar cells.

  16. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  17. Investigation of Thin Layered Cobalt Oxide Nano-Islands on Gold

    Science.gov (United States)

    Bajdich, Michal; Walton, Alex S.; Fester, Jakob; Arman, Mohammad A.; Osiecki, Jacek; Knudsen, Jan; Vojvodic, Aleksandra; Lauritsen, Jeppe V.

    2015-03-01

    Layered cobalt oxides have been shown to be highly active catalysts for the oxygen evolution reaction (OER), but the synergistic effect of contact with gold is yet to be fully understood. The synthesis of three distinct types of thin-layered cobalt oxide nano-islands supported on a single crystal gold (111) substrate is confirmed by combination of STM and XAS methods. In this work, we present DFT+U theoretical investigation of above nano-islands using several previously known structural models. Our calculations confirm stability of two low-oxygen pressure phases: (a) rock-salt Co-O bilayer and (b) wurtzite Co-O quadlayer and single high-oxygen pressure phase: (c) O-Co-O trilayer. The optimized geometries agree with STM structures and calculated oxidation states confirm the conversion from Co2+ to Co3+ found experimentally in XAS. The O-Co-O trilayer islands have the structure of a single layer of CoOOH proposed to be the true active phase for OER catalyst. For that reason, the effect of water on the Pourbaix stabilities of basal planes and edge sites is fully investigated. Lastly, we also present the corresponding OER theoretical overpotentials.

  18. Crystalline Molybdenum Oxide Thin-Films for Application as Interfacial Layers in Optoelectronic Devices

    DEFF Research Database (Denmark)

    Fernandes Cauduro, André Luis; dos Reis, Roberto; Chen, Gong

    2017-01-01

    The ability to control the interfacial properties in metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties and thus their integration in novel optoelectronic devices. This is exemplified in photovoltaic devices based on organic, inorganic...... or hybrid technologies, where precise control of the charge transport properties through the interfacial layer is highly important for improving device performance. In this work, we study the effects of in situ annealing in nearly stoichiometric MoOx (x ∼ 3.0) thin-films deposited by reactive sputtering. We...... with structural characterizations, this work addresses a novel method for tuning, and correlating, the optoelectronic properties and microstructure of device-relevant MoOx layers....

  19. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin, E-mail: junsin@skku.edu

    2017-02-28

    Highlights: • The characteristics of thin film transistors using double active layers are examined. • Electrical characteristics have been improved for the double active layers devices. • The total trap density can be decreased by insert-ion of ultrathin ITO film. - Abstract: This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm{sup 2}/V·s) compared with the ITZO-only TFTs (∼34 cm{sup 2}/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and −2.39 V compared with 6.10 and −6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of E{sub A} were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO{sub 2} reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  1. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.

    2014-11-11

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films as the lower layer we built a matrix of bottom-gate Cu2O/SnO bilayer thin-film transistors of different thickness. We found that the thickness of the Cu2O layer is of major importance in oxidation of the SnO layer underneath. The thicker the Cu2O layer, the more the underlying SnO layer is oxidized, and, hence, the more transistor mobility is enhanced at a specific temperature. Both device performance and the annealing temperature required could be adjusted by controlling the thickness of each layer of Cu2O/SnO bilayer thin-film transistors.

  2. Double-layer indium doped zinc oxide for silicon thin-film solar cell prepared by ultrasonic spray pyrolysis

    International Nuclear Information System (INIS)

    Jiao Bao-Chen; Zhang Xiao-Dan; Wei Chang-Chun; Sun Jian; Ni Jian; Zhao Ying

    2011-01-01

    Indium doped zinc oxide (ZnO:In) thin films were prepared by ultrasonic spray pyrolysis on corning eagle 2000 glass substrate. 1 and 2 at.% indium doped single-layer ZnO:In thin films with different amounts of acetic acid added in the initial solution were fabricated. The 1 at.% indium doped single-layers have triangle grains. The 2 at.% indium doped single-layer with 0.18 acetic acid adding has the resistivity of 6.82×10 −3 Ω·cm and particle grains. The double-layers structure is designed to fabricate the ZnO:In thin film with low resistivity (2.58×10 −3 Ω·cm) and good surface morphology. It is found that the surface morphology of the double-layer ZnO:In film strongly depends on the substrate-layer, and the second-layer plays a large part in the resistivity of the double-layer ZnO:In thin film. Both total and direct transmittances of the double-layer ZnO:In film are above 80% in the visible light region. Single junction a-Si:H solar cell based on the double-layer ZnO:In as front electrode is also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Oxidation effects on the electric resistance of In and Al in thin layers

    International Nuclear Information System (INIS)

    Moncada, G.; Araya, J.; Clark, N.

    1981-01-01

    Measurements of electric resistance (R) in function of the time in evaporated samples of thin layers of In and Al trivalent elements in both vacuum and atmospheric pressure are reported. Measurements in samples at ambient and cooled with nitrogen temperatures taken place. The changes observed in R is attributed partly to changes in the sample surface produced by the oxidation. (L.C.) [pt

  4. Comparison of various methods of measuring thin oxide layers formed on molybdenum and titanium

    International Nuclear Information System (INIS)

    Lepage, F.; Bardolle, J.; Boulben, J.M.

    1975-01-01

    The problem of the growth of thin layers is very interesting from both the fundamental and technological viewpoints. This work deals with oxide films produced on two metals, molybdenum and titanium. The thicknesses obtained by various methods (microgravimetry, nuclear reactions and spectrophotometry) are compared and the advantages and disadvantages of each method are shown [fr

  5. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  6. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  7. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  8. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  9. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  10. Suppression of photo-bias induced instability for amorphous indium tungsten oxide thin film transistors with bi-layer structure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw; Chang, Chih-Hsiang; Chang, Chih-Jui [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-27

    This study investigates the instability induced by bias temperature illumination stress (NBTIS) for an amorphous indium-tungsten-oxide thin film transistor (a-IWO TFT) with SiO{sub 2} backchannel passivation layer (BPL). It is found that this electrical degradation phenomenon can be attributed to the generation of defect states during the BPL process, which deteriorates the photo-bias stability of a-IWO TFTs. A method proposed by adding an oxygen-rich a-IWO thin film upon the a-IWO active channel layer could effectively suppress the plasma damage to channel layer during BPL deposition process. The bi-layer a-IWO TFT structure with an oxygen-rich back channel exhibits superior electrical reliability of device under NBTIS.

  11. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    OpenAIRE

    Lee, Youngseok; Oh, Woongkyo; Dao, Vinh Ai; Hussain, Shahzada Qamar; Yi, Junsin

    2012-01-01

    It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT) solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO) process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0) which improves the efficie...

  12. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  13. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  14. Silver nanoparticle formation in thin oxide layer on silicon by silver-negative-ion implantation for Coulomb blockade at room temperature

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Arai, Nobutoshi; Matsumoto, Takuya; Ueno, Kazuya; Gotoh, Yasuhito; Adachi, Kouichiro; Kotaki, Hiroshi; Ishikawa, Junzo

    2004-01-01

    Formation of silver nanoparticles formed by silver negative-ion implantation in a thin SiO 2 layer and its I-V characteristics were investigated for development single electron devices. In order to obtain effective Coulomb blockade phenomenon at room temperature, the isolated metal nanoparticles should be in very small size and be formed in a thin insulator layer such as gate oxide on the silicon substrate. Therefore, conditions of a fine particles size, high particle density and narrow distribution should be controlled at their formation without any electrical breakdown of the thin insulator layer. We have used a negative-ion implantation technique with an advantage of 'charge-up free' for insulators, with which no breakdown of thin oxide layer on Si was obtained. In the I-V characteristics with Au electrode, the current steps were observed with a voltage interval of about 0.12 V. From the step voltage the corresponded capacitance was calculated to be 0.7 aF. In one nanoparticle system, this value of capacitance could be given by a nanoparticle of about 3 nm in diameter. This consideration is consistent to the measured particle size in the cross-sectional TEM observation. Therefore, the observed I-V characteristics with steps are considered to be Coulomb staircase by the Ag nanoparticles

  15. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    Science.gov (United States)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  16. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  17. Analysis of chemical dissolution of the barrier layer of porous oxide on aluminum thin films using a re-anodizing technique

    Energy Technology Data Exchange (ETDEWEB)

    Vrublevsky, I. [Department of Microelectronics, Belarusian State University of Informatics and Radioelectronics, 6 Brovka street, Minsk 220013 (Belarus)]. E-mail: nil-4-2@bsuir.edu.by; Parkoun, V. [Department of Microelectronics, Belarusian State University of Informatics and Radioelectronics, 6 Brovka street, Minsk 220013 (Belarus); Sokol, V. [Department of Microelectronics, Belarusian State University of Informatics and Radioelectronics, 6 Brovka street, Minsk 220013 (Belarus); Schreckenbach, J. [Institut fuer Chemie, Technische Universitaet Chemnitz, Chemnitz D-09107 (Germany)

    2005-09-30

    Chemical dissolution of the barrier layer of porous oxide formed on thin aluminum films (99.9% purity) in the 4% oxalic acid after immersion in 2 mol dm{sup -3} sulphuric acid at 50 deg. C has been studied. The barrier layer thickness before and after dissolution was calculated using a re-anodizing technique. It has been shown that above 57 V the change in the growth mechanism of porous alumina films takes place. As a result, the change in the amount of regions in the barrier oxide with different dissolution rates is observed. The barrier oxide contains two layers at 50 V: the outer layer with the highest dissolution rate and the inner layer with a low dissolution rate. Above 60 V the barrier oxide contains three layers: the outer layer with a high dissolution rate, the middle layer with the highest dissolution rate and the inner layer with a low dissolution rate. We suggest that the formation of the outer layer of barrier oxide with a high dissolution rate is linked with the injection of protons or H{sub 3}O{sup +} ions from the electrolyte into the oxide film at the anodizing voltages above 57 V.

  18. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  19. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  20. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    Science.gov (United States)

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  1. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  2. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  3. Functional Layer-by-Layer Thin Films of Inducible Nitric Oxide (NO) Synthase Oxygenase and Polyethylenimine: Modulation of Enzyme Loading and NO-Release Activity.

    Science.gov (United States)

    Gunasekera, Bhagya; Abou Diwan, Charbel; Altawallbeh, Ghaith; Kalil, Haitham; Maher, Shaimaa; Xu, Song; Bayachou, Mekki

    2018-03-07

    Nitric oxide (NO) release counteracts platelet aggregation and prevents the thrombosis cascade in the inner walls of blood vessels. NO-release coatings also prevent thrombus formation on the surface of blood-contacting medical devices. Our previous work has shown that inducible nitric oxide synthase (iNOS) films release NO fluxes upon enzymatic conversion of the substrate l-arginine. In this work, we report on the modulation of enzyme loading in layer-by-layer (LbL) thin films of inducible nitric oxide synthase oxygenase (iNOSoxy) on polyethylenimine (PEI). The layer of iNOSoxy is electrostatically adsorbed onto the PEI layer. The pH of the iNOSoxy solution affects the amount of enzyme adsorbed. The overall negative surface charge of iNOSoxy in solution depends on the pH and hence determines the density of adsorbed protein on the positively charged PEI layer. We used buffered iNOSoxy solutions adjusted to pHs 8.6 and 7.0, while saline PEI solution was used at pH 7.0. Atomic force microscopy imaging of the outermost layer shows higher protein adsorption with iNOSoxy at pH 8.6 than with a solution of iNOSoxy at pH 7.0. Graphite electrodes with PEI/iNOSoxy films show higher catalytic currents for nitric oxide reduction mediated by iNOSoxy. The higher enzyme loading translates into higher NO flux when the enzyme-modified surface is exposed to a solution containing the substrate and a source of electrons. Spectrophotometric assays showed higher NO fluxes with iNOSoxy/PEI films built at pH 8.6 than with films built at pH 7.0. Fourier transform infrared analysis of iNOSoxy adsorbed on PEI at pH 8.6 and 7.0 shows structural differences of iNOSoxy in films, which explains the observed changes in enzymatic activity. Our findings show that pH provides a strategy to optimize the NOS loading and enzyme activity in NOS-based LbL thin films, which enables improved NO release with minimum layers of PEI/NOS.

  4. Unidirectional oxide hetero-interface thin-film diode

    International Nuclear Information System (INIS)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee; Kim, Youn Sang

    2015-01-01

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10 5 at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10 2  Hz < f < 10 6  Hz, providing a high feasibility for practical applications

  5. Unidirectional oxide hetero-interface thin-film diode

    Energy Technology Data Exchange (ETDEWEB)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Youn Sang, E-mail: younskim@snu.ac.kr [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Advanced Institute of Convergence Technology, Gyeonggi-do 443-270 (Korea, Republic of)

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing a high feasibility for practical applications.

  6. Oxidation of scandium thin films on tungsten surface

    International Nuclear Information System (INIS)

    Gorodetskij, D.A.; Martynyuk, A.V.

    1988-01-01

    Presence of Sc on the surface of W in amounts larger than a monolayer coverage leads to a decrease of the work function at the initial oxidation stage, which is attributed to oxygen implantation into the surface layer of the metal. A subsequent oxidation is followed by the formation on the surface of a thin oxide layer and an increase of the work function. An increase of the amount of Sc deposited on the surface before the oxidation decreases the work function of the obtained oxide from 5.8 (clean W surface) down to 3.3 eV (thick Sc layer on W)

  7. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  8. Homogeneous double-layer amorphous Si-doped indium oxide thin-film transistors for control of turn-on voltage

    International Nuclear Information System (INIS)

    Kizu, Takio; Tsukagoshi, Kazuhito; Aikawa, Shinya; Nabatame, Toshihide; Fujiwara, Akihiko; Ito, Kazuhiro; Takahashi, Makoto

    2016-01-01

    We fabricated homogeneous double-layer amorphous Si-doped indium oxide (ISO) thin-film transistors (TFTs) with an insulating ISO cap layer on top of a semiconducting ISO bottom channel layer. The homogeneously stacked ISO TFT exhibited high mobility (19.6 cm"2/V s) and normally-off characteristics after annealing in air. It exhibited normally-off characteristics because the ISO insulator suppressed oxygen desorption, which suppressed the formation of oxygen vacancies (V_O) in the semiconducting ISO. Furthermore, we investigated the recovery of the double-layer ISO TFT, after a large negative shift in turn-on voltage caused by hydrogen annealing, by treating it with annealing in ozone. The recovery in turn-on voltage indicates that the dense V_O in the semiconducting ISO can be partially filled through the insulator ISO. Controlling molecule penetration in the homogeneous double layer is useful for adjusting the properties of TFTs in advanced oxide electronics.

  9. Synthesis of layered birnessite-type manganese oxide thin films on plastic substrates by chemical bath deposition for flexible transparent supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu Yu; Zhu Hongwei; Wang Jun [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2011-10-20

    Highlights: > Layered birnessite-type MnO{sub 2} thin films are fabricated on ITO/PET substrates through a facile chemical bath deposition at room temperature. > The transmittance of the MnO{sub 2} thin films at 550 nm is up to 77.4%. > MnO{sub 2} thin films exhibit a special capacitance of 229.2 F g{sup -1} and 9.2 mF cm{sup -2}. > MnO{sub 2} thin films show a capacitance retention ratio of 83% after 1000 CV cycles. > MnO{sub 2} thin film electrodes show great mechanical flexibility and electrochemical stability even after 200 tensile and compressive bending cycles. - Abstract: Layered birnessite-type manganese oxide thin films are successfully fabricated on indium tin oxide coated polyethylene terephthalate substrates for flexible transparent supercapacitors by a facile, effective and inexpensive chemical bath deposition technology from an alkaline KMnO{sub 4} aqueous solution at room temperature. The effects of deposition conditions, including KMnO{sub 4} concentration, initial molar ratio of NH{sub 3}.H{sub 2}O and KMnO{sub 4}, bath temperature, and reaction time, on the electrochemical properties of MnO{sub 2} thin films are investigated. Layered birnessite-type MnO{sub 2} thin films deposited under optimum conditions display three-dimensional porous morphology, high hydrophilicity, and a transmittance of 77.4% at 550 nm. A special capacitance of 229.2 F g{sup -1} and a capacitance retention ratio of 83% are obtained from the films after 1000 cycles at 10 mV s{sup -1} in 1 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that as-prepared MnO{sub 2} thin film electrodes possess excellent mechanical flexibility and electrochemical stability.

  10. Thin film photovoltaic devices with a minimally conductive buffer layer

    Science.gov (United States)

    Barnes, Teresa M.; Burst, James

    2016-11-15

    A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.

  11. The effect of a zinc–tin-oxide layer used as an etch-stopper layer on the bias stress stability of solution-processed indium–gallium–zinc-oxide thin-film transistors

    International Nuclear Information System (INIS)

    Kim, Chul Ho; Rim, You Seung; Kim, Hyun Jae

    2014-01-01

    We investigated the bias stress stability of solution-processed indium–gallium–zinc-oxide thin-film transistors (IGZO TFTs) using zinc–tin-oxide (ZTO) as the etch-stopper layer, the so-called dual-active-layered ZTO/IGZO TFT (DALZI TFT). The DALZI TFT can use a low-cost back-channel-etch structure because of the high chemical stability of the upper ZTO layer. The DALZI TFT exhibited only a threshold voltage shift of −1.86 V under negative bias illumination stress (NBIS) conditions (stress time = 1000 s), while the unpassivated IGZO TFT suffered from a threshold voltage shift of −19.59 V under NBIS conditions (stress time = 1000 s). The superior bias stress stability of the DALZI TFT is attributed not only to the densification effect by the multi-stacking process but also to the lower sensitivity to ambient gases (e.g., oxygen and water vapour) due to the low oxygen vacancy in the upper ZTO layer. (paper)

  12. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin films • Oxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  13. Multi-layered zinc oxide-graphene composite thin films for selective nitrogen dioxide sensing

    Science.gov (United States)

    Ghosh, A.; Bhowmick, T.; Majumder, S. B.

    2018-02-01

    In the present work, selective nitrogen dioxide (NO2) sensing characteristics of multi-layered graphene-zinc oxide (G-ZnO) thin films have been demonstrated at 150 °C. The response% of 5 ppm NO2 was measured to be 894% with response and recovery times estimated to be 150 s and 315 s, respectively. In these composite films, the interaction between graphene and zinc oxide is established through X-ray photoelectron spectroscopy in conjunction with the analyses of photoluminescence spectra. Superior NO2 sensing of these films is due to simultaneous chemiadsorption of molecular oxygen and NO2 gases onto graphene and ZnO surfaces, resulting in an appreciable increase in the depletion layer width and thereby the sensor resistance. The sensor responses for other reducing gases (viz., CO, H2, and i-C4H10) are postulated to be due to their catalytic oxidation on the sensor surface, resulting in a decrease in the sensor resistance upon gas exposure. At lower operating temperature, due to the molecular nature of the chemiadsorbed oxygen, poor catalytic oxidation leads to a far lower sensor response for reducing gases as compared to NO2. For mixed NO2 and reducing gas sensing, we have reported that fast Fourier transformation of the resistance transients of all these gases in conjunction with principal component analyses forms a reasonably distinct cluster and, therefore, could easily be differentiated.

  14. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  15. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  16. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO{sub 2}/Si interfaces with low defect densities

    Energy Technology Data Exchange (ETDEWEB)

    Stegemann, Bert, E-mail: bert.stegemann@htw-berlin.de [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Gad, Karim M. [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Balamou, Patrice [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Sixtensson, Daniel [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Vössing, Daniel; Kasemann, Martin [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Angermann, Heike [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany)

    2017-02-15

    Highlights: • Fabrication of ultrathin SiO{sub 2} tunnel layers on c-Si. • Correlation of electronic and chemical SiO{sub 2}/Si interface properties revealed by XPS/SPV. • Chemically abrupt SiO{sub 2}/Si interfaces generate less interface defect states considerable. - Abstract: Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO{sub 2}/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO{sub 2}/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO{sub 2}/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO{sub 2}/Si interfaces have been shown to generate less interface defect states.

  17. Picosecond laser registration of interference pattern by oxidation of thin Cr films

    Energy Technology Data Exchange (ETDEWEB)

    Veiko, Vadim; Yarchuk, Michail [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation); Zakoldaev, Roman, E-mail: zakoldaev@gmail.com [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation); Gedvilas, Mindaugas; Račiukaitis, Gediminas [Center for Physical Sciences and Technology, Savanoriu Ave. 231, LT-02300, Vilnius (Lithuania); Kuzivanov, Michail; Baranov, Alexander [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation)

    2017-05-15

    Highlights: • Periodical patterning of thin films was achieved by combining two technologies. • Selective chemical etching was combined with laser-induced oxidation. • Formation of the protective oxide layer prevented of chromium film from etching. • 1D binary grating with the chromium stripe width of 750 nm was fabricated. - Abstract: The laser oxidation of thin metallic films followed by its selective chemical etching is a promising method for the formation of binary metal structures on the glass substrates. It is important to confirm that even a single ultrashort laser pulse irradiation is able to create the protective oxide layer that makes possible to imprint the thermochemical image. Results of the thermo-chemical treatment of thin chromium films irradiated by picosecond laser pulse utilizing two and four beam interference combined with the chemical etching are presented. The spatial resolution of this method can be high enough due to thermo-chemical sharpening and can be close to the diffraction limit. Micro-Raman spectroscopy was applied for characterization of the chemical composition of the protective oxide layers formed under atmospheric conditions on the surface of thin chromium films.

  18. Ion beam analysis of aluminium in thin layers

    International Nuclear Information System (INIS)

    Healy, M.J.F.; Pidduck, A.J.; Dollinger, G.; Gorgens, L.; Bergmaier, A.

    2002-01-01

    This work quantifies aluminium in thin surface and near surface layers. In one example, the layer overlies a thin gallium nitride layer on an aluminium oxide substrate and in a second example the aluminium exists just below the surface of an indium arsenide substrate. The technique of non-Rutherford elastic backscattering of protons was used for the samples where aluminum in the layer of interest needed to be resolved from aluminium in the sapphire substrate and the results were corroborated at the Technische Universitaet Muenchen using heavy ion elastic recoil detection analysis. In the second example, where it was unnecessary to isolate the signal of aluminium in the layer of interest (as the substrate contained no aluminium), then the 27 Al(d,p 01 ) 28 Al nuclear reaction was used. The elastic proton scattering cross section of aluminum was found to vary very rapidly over the energy range of interest

  19. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    , ultra-thin layer of encapsulating ZnS is coated on the surface of GaSb and GaSb/InAs substrates. The 2 nm-thick ZnS film is found to provide a long-term protection against reoxidation for one order and a half longer times than prior reported passivation likely due to its amorphous structure without pinholes. Finally, a combination of binary ALD processes is developed and demonstrated for the growth of yttria-stabilized zirconia films using alkylamido-cyclopentadiengyls zirconium and tris(isopropyl-cyclopentadienyl)yttrium, as zirconium and yttrium precursors, respectively, with ozone being the oxidant. The desired cubic structure of YSZ films is apparently achieved after post-deposition annealing. Further, platinum is atomic layer deposited as electrode on YSZ (8 mol% of Yttria) within the same system. In order to control the morphology of as-deposited Pt thin structure, the nucleation behavior of Pt on amorphous and cubic YSZ is investigated. Three different morphologies of Pt are observed, including nanoparticle, porous and dense films, which are found to depend on the ALD cycle number and the structure and morphology of they underlying ALD YSZ films.

  20. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    Science.gov (United States)

    Li, Na; Chen, Fei; Shen, Qiang; Wang, Chuanbin; Zhang, Lianmeng

    2013-03-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  1. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    International Nuclear Information System (INIS)

    Li Na; Chen Fei; Shen Qiang; Wang Chuanbin; Zhang Lianmeng

    2013-01-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  2. Solid-state dewetting of single- and bilayer Au-W thin films: Unraveling the role of individual layer thickness, stacking sequence and oxidation on morphology evolution

    Directory of Open Access Journals (Sweden)

    A. Herz

    2016-03-01

    Full Text Available Self-assembly of ultrathin Au, W, and Au-W bilayer thin films is investigated using a rapid thermal annealing technique in an inert ambient. The solid-state dewetting of Au films is briefly revisited in order to emphasize the role of initial film thickness. W films deposited onto SiO2 evolve into needle-like nanocrystals rather than forming particle-like agglomerates upon annealing at elevated temperatures. Transmission electron microscopy reveals that such nanocrystals actually consist of tungsten (VI oxide (WO3 which is related to an anisotropic oxide crystal growth out of the thin film. The evolution of W films is highly sensitive to the presence of any residual oxygen. Combination of both the dewetting of Au and the oxide crystal growth of WO3 is realized by using various bilayer film configurations of the immiscible Au and W. At low temperature, Au dewetting is initiated while oxide crystal growth is still suppressed. Depending on the stacking sequence of the Au-W bilayer thin film, W acts either as a substrate or as a passivation layer for the dewetting of Au. Being the ground layer, W changes the wettability of Au which clearly modifies its initial state for the dewetting. Being the top layer, W prevents Au from dewetting regardless of Au film thickness. Moreover, regular pattern formation of Au-WO3 nanoparticles is observed at high temperature demonstrating how bilayer thin film dewetting can create unique nanostructure arrangements.

  3. Solid-state dewetting of single- and bilayer Au-W thin films: Unraveling the role of individual layer thickness, stacking sequence and oxidation on morphology evolution

    Energy Technology Data Exchange (ETDEWEB)

    Herz, A., E-mail: andreas.herz@tu-ilmenau.de, E-mail: dong.wang@tu-ilmenau.de; Franz, A.; Theska, F.; Hentschel, M.; Kups, Th.; Wang, D., E-mail: andreas.herz@tu-ilmenau.de, E-mail: dong.wang@tu-ilmenau.de; Schaaf, P. [Department of Materials for Electronics and Electrical Engineering, Institute of Materials Science and Engineering and Institute of Micro- and Nanotechnologies MacroNano, TU Ilmenau, D-98693 Ilmenau (Germany)

    2016-03-15

    Self-assembly of ultrathin Au, W, and Au-W bilayer thin films is investigated using a rapid thermal annealing technique in an inert ambient. The solid-state dewetting of Au films is briefly revisited in order to emphasize the role of initial film thickness. W films deposited onto SiO{sub 2} evolve into needle-like nanocrystals rather than forming particle-like agglomerates upon annealing at elevated temperatures. Transmission electron microscopy reveals that such nanocrystals actually consist of tungsten (VI) oxide (WO{sub 3}) which is related to an anisotropic oxide crystal growth out of the thin film. The evolution of W films is highly sensitive to the presence of any residual oxygen. Combination of both the dewetting of Au and the oxide crystal growth of WO{sub 3} is realized by using various bilayer film configurations of the immiscible Au and W. At low temperature, Au dewetting is initiated while oxide crystal growth is still suppressed. Depending on the stacking sequence of the Au-W bilayer thin film, W acts either as a substrate or as a passivation layer for the dewetting of Au. Being the ground layer, W changes the wettability of Au which clearly modifies its initial state for the dewetting. Being the top layer, W prevents Au from dewetting regardless of Au film thickness. Moreover, regular pattern formation of Au-WO{sub 3} nanoparticles is observed at high temperature demonstrating how bilayer thin film dewetting can create unique nanostructure arrangements.

  4. Monitoring the layer-by-layer self-assembly of graphene and graphene oxide by spectroscopic ellipsometry.

    Science.gov (United States)

    Zhou, Kai-Ge; Chang, Meng-Jie; Wang, Hang-Xing; Xie, Yu-Long; Zhang, Hao-Li

    2012-01-01

    Thin films of graphene oxide, graphene and copper (II) phthalocyanine dye have been successfully fabricated by electrostatic layer-by-layer (LbL) assembly approach. We present the first variable angle spectroscopic ellipsometry (VASE) investigation on these graphene-dye hybrid thin films. The thickness evaluation suggested that our LbL assembly process produces highly uniform and reproducible thin films. We demonstrate that the refractive indices of the graphene-dye thin films undergo dramatic variation in the range close to the absorption of the dyes. This investigation provides new insight to the optical properties of graphene containing thin films and shall help to establish an appropriate optical model for graphene-based hybrid materials.

  5. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  6. Ultra thin buried oxide layers formed by low dose Simox process

    Energy Technology Data Exchange (ETDEWEB)

    Aspar, B.; Pudda, C.; Papon, A.M. [CEA Centre d`Etudes de Grenoble, 38 (France). Lab. d`Electronique et d`Instrumentation; Auberton Herve, A.J.; Lamure, J.M. [SOITEC, 38 - Grenoble (France)

    1994-12-31

    Oxygen low dose implantation is studied for two implantation energies. For 190 keV, a continuous buried oxide layer is obtained with a high dislocation density in the top silicon layer due to SiO{sub 2} precipitates. For 120 keV, this silicon layer is free of SiO{sub 2} precipitate and has a low dislocation density. Low density of pin-holes is observed in the buried oxide. The influence of silicon islands in the buried oxide on the breakdown electric fields is discussed. (authors). 6 refs., 5 figs.

  7. Ultra thin buried oxide layers formed by low dose Simox process

    International Nuclear Information System (INIS)

    Aspar, B.; Pudda, C.; Papon, A.M.

    1994-01-01

    Oxygen low dose implantation is studied for two implantation energies. For 190 keV, a continuous buried oxide layer is obtained with a high dislocation density in the top silicon layer due to SiO 2 precipitates. For 120 keV, this silicon layer is free of SiO 2 precipitate and has a low dislocation density. Low density of pin-holes is observed in the buried oxide. The influence of silicon islands in the buried oxide on the breakdown electric fields is discussed. (authors). 6 refs., 5 figs

  8. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  9. Electrically conductive aluminum oxide thin film used as cobalt catalyst-support layer in vertically aligned carbon nanotube growth

    International Nuclear Information System (INIS)

    Azam, Mohd Asyadi; Ismail, Syahriza; Mohamad, Noraiham; Isomura, Kazuki; Shimoda, Tatsuya

    2015-01-01

    This paper will present the unique characteristics of aluminum oxide (Al–O) and cobalt catalyst included in aligned carbon nanotube (CNT) electrode system of energy storage device, namely electrochemical capacitor. Electrical conductivity and nanostructure of the thermally oxidized Al–O used as catalyst-support layer in vertically grown single-walled CNTs were studied. Al–O films were characterized by means of current–voltage measurement and high resolution transmission electron microscopy analysis. The Al–O support layer was found to be conductive, with a relatively low resistance and, approximately 20 nm film thickness of Al–O is suggested to be too thin to form insulating barrier. The scanning TEM—annular dark field analysis confirmed that the nanosized cobalt catalyst particles distributed on Al–O surfaces and also embedded inside the Al–O film structure. (paper)

  10. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  11. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.; Caraveo-Frescas, Jesus Alfonso; Hedhili, Mohamed N.

    2014-01-01

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films

  12. Improved ITO thin films for photovoltaic applications with a thin ZnO layer by sputtering

    International Nuclear Information System (INIS)

    Herrero, J.; Guillen, C.

    2004-01-01

    The improvement of the optical and electrical characteristics of indium tin oxide (ITO) layers is pursued to achieve a higher efficiency in its application as frontal electrical contacts in thin film photovoltaic devices. In order to take advantage of the polycrystalline structure of ZnO films as growth support, the properties of ITO layers prepared at room temperature by sputtering onto bare and ZnO-coated substrates have been analyzed using X-ray diffraction, optical and electrical measurements. It has been found that by inserting a thin ZnO layer, the ITO film resistivity can be reduced as compared to that of a single ITO film with similar optical transmittance. The electrical quality improvement is related to ITO grain growth enhancement onto the polycrystalline ZnO underlayer

  13. Selective UV–O3 treatment for indium zinc oxide thin film transistors with solution-based multiple active layer

    Science.gov (United States)

    Kim, Yu-Jung; Jeong, Jun-Kyo; Park, Jung-Hyun; Jeong, Byung-Jun; Lee, Hi-Deok; Lee, Ga-Won

    2018-06-01

    In this study, a method to control the electrical performance of solution-based indium zinc oxide (IZO) thin film transistors (TFTs) is proposed by ultraviolet–ozone (UV–O3) treatment on the selective layer during multiple IZO active layer depositions. The IZO film is composed of triple layers formed by spin coating and UV–O3 treatment only on the first layer or last layer. The IZO films are compared by X-ray photoelectron spectroscopy, and the results show that the atomic ratio of oxygen vacancy (VO) increases in the UV–O3 treatment on the first layer, while it decreases on last layer. The device characteristics of the bottom gated structure are also improved in the UV–O3 treatment on the first layer. This indicates that the selective UV–O3 treatment in a multi-stacking active layer is an effective method to optimize TFT properties by controlling the amount of VO in the IZO interface and surface independently.

  14. Two-Dimensional Layered Oxide Structures Tailored by Self-Assembled Layer Stacking via Interfacial Strain.

    Science.gov (United States)

    Zhang, Wenrui; Li, Mingtao; Chen, Aiping; Li, Leigang; Zhu, Yuanyuan; Xia, Zhenhai; Lu, Ping; Boullay, Philippe; Wu, Lijun; Zhu, Yimei; MacManus-Driscoll, Judith L; Jia, Quanxi; Zhou, Honghui; Narayan, Jagdish; Zhang, Xinghang; Wang, Haiyan

    2016-07-06

    Study of layered complex oxides emerge as one of leading topics in fundamental materials science because of the strong interplay among intrinsic charge, spin, orbital, and lattice. As a fundamental basis of heteroepitaxial thin film growth, interfacial strain can be used to design materials that exhibit new phenomena beyond their conventional forms. Here, we report a strain-driven self-assembly of bismuth-based supercell (SC) with a two-dimensional (2D) layered structure. With combined experimental analysis and first-principles calculations, we investigated the full SC structure and elucidated the fundamental growth mechanism achieved by the strain-enabled self-assembled atomic layer stacking. The unique SC structure exhibits room-temperature ferroelectricity, enhanced magnetic responses, and a distinct optical bandgap from the conventional double perovskite structure. This study reveals the important role of interfacial strain modulation and atomic rearrangement in self-assembling a layered singe-phase multiferroic thin film, which opens up a promising avenue in the search for and design of novel 2D layered complex oxides with enormous promise.

  15. Highly transparent and conductive double-layer oxide thin films as anodes for organic light-emitting diodes

    International Nuclear Information System (INIS)

    Yang Yu; Wang Lian; Yan He; Jin Shu; Marks, Tobin J.; Li Shuyou

    2006-01-01

    Double-layer transparent conducting oxide thin film structures containing In-doped CdO (CIO) and Sn-doped In 2 O 3 (ITO) layers were grown on glass by metal-organic chemical vapor deposition and ion-assisted deposition (IAD), respectively, and used as anodes for polymer light-emitting diodes (PLEDs). These films have a very low overall In content of 16 at. %. For 180-nm-thick CIO/ITO films, the sheet resistance is 5.6 Ω/□, and the average optical transmittance is 87.1% in the 400-700 nm region. The overall figure of merit (Φ=T 10 /R sheet ) of the double-layer CIO/ITO films is significantly greater than that of single-layer CIO, IAD-ITO, and commercial ITO films. CIO/ITO-based PLEDs exhibit comparable or superior device performance versus ITO-based control devices. CIO/ITO materials have a much lower sheet resistance than ITO, rendering them promising low In content electrode materials for large-area optoelectronic devices

  16. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    Science.gov (United States)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  17. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  18. Surface and sub-surface thermal oxidation of ruthenium thin films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    For next generation Extreme UV photolithography, multilayer coatings may require protective capping layers against surface contamination. Ruthenium, as a low-oxidation metal, is often used as a reference material. The oxidation behaviour of Ru thin films has been studied using X-ray reflectometry

  19. X-ray photoelectron spectroscopy/Ar+ ion profile study of thin oxide layers on InP

    International Nuclear Information System (INIS)

    Thurgate, S.M.; Erickson, N.E.

    1990-01-01

    The effect of incremental ion bombardment on the surface layers of an aqua regia etched InP sample was studied by monitoring the components of the In 3d 5/2 and O 1s x-ray photoelectron spectroscopy (XPS) lines as the sample was bombarded with low energy (1 keV) Ar + ions. The changes in the stoichiometry of the surface produced large shifts in the position of the In 3d and O 1s lines that were not paralleled by shifts in the P 2p line. Analysis of these shifts indicated that the surface was covered with a mixture of indium hydroxide and indium phosphate, with the phosphate closer to the InP substrate. It is proposed that this layer structure is due to differences in the dissolution rates of the oxidation products in the acid etch and the effect of the distilled water rinse. It may be possible to alter the composition of such oxides by carefully tailoring the etch conditions to optimize the kinetics for the particular oxide phase required. The analysis of the XPS lines also showed that the InP substrate was damaged at very low ion doses, and finally decomposed by the ion beam. When the ion ''cleaned'' sample was exposed to oxygen, a different oxide system was produced which consisted largely of In 2 O 3 and InPO 4 [or In(PO 3 ) x ]. This model of the oxidized surface of InP is consistent with other measurements and we conclude that ion milling together with XPS and careful curve fitting can be used to find the nature of the thin oxides on InP

  20. Fast light-induced reversible wettability of a zinc oxide nanorod array coated with a thin gold layer

    Science.gov (United States)

    Wei, Yuefan; Du, Hejun; Kong, Junhua; Tran, Van-Thai; Koh, Jia Kai; Zhao, Chenyang; He, Chaobin

    2017-11-01

    Zinc oxide (ZnO) has gained much attention recently due to its excellent physical and chemical properties, and has been extensively studied in energy harvesting applications such as photovoltaic and piezoelectric devices. In recent years, its reversible wettability has also attracted increasing interest. The wettability of ZnO nanostructures with various morphologies has been studied. However, to the best of our knowledge, there is still a lack of investigations on further modifications on ZnO to provide more benefits than pristine ZnO. Comprehensive studies on the reversible wettability are still needed. In this study, a ZnO nanorod array was prepared via a hydrothermal process and subsequently coated with thin gold layers with varied thickness. The morphologies and structures, optical properties and wettability were investigated. It is revealed that the ZnO-Au system possesses recoverable wettability upon switching between visible-ultraviolet light and a dark environment, which is verified by the contact angle change. The introduction of the thin gold layer to the ZnO nanorod array effectively increases the recovery rate of the wettability. The improvements are attributed to the hierarchical structures, which are formed by depositing thin gold layers onto the ZnO nanorod array, the visible light sensitivity due to the plasmonic effect of the deposited gold, as well as the fast charge-induced surface status change upon light illumination or dark storage. The improvement is beneficial to applications in environmental purification, energy harvesting, micro-lenses, and smart devices.

  1. Electrical instability of InGaZnO thin-film transistors with and without titanium sub-oxide layer under light illumination

    Science.gov (United States)

    Chiu, Y. C.; Zheng, Z. W.; Cheng, C. H.; Chen, P. C.; Yen, S. S.; Fan, C. C.; Hsu, H. H.; Kao, H. L.; Chang, C. Y.

    2017-03-01

    The electrical instability behaviors of amorphous indium-gallium-zinc oxide thin-film transistors with and without titanium sub-oxide passivation layer were investigated under light illumination in this study. For the unpassivated IGZO TFT device, in contrast with the dark case, a noticeable increase of the sub-threshold swing was observed when under the illumination environment, which can be attributed to the generation of ionized oxygen vacancies within the α-IGZO active layer by high energy photons. For the passivated TFT device, the much smaller SS of 70 mV/dec and high device mobility of >100 cm2/Vs at a drive voltage of 3 V with negligible degradation under light illumination are achieved due to the passivation effect of n-type titanium sub-oxide semiconductor, which may create potential application for high-performance display.

  2. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  3. Electronic properties of thermally formed thin iron oxide films

    International Nuclear Information System (INIS)

    Wielant, J.; Goossens, V.; Hausbrand, R.; Terryn, H.

    2007-01-01

    The oxide layer, present between an organic coating and the substrate, guarantees adhesion of the coating and plays a determinating role in the delamination rate of the organic coating. The purpose of this study is to compare the resistive and semiconducting properties of thermal oxides formed on steel in two different atmospheres at 250 deg. C: an oxygen rich atmosphere, air, and an oxygen deficient atmosphere, N 2 . In N 2 , a magnetite layer grows while in air a duplex oxide film forms composed by an inner magnetite layer and a thin outer hematite scale. The heat treatment for different amounts of time at high temperature was used as method to sample the thickness variation and change in electronic and semiconducting properties of the thermal oxide layers. Firstly, linear voltammetric measurements were performed to have a first insight in the electrochemical behavior of the thermal oxides in a borate buffer solution. Electrochemical impedance spectroscopy in the same buffer combined with the Mott-Schottky analysis were used to determine the semiconducting properties of the thermal oxides. By spectroscopic ellipsometry (SE) and atomic force microscopy (AFM), respectively, the thickness and roughness of the oxide layers were determined supporting the physical interpretation of the voltammetric and EIS data. These measurements clearly showed that oxide layers with different constitution, oxide resistance, flatband potential and doping concentration can be grown by changing the atmosphere

  4. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Science.gov (United States)

    Schaefer, Michael; Schlaf, Rudy

    2015-08-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru0) and its oxide (RuO2) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru0 and RuO2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO2 and 0.04 Å/cycle for Ru.0 An interface dipole of up to -0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO2/OH compound whose surface is saturated with hydroxyl groups.

  5. Electronic structure evolution in doping of fullerene (C{sub 60}) by ultra-thin layer molybdenum trioxide

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chenggong; Wang, Congcong; Kauppi, John [Department of Physics and Astronomy, University of Rochester, Rochester, New York 14627 (United States); Liu, Xiaoliang [Institute for Super-microstructure and Ultrafast Process in Advanced Materials (ISUPAM), Central South University, Changsha, Hunan 410083 (China); Gao, Yongli, E-mail: ygao@pas.rochester.edu [Department of Physics and Astronomy, University of Rochester, Rochester, New York 14627 (United States); Institute for Super-microstructure and Ultrafast Process in Advanced Materials (ISUPAM), Central South University, Changsha, Hunan 410083 (China)

    2015-08-28

    Ultra-thin layer molybdenum oxide doping of fullerene has been investigated using ultraviolet photoemission spectroscopy (UPS) and X-ray photoemission spectroscopy (XPS). The highest occupied molecular orbital (HOMO) can be observed directly with UPS. It is observed that the Fermi level position in fullerene is modified by ultra-thin-layer molybdenum oxide doping, and the HOMO onset is shifted to less than 1.3 eV below the Fermi level. The XPS results indicate that charge transfer was observed from the C{sub 60} to MoO{sub x} and Mo{sup 6+} oxides is the basis as hole dopants.

  6. Surface and sub-surface thermal oxidation of thin ruthenium films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Kokke, S.; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low

  7. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  8. Transparent conducting oxide layers for thin film silicon solar cells

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y.; de Jong, M.M.; de Wild, J.; Schuttauf, J.A.; Brinza, M.; Schropp, R.E.I.

    2009-01-01

    Texture etching of ZnO:1%Al layers using diluted HCl solution provides excellent TCOs with crater type surface features for the front contact of superstrate type of thin film silicon solar cells. The texture etched ZnO:Al definitely gives superior performance than Asahi SnO2:F TCO in case of

  9. Thin pentacene layer under pressure

    International Nuclear Information System (INIS)

    Srnanek, R.; Jakabovic, J.; Kovac, J.; Donoval, D.; Dobrocka, E.

    2011-01-01

    Organic semiconductors have got a lot of interest during the last years, due to their usability for organic thin film transistor. Pentacene, C 22 H 14 , is one of leading candidates for this purpose. While we obtain the published data about pressure-induced phase transition only on single crystal of pentacene we present pressure-induced phase transition in pentacene thin layers for the first time. Changes in the pentacene structure, caused by the pressure, were detected by micro-Raman spectroscopy. Applying the defined pressure to the pentacene layer it can be transformed from thin phase to bulk phase. Micro-Raman spectroscopy was found as useful method for detection of changes and phases identification in the pentacene layer induced by mechanical pressure. Such a pressure-induced transformation of pentacene thin layers was observed and identified for the first time. (authors)

  10. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  11. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  12. Recent Advances in Gas Barrier Thin Films via Layer-by-Layer Assembly of Polymers and Platelets.

    Science.gov (United States)

    Priolo, Morgan A; Holder, Kevin M; Guin, Tyler; Grunlan, Jaime C

    2015-05-01

    Layer-by-layer (LbL) assembly has emerged as the leading non-vacuum technology for the fabrication of transparent, super gas barrier films. The super gas barrier performance of LbL deposited films has been demonstrated in numerous studies, with a variety of polyelectrolytes, to rival that of metal and metal oxide-based barrier films. This Feature Article is a mini-review of LbL-based multilayer thin films with a 'nanobrick wall' microstructure comprising polymeric mortar and nano-platelet bricks that impart high gas barrier to otherwise permeable polymer substrates. These transparent, water-based thin films exhibit oxygen transmission rates below 5 × 10(-3) cm(3) m(-2) day(-1) atm(-1) and lower permeability than any other barrier material reported. In an effort to put this technology in the proper context, incumbent technologies such as metallized plastics, metal oxides, and flake-filled polymers are briefly reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  14. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  15. Air-Impregnated Nanoporous Anodic Aluminum Oxide Layers for Enhancing the Corrosion Resistance of Aluminum.

    Science.gov (United States)

    Jeong, Chanyoung; Lee, Junghoon; Sheppard, Keith; Choi, Chang-Hwan

    2015-10-13

    Nanoporous anodic aluminum oxide layers were fabricated on aluminum substrates with systematically varied pore diameters (20-80 nm) and oxide thicknesses (150-500 nm) by controlling the anodizing voltage and time and subsequent pore-widening process conditions. The porous nanostructures were then coated with a thin (only a couple of nanometers thick) Teflon film to make the surface hydrophobic and trap air in the pores. The corrosion resistance of the aluminum substrate was evaluated by a potentiodynamic polarization measurement in 3.5 wt % NaCl solution (saltwater). Results showed that the hydrophobic nanoporous anodic aluminum oxide layer significantly enhanced the corrosion resistance of the aluminum substrate compared to a hydrophilic oxide layer of the same nanostructures, to bare (nonanodized) aluminum with only a natural oxide layer on top, and to the latter coated with a thin Teflon film. The hydrophobic nanoporous anodic aluminum oxide layer with the largest pore diameter and the thickest oxide layer (i.e., the maximized air fraction) resulted in the best corrosion resistance with a corrosion inhibition efficiency of up to 99% for up to 7 days. The results demonstrate that the air impregnating the hydrophobic nanopores can effectively inhibit the penetration of corrosive media into the pores, leading to a significant improvement in corrosion resistance.

  16. Optimization of synthesis protocols to control the nanostructure and the morphology of metal oxide thin films for memristive applications

    Energy Technology Data Exchange (ETDEWEB)

    Baldi, G., E-mail: giacomo.baldi@cnr.it; Bosi, M.; Attolini, G.; Berzina, T.; Mosca, R.; Ponraj, J. S.; Iannotta, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, I-43124 Parma (Italy); Giusti, G.; Nozar, P.; Toccoli, T.; Verucchi, R. [IMEM-CNR Institute, Via alla Cascata 56/C, Povo – I-38123 Trento (Italy); Collini, C.; Lorenzelli, L. [FBK Bruno Kessler Foundation, Via Sommarive 18, I-38123 Trento (Italy)

    2015-03-10

    We propose a multi-technique approach based on in-vacuum synthesis of metal oxides to optimize the memristive properties of devices that use a metal oxide thin film as insulating layer. Pulsed Microplasma Cluster Source (PMCS) is based on supersonic beams seeded by clusters of the metal oxide. Nanocrystalline TiO{sub 2} thin films can be grown at room temperature, controlling the oxide stoichiometry from titanium metal up to a significant oxygen excess. Pulsed Electron beam Deposition (PED) is suitable to grow crystalline thin films on large areas, a step towards producing device arrays with controlled morphology and stoichiometry. Atomic Layer Deposition (ALD) is a powerful technique to grow materials layer-by-layer, finely controlling the chemical and structural properties of the film up to thickness of 50-80 nm. We will present a few examples of metal-insulator-metal structures showing a pinched hysteresis loop in their current-voltage characteristic. The structure, stoichiometry and morphology of the metal oxide layer, either aluminum oxide or titanium dioxide, is investigated by means of scanning electron microscopy (SEM) and by Raman scattering.

  17. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Michael, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2015-08-14

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru{sup 0}) and its oxide (RuO{sub 2}) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru{sup 0} and RuO{sub 2} films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO{sub 2} and 0.04 Å/cycle for Ru.{sup 0} An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO{sub 2}/OH compound whose surface is saturated with hydroxyl groups.

  18. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    International Nuclear Information System (INIS)

    Schaefer, Michael; Schlaf, Rudy

    2015-01-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru 0 ) and its oxide (RuO 2 ) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru 0 and RuO 2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO 2 and 0.04 Å/cycle for Ru. 0 An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO 2 /OH compound whose surface is saturated with hydroxyl groups

  19. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  20. Fabrication of Au/graphene oxide/Ag sandwich structure thin film and its tunable energetics and tailorable optical properties

    Directory of Open Access Journals (Sweden)

    Ruijin Hong

    2017-01-01

    Full Text Available Au/graphene oxide/Ag sandwich structure thin film was fabricated. The effects of graphene oxide (GO and bimetal on the structure and optical properties of metal silver films were investigated by X-ray diffraction (XRD, optical absorption, and Raman intensity measurements, respectively. Compared to silver thin film, Au/graphene oxide/Ag sandwich structure composite thin films were observed with wider optical absorption peak and enhanced absorption intensity. The Raman signal for Rhodamine B molecules based on the Au/graphene oxide/Ag sandwich nanostructure substrate were obviously enhanced due to the bimetal layer and GO layer with tunable absorption intensity and fluorescence quenching effects.

  1. PREFACE: INERA Workshop: Transition Metal Oxide Thin Films-functional Layers in "Smart windows" and Water Splitting Devices. Parallel session of the 18th International School on Condensed Matter Physics

    Science.gov (United States)

    2014-11-01

    The Special issue presents the papers for the INERA Workshop entitled "Transition Metal Oxides as Functional Layers in Smart windows and Water Splitting Devices", which was held in Varna, St. Konstantin and Elena, Bulgaria, from the 4th-6th September 2014. The Workshop is organized within the context of the INERA "Research and Innovation Capacity Strengthening of ISSP-BAS in Multifunctional Nanostructures", FP7 Project REGPOT 316309 program, European project of the Institute of Solid State Physics at the Bulgarian Academy of Sciences. There were 42 participants at the workshop, 16 from Sweden, Germany, Romania and Hungary, 11 invited lecturers, and 28 young participants. There were researchers present from prestigious European laboratories which are leaders in the field of transition metal oxide thin film technologies. The event contributed to training young researchers in innovative thin film technologies, as well as thin films characterization techniques. The topics of the Workshop cover the field of technology and investigation of thin oxide films as functional layers in "Smart windows" and "Water splitting" devices. The topics are related to the application of novel technologies for the preparation of transition metal oxide films and the modification of chromogenic properties towards the improvement of electrochromic and termochromic device parameters for possible industrial deployment. The Workshop addressed the following topics: Metal oxide films-functional layers in energy efficient devices; Photocatalysts and chemical sensing; Novel thin film technologies and applications; Methods of thin films characterizations; From the 37 abstracts sent, 21 manuscripts were written and later refereed. We appreciate the comments from all the referees, and we are grateful for their valuable contributions. Guest Editors: Assoc. Prof. Dr.Tatyana Ivanova Prof. DSc Kostadinka Gesheva Prof. DSc Hassan Chamatti Assoc. Prof. Dr. Georgi Popkirov Workshop Organizing Committee Prof

  2. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  3. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  4. Correlation between active layer thickness and ambient gas stability in IGZO thin-film transistors

    International Nuclear Information System (INIS)

    Gao, Xu; Mao, Bao-Hua; Wang, Sui-Dong; Lin, Meng-Fang; Shimizu, Maki; Mitoma, Nobuhiko; Kizu, Takio; Ou-Yang, Wei; Tsukagoshi, Kazuhito; Nabatame, Toshihide; Liu, Zhi

    2017-01-01

    Decreasing the active layer thickness has been recently reported as an alternative way to achieve fully depleted oxide thin-film transistors for the realization of low-voltage operations. However, the correlation between the active layer thickness and device resistivity to environmental changes is still unclear, which is important for the optimized design of oxide thin-film transistors. In this work, the ambient gas stability of IGZO thin-film transistors is found to be strongly correlated to the IGZO thickness. The TFT with the thinnest IGZO layer shows the highest intrinsic electron mobility in a vacuum, which is greatly reduced after exposure to O 2 /air. The device with a thick IGZO layer shows similar electron mobility in O 2 /air, whereas the mobility variation measured in the vacuum is absent. The thickness dependent ambient gas stability is attributed to a high-mobility region in the IGZO surface vicinity with less sputtering-induced damage, which will become electron depleted in O 2 /air due to the electron transfer to adsorbed gas molecules. The O 2 adsorption and deduced IGZO surface band bending is demonstrated by the ambient-pressure x-ray photoemission spectroscopy results. (paper)

  5. Analysis of chemical bond states and electrical properties of stacked AlON/HfO{sub 2} gate oxides formed by using a layer-by-layer technique

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Wonjoon; Lee, Jonghyun; Yang, Jungyup; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh; Byun, Byungsub; Kim, Moseok [Hanyang University, Seoul (Korea, Republic of)

    2006-06-15

    Stacked AlON/HfO{sub 2} thin films for gate oxides in metal-oxide-semiconductor devices are successfully prepared on Si substrates by utilizing a layer-by-layer technique integrated with an off-axis RF remote plasma sputtering process at room temperature. This off-axis structure is designed to improve the uniformity and the quality of gate oxide films. Also, a layer-by-layer technique is used to control the interface layer between the gate oxide and the Si substrate. The electrical properties of our stacked films are characterized by using capacitance versus voltage and leakage current versus voltage measurements. The stacked AlON/HfO{sub 2} gate oxide exhibits a low leakage current of about 10{sup -6} A/cm{sup 2} and a high dielectric constant value of 14.26 by effectively suppressing the interface layer between gate oxide and Si substrate. In addition, the chemical bond states and the optimum thickness of each AlON and HfO{sub 2} thin film are analyzed using X-ray photoemission spectroscopy and transmission electron microscopy measurement.

  6. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    Science.gov (United States)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  7. Photochemical preparation of aluminium oxide layers via vacuum ultraviolet irradiation of a polymeric hexanoato aluminium complex

    International Nuclear Information System (INIS)

    Wennrich, L.; Khalil, H.; Bundesmann, C.; Decker, U.; Gerlach, J.W.; Helmstedt, U.; Manova, D.; Naumov, S.; Prager, L.

    2013-01-01

    By means of photochemical conversion of thin layers of a polymeric hexanoato aluminium complex as the precursor, thin aluminium oxide layers were prepared onto silicon wafers. The precursor compound was synthesized and characterized by several analytical techniques like NMR, FTIR, XPS, ICP, and found to be a polymeric aluminium-containing coordination compound which has been proposed to be a hydroxo-bridged aluminium chain with pendant hexanoyl side-chains ascertained as catena-poly[{di(κ-O,O-hexanoato)aluminium}(μ-hydroxo)] (PHAH). Thin layers deposited from a solution of PHAH in toluene onto silicon wafers were irradiated using VUV radiation from a xenon excimer lamp. The layers were characterized by XPS, XRD, XRR, and spectroscopic ellipsometry. VUV radiation with a radiant exposure of E = 36 J cm −2 led to almost carbon-free amorphous layers with a composition close to that of alumina having a density of about 2.1 g cm −3 . Thus, using the example of a polymeric aluminium complex, the potential of the photochemical conversion of metal complexes into oxides could be shown as an alternative method, in addition to sol–gel techniques, for the generation of thin plane metal-oxide layers at normal temperature and pressure. Highlights: ► A polymeric aluminium complex was synthesized and characterized by NMR, FTIR, XPS and ICP. ► Thin layers of the compound were irradiated using vacuum-UV radiation and converted to AlO x . ► Quantum-chemical calculations explain the conversion mechanism.

  8. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  9. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  10. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  11. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  12. Inverted bulk-heterojunction organic solar cell using chemical bath deposited titanium oxide as electron collection layer

    OpenAIRE

    Kuwabara, Takayuki; Sugiyama, Hirokazu; Kuzuba, Mitsuhiro  ; Yamaguchi, Takahiro; Takahashi, Kohshin

    2010-01-01

    Chemical bath deposited titanium oxide (TiOx ) as an electron collection layer is introduced between the organic layer and the indium tin oxide (ITO) electrode for improving the performance of inverted bulk-heterojunction organic thin film solar cells with 1 cm2 active area, where regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61 butyric acid methyl ester (PCBM) were mainly used as the photo-active layer. The uniform and thin TiOx film was easily prepared onto the ITO electrode ...

  13. Preparation of thin layer materials with macroporous microstructure for SOFC applications

    International Nuclear Information System (INIS)

    Marrero-Lopez, D.; Ruiz-Morales, J.C.; Pena-Martinez, J.; Canales-Vazquez, J.; Nunez, P.

    2008-01-01

    A facile and versatile method using polymethyl methacrylate (PMMA) microspheres as pore formers has been developed to prepare thin layer oxide materials with controlled macroporous microstructure. Several mixed oxides with fluorite and perovskite-type structures, i.e. doped zirconia, ceria, ferrites, manganites, and NiO-YSZ composites have been prepared and characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), nitrogen adsorption and mercury porosimetry. The synthesised materials are nanocrystalline and present a homogeneous pore distribution and relatively high specific surface area, which makes them interesting for SOFC and catalysis applications in the intermediate temperature range. - Graphical abstract: Thin films materials of mixed oxides with potential application in SOFC devices have been prepared with macroporous microstructure using PMMA microspheres as pore formers. Display Omitted

  14. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  15. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  16. Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Aronniemi, Mikko; Saino, J.; Lahtinen, J.

    2008-01-01

    In this work we investigate an iron oxide thin film grown with atomic layer deposition for a gas sensor application. The objective is to characterize the structural, chemical, and electrical properties of the film, and to demonstrate its gas-sensitivity. The obtained scanning electron microscopy and atomic force microscopy results indicate that the film has a granular structure and that it has grown mainly on the glass substrate leaving the platinum electrodes uncovered. X-ray diffraction results show that iron oxide is in the α-Fe 2 O 3 (hematite) phase. X-ray photoelectron spectra recorded at elevated temperature imply that the surface iron is mainly in the Fe 3+ state and that oxygen has two chemical states: one corresponding to the lattice oxygen and the other to adsorbed oxygen species. Electric conductivity has an activation energy of 0.3-0.5 eV and almost Ohmic current-voltage dependency. When exposed to O 2 and CO, a typical n-type response is observed

  17. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    Science.gov (United States)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  18. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  19. Development of examination technique for oxide layer thickness measurement of irradiated fuel rods

    International Nuclear Information System (INIS)

    Koo, D. S.; Park, S. W.; Kim, J. H.; Seo, H. S.; Min, D. K.; Kim, E. K.; Chun, Y. B.; Bang, K. S.

    1999-06-01

    Technique for oxide layer thickness measurement of irradiated fuel rods was developed to measure oxide layer thickness and study characteristic of fuel rods. Oxide layer thickness of irradiated fuels were measured, analyzed. Outer oxide layer thickness of 3 cycle-irradiated fuel rods were 20 - 30 μm, inner oxide layer thickness 0 - 10 μm and inner oxide layer thickness on cracked cladding about 30 μm. Oxide layer thickness of 4 cycle-irradiated fuel rods were about 2 times as thick as those of 1 cycle-irradiated fuel rods. Oxide layer on lower region of irradiated fuel rods was thin and oxide layer from lower region to upper region indicated gradual increase in thickness. Oxide layer thickness from 2500 to 3000 mm showed maximum and oxide layer thickness from 3000 to top region of irradiated fuel rods showed decreasing trend. Inner oxide layer thicknesses of 4 cycle-irradiated fuel rod were about 8 μm at 750 - 3500 mm from the bottom end of fuel rod. Outer oxide layer thickness were about 8 μm at 750 - 1000 mm from the bottom end of fuel rod. These indicated gradual increase up to upper region from the bottom end of fuel rod. These indicated gradual increase up to upper region from the bottom end of fuel. Oxide layer thickness technique will apply safety evaluation and study of reactor fuels. (author). 6 refs., 14 figs

  20. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  1. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  2. Oriented growth of thin films of samarium oxide by MOCVD

    Indian Academy of Sciences (India)

    Unknown

    Very thin layers of rare earth oxides, such as Sm2O3 and epitaxial Gd2O3, grown by thermal ... As the inorganic salts of the lanthanides, such as their halides, are ... sodium hydroxide, followed by the addition of ethanolic. 1,10-phenanthroline ...

  3. High temperature oxidation of thin FeCrAl strips

    International Nuclear Information System (INIS)

    Andrieu, E.; Germidis, A.; Molins, R.

    1997-01-01

    This study concerns the oxidation behaviour between 850 and 1100 C of FeCrAl thin strips. Oxidation kinetics have been continuously recorded on a thermobalance as well as discontinuously in an ''industrial'' furnace. Detailed observations of oxide layers have been performed in transmission electron microscopy on oxidized thin foil cross-sections. Oxide morphologies are correlated with kinetics: Slow kinetics and columnar α alumina grains above 950 C, fast kinetics and transition alumina platelets (γ-alumina) at 850 C and 900 C, followed by small α-alumina grains formation underneath. The weight gains in the industrial furnace displayed significant scatter and were generally greater than those measured in the thermobalance. The effect of extrinsic factors such as specimen size and shape, atmosphere, air flow conditions on the early formation of transition aluminas explains the observed differences. It appears then that in given cases parabolic constant identification from TGA recordings is difficult, or even impossible. This might contribute to explain the differences in the results presented in the literature. (orig.)

  4. Corrosion of pure magnesium under thin electrolyte layers

    International Nuclear Information System (INIS)

    Zhang Tao; Chen Chongmu; Shao Yawei; Meng Guozhe; Wang Fuhui; Li Xiaogang; Dong Chaofang

    2008-01-01

    The corrosion behavior of pure magnesium was investigated by means of cathodic polarization curve, electrochemical impedance spectroscopy (EIS) and electrochemical noise (EN) under aerated and deaerated thin electrolyte layers (TEL) with various thicknesses. Based on shot noise theory and stochastic theory, the EN results were quantitatively analyzed by using the Weibull and Gumbel distribution function, respectively. The results show that the cathodic process of pure magnesium under thin electrolyte layer was dominated by hydrogen reduction. With the decreasing of thin electrolyte layer thickness, cathodic process was retarded slightly while the anodic process was inhibited significantly, which indicated that both the cathodic and anodic process were inhibited in the presence of oxygen. The absence of oxygen decreased the corrosion resistance of pure magnesium in case of thin electrolyte layer. The corrosion was more localized under thin electrolyte layer than that in bulk solution. The results also demonstrate that there exist two kinds of effects for thin electrolyte layer on the corrosion behavior of pure magnesium: (1) the rate of pit initiation was evidently retarded compared to that in bulk solution; (2) the probability of pit growth oppositely increased. The corrosion model of pure magnesium under thin electrolyte layer was suggested in the paper

  5. Suppression of Magnetoresistance in Thin WTe2 Flakes by Surface Oxidation.

    Science.gov (United States)

    Woods, John M; Shen, Jie; Kumaravadivel, Piranavan; Pang, Yuan; Xie, Yujun; Pan, Grace A; Li, Min; Altman, Eric I; Lu, Li; Cha, Judy J

    2017-07-12

    Recent renewed interest in layered transition metal dichalcogenides stems from the exotic electronic phases predicted and observed in the single- and few-layer limit. Realizing these electronic phases requires preserving the desired transport properties down to a monolayer, which is challenging. Surface oxides are known to impart Fermi level pinning or degrade the mobility on a number of different systems, including transition metal dichalcogenides and black phosphorus. Semimetallic WTe 2 exhibits large magnetoresistance due to electron-hole compensation; thus, Fermi level pinning in thin WTe 2 flakes could break the electron-hole balance and suppress the large magnetoresistance. We show that WTe 2 develops an ∼2 nm thick amorphous surface oxide, which shifts the Fermi level by ∼300 meV at the WTe 2 surface. We also observe a dramatic suppression of the magnetoresistance for thin flakes. However, due to the semimetallic nature of WTe 2 , the effects of Fermi level pinning are well screened and are not the dominant cause for the suppression of magnetoresistance, supported by fitting a two-band model to the transport data, which showed the electron and hole carrier densities are balanced down to ∼13 nm. However, the fitting shows a significant decrease of the mobilities of both electrons and holes. We attribute this to the disorder introduced by the amorphous surface oxide layer. Thus, the decrease of mobility is the dominant factor in the suppression of magnetoresistance for thin WTe 2 flakes. Our study highlights the critical need to investigate often unanticipated and sometimes unavoidable extrinsic surface effects on the transport properties of layered dichalcogenides and other 2D materials.

  6. Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process

    International Nuclear Information System (INIS)

    Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee

    2004-01-01

    We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions

  7. Controlling the interface charge density in GaN-based metal-oxide-semiconductor heterostructures by plasma oxidation of metal layers

    International Nuclear Information System (INIS)

    Hahn, Herwig; Kalisch, Holger; Vescan, Andrei; Pécz, Béla; Kovács, András; Heuken, Michael

    2015-01-01

    In recent years, investigating and engineering the oxide-semiconductor interface in GaN-based devices has come into focus. This has been driven by a large effort to increase the gate robustness and to obtain enhancement mode transistors. Since it has been shown that deep interface states act as fixed interface charge in the typical transistor operating regime, it appears desirable to intentionally incorporate negative interface charge, and thus, to allow for a positive shift in threshold voltage of transistors to realise enhancement mode behaviour. A rather new approach to obtain such negative charge is the plasma-oxidation of thin metal layers. In this study, we present transmission electron microscopy and energy dispersive X-ray spectroscopy analysis as well as electrical data for Al-, Ti-, and Zr-based thin oxide films on a GaN-based heterostructure. It is shown that the plasma-oxidised layers have a polycrystalline morphology. An interfacial amorphous oxide layer is only detectable in the case of Zr. In addition, all films exhibit net negative charge with varying densities. The Zr layer is providing a negative interface charge density of more than 1 × 10 13  cm –2 allowing to considerably shift the threshold voltage to more positive values

  8. Atomic layer-by-layer oxidation of Ge (100) and (111) surfaces by plasma post oxidation of Al2O3/Ge structures

    International Nuclear Information System (INIS)

    Zhang, Rui; Huang, Po-Chin; Lin, Ju-Chin; Takenaka, Mitsuru; Takagi, Shinichi

    2013-01-01

    The ultrathin GeO x /Ge interfaces formed on Ge (100) and (111) surfaces by applying plasma post oxidation to thin Al 2 O 3 /Ge structures are characterized in detail using X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy. It is found that the XPS signals assigned to Ge 1+ and the 2+ states in the GeO x layers by post plasma oxidation have oscillating behaviors on Ge (100) surfaces in a period of ∼0.3 nm with an increase in the GeO x thickness. Additionally, the oscillations of the signals assigned to Ge 1+ and 2+ states show opposite phase to each other. The similar oscillation behaviors are also confirmed on Ge (111) surfaces for Ge 1+ and 3+ states in a period of ∼0.5 nm. These phenomena can be strongly regarded as an evidence of the atomic layer-by-layer oxidation of GeO x /Ge interfaces on Ge (100) and (111) surfaces.

  9. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  10. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  11. Thermal oxidation of Zr–Cu–Al–Ni amorphous metal thin films

    International Nuclear Information System (INIS)

    Oleksak, R.P.; Hostetler, E.B.; Flynn, B.T.; McGlone, J.M.; Landau, N.P.; Wager, J.F.; Stickle, W.F.; Herman, G.S.

    2015-01-01

    The initial stages of thermal oxidation for Zr–Cu–Al–Ni amorphous metal thin films were investigated using X-ray photoelectron spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. The as-deposited films had oxygen incorporated during sputter deposition, which helped to stabilize the amorphous phase. After annealing in air at 300 °C for short times (5 min) this oxygen was found to segregate to the surface or buried interface. Annealing at 300 °C for longer times leads to significant composition variation in both vertical and lateral directions, and formation of a surface oxide layer that consists primarily of Zr and Al oxides. Surface oxide formation was initially limited by back-diffusion of Cu and Ni ( 30 min). The oxidation properties are largely consistent with previous observations of Zr–Cu–Al–Ni metallic glasses, however some discrepancies were observed which could be explained by the unique sample geometry of the amorphous metal thin films. - Highlights: • Thermal oxidation of amorphous Zr–Cu–Al–Ni thin films was investigated. • Significant short-range inhomogeneities were observed in the amorphous films. • An accumulation of Cu and Ni occurs at the oxide/metal interface. • Diffusion of Zr was found to limit oxide film growth.

  12. Effect of the number of iron oxide nanoparticle layers on the magnetic properties of nanocomposite LbL assemblies

    International Nuclear Information System (INIS)

    Dincer, Ilker; Tozkoparan, Onur; German, Sergey V.; Markin, Alexey V.; Yildirim, Oguz; Khomutov, Gennady B.; Gorin, Dmitry A.; Venig, Sergey B.; Elerman, Yalcin

    2012-01-01

    Aqueous colloidal suspension of iron oxide nanoparticles has been synthesized. Z-potential of iron oxide nanoparticles stabilized by citric acid was −35±3 mV. Iron oxide nanoparticles have been characterized by the light scattering method and transmission electron microscopy. The polyelectrolyte/iron oxide nanoparticle thin films with different numbers of iron oxide nanoparticle layers have been prepared on the surface of silicon substrates via the layer-by-layer assembly technique. The physical properties and chemical composition of nanocomposite thin films have been studied by atomic force microscopy, magnetic force microscopy, magnetization measurements, Raman spectroscopy. Using the analysis of experimental data it was established, that the magnetic properties of nanocomposite films depended on the number of iron oxide nanoparticle layers, the size of iron oxide nanoparticle aggregates, the distance between aggregates, and the chemical composition of iron oxide nanoparticles embedded into the nanocomposite films. The magnetic permeability of nanocomposite coatings has been calculated. The magnetic permeability values depend on the number of iron oxide nanoparticle layers in nanocomposite film. - Highlights: ► The magnetic properties of nanocomposite films depended on the number of iron oxide nanoparticle layers. ► The iron oxide nanoparticle phase in nanocomposite coatings is a mixture of magnetite and maghemite phases. ► The magnetite and maghemite phases depend on a number of iron oxide nanoparticle layers because the iron oxide nanoparticles are oxidized from magnetite to maghemite.

  13. Paraffin wax passivation layer improvements in electrical characteristics of bottom gate amorphous indium–gallium–zinc oxide thin-film transistors

    International Nuclear Information System (INIS)

    Chang, Geng-Wei; Chang, Ting-Chang; Syu, Yong-En; Tsai, Tsung-Ming; Chang, Kuan-Chang; Tu, Chun-Hao; Jian, Fu-Yen; Hung, Ya-Chi; Tai, Ya-Hsiang

    2011-01-01

    In this research, paraffin wax is employed as the passivation layer of the bottom gate amorphous indium–gallium–zinc oxide thin-film transistors (a-IGZO TFTs), and it is formed by sol–gel process in the atmosphere. The high yield and low cost passivation layer of sol–gel process technology has attracted much attention for current flat-panel-display manufacturing. Comparing with passivation-free a-IGZO TFTs, passivated devices exhibit a superior stability against positive gate bias stress in different ambient gas, demonstrating that paraffin wax shows gas-resisting characteristics for a-IGZO TFTs application. Furthermore, light-induced stretch-out phenomenon for paraffin wax passivated device is suppressed. This superior stability of the passivated device was attributed to the reduced total density of states (DOS) including the interfacial and semiconductor bulk trap densities.

  14. Improved performance of LaNi0.6Fe0.4O3 solid oxide fuel cell cathode by application of a thin interface cathode functional layer

    DEFF Research Database (Denmark)

    Molin, Sebastian; Jasinski, Piotr Z.

    2017-01-01

    In this work, novel functional layers were prepared by a low temperature spray pyrolysis method on the oxygen side of the solid oxide cells. Thin layers of Ce0.8Gd0.2O2 and LaNi0.6Fe0.4O3 are prepared between the electrolyte and the porous oxygen electrode. Additionally the influence of the sprayed...... ceria barrier layer on the zirconia based electrolyte with the new layers is evaluated. Impedance spectroscopy results show improvement in contact between the electrolyte and the porous cathode electrode. Additionally, electrochemical performance of the cathode is improved, as evidenced by a lowered...

  15. Au Nanoparticle Sub-Monolayers Sandwiched between Sol-Gel Oxide Thin Films

    Science.gov (United States)

    Della Gaspera, Enrico; Menin, Enrico; Sada, Cinzia

    2018-01-01

    Sub-monolayers of monodisperse Au colloids with different surface coverage have been embedded in between two different metal oxide thin films, combining sol-gel depositions and proper substrates functionalization processes. The synthetized films were TiO2, ZnO, and NiO. X-ray diffraction shows the crystallinity of all the oxides and verifies the nominal surface coverage of Au colloids. The surface plasmon resonance (SPR) of the metal nanoparticles is affected by both bottom and top oxides: in fact, the SPR peak of Au that is sandwiched between two different oxides is centered between the SPR frequencies of Au sub-monolayers covered with only one oxide, suggesting that Au colloids effectively lay in between the two oxide layers. The desired organization of Au nanoparticles and the morphological structure of the prepared multi-layered structures has been confirmed by Rutherford backscattering spectrometry (RBS), Secondary Ion Mass Spectrometry (SIMS), and Scanning Electron Microscopy (SEM) analyses that show a high quality sandwich structure. The multi-layered structures have been also tested as optical gas sensors. PMID:29538338

  16. Electrochromic Devices Based on Porous Tungsten Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Y. Djaoued

    2012-01-01

    Full Text Available Recent developments in the synthesis of transition metal oxides in the form of porous thin films have opened up opportunities in the construction of electrochromic devices with enhanced properties. In this paper, synthesis, characterization and electrochromic applications of porous WO3 thin films with different nanocrystalline phases, such as hexagonal, monoclinic, and orthorhombic, are presented. Asymmetric electrochromic devices have been constructed based on these porous WO3 thin films. XRD measurements of the intercalation/deintercalation of Li+ into/from the WO3 layer of the device as a function of applied coloration/bleaching voltages show systematic changes in the lattice parameters associated with structural phase transitions in LixWO3. Micro-Raman studies show systematic crystalline phase changes in the spectra of WO3 layers during Li+ ion intercalation and deintercalation, which agree with the XRD data. These devices exhibit interesting optical modulation (up to ~70% due to intercalation/deintercalation of Li ions into/from the WO3 layer of the devices as a function of applied coloration/bleaching voltages. The obtained optical modulation of the electrochromic devices indicates that, they are suitable for applications in electrochromic smart windows.

  17. Thin-film encapsulation of organic electronic devices based on vacuum evaporated lithium fluoride as protective buffer layer

    Science.gov (United States)

    Peng, Yingquan; Ding, Sihan; Wen, Zhanwei; Xu, Sunan; Lv, Wenli; Xu, Ziqiang; Yang, Yuhuan; Wang, Ying; Wei, Yi; Tang, Ying

    2017-03-01

    Encapsulation is indispensable for organic thin-film electronic devices to ensure reliable operation and long-term stability. For thin-film encapsulating organic electronic devices, insulating polymers and inorganic metal oxides thin films are widely used. However, spin-coating of insulating polymers directly on organic electronic devices may destroy or introduce unwanted impurities in the underlying organic active layers. And also, sputtering of inorganic metal oxides may damage the underlying organic semiconductors. Here, we demonstrated that by utilizing vacuum evaporated lithium fluoride (LiF) as protective buffer layer, spin-coated insulating polymer polyvinyl alcohol (PVA), and sputtered inorganic material Er2O3, can be successfully applied for thin film encapsulation of copper phthalocyanine (CuPc)-based organic diodes. By encapsulating with LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films, the device lifetime improvements of 10 and 15 times can be achieved. These methods should be applicable for thin-film encapsulation of all kinds of organic electronic devices. Moisture-induced hole trapping, and Al top electrode oxidation are suggest to be the origins of current decay for the LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films encapsulated devices, respectively.

  18. Structural and optical properties of electrodeposited molybdenum oxide thin films

    International Nuclear Information System (INIS)

    Patil, R.S.; Uplane, M.D.; Patil, P.S.

    2006-01-01

    Electrosynthesis of Mo(IV) oxide thin films on F-doped SnO 2 conducting glass (10-20/Ω/□) substrates were carried from aqueous alkaline solution of ammonium molybdate at room temperature. The physical characterization of as-deposited films carried by thermogravimetric/differential thermogravimetric analysis (TGA/DTA), infrared spectroscopy and X-ray diffraction (XRD) showed the formation of hydrous and amorphous MoO 2 . Scanning electron microscopy (SEM) revealed a smooth but cracked surface with multi-layered growth. Annealing of these films in dry argon at 450 deg. C for 1 h resulted into polycrystalline MoO 2 with crystallites aligned perpendicular to the substrate. Optical absorption study indicated a direct band gap of 2.83 eV. The band gap variation consistent with Moss rule and band gap narrowing upon crystallization was observed. Structure tailoring of as-deposited thin films by thermal oxidation in ambient air to obtain electrochromic Mo(VI) oxide thin films was exploited for the first time by this novel route. The results of this study will be reported elsewhere

  19. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  20. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  1. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  2. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  3. Effects of accelerated degradation on metal supported thin film-based solid oxide fuel cell

    DEFF Research Database (Denmark)

    Reolon, R. P.; Sanna, S.; Xu, Yu

    2018-01-01

    A thin film-based solid oxide fuel cell is deposited on a Ni-based metal porous support by pulsed laser deposition with a multi-scale-graded microstructure design. The fuel cell, around 1 μm in thickness, is composed of a stabilized-zirconia/doped-ceria bi-layered dense electrolyte and nanostruct......A thin film-based solid oxide fuel cell is deposited on a Ni-based metal porous support by pulsed laser deposition with a multi-scale-graded microstructure design. The fuel cell, around 1 μm in thickness, is composed of a stabilized-zirconia/doped-ceria bi-layered dense electrolyte......, electrochemical performances are steady, indicating the stability of the cell. Under electrical load, a progressive degradation is activated. Post-test analysis reveals both mechanical and chemical degradation of the cell. Cracks and delamination of the thin films promote a significant nickel diffusion and new...

  4. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Byunggu; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of)

    2017-01-15

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  5. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    International Nuclear Information System (INIS)

    Kim, Byunggu; Leem, Jae-Young

    2017-01-01

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  6. Characteristics of gravure printed InGaZnO thin films as an active channel layer in thin film transistors

    International Nuclear Information System (INIS)

    Choi, Yuri; Kim, Gun Hee; Jeong, Woong Hee; Kim, Hyun Jae; Chin, Byung Doo; Yu, Jae-Woong

    2010-01-01

    Characteristics of oxide semiconductor thin film transistor prepared by gravure printing technique were studied. This device had inverted staggered structure of glass substrate/MoW/SiNx/ printed active layer. The active layer was printed with precursor of indium gallium zinc oxide solution and then annealed at 550 o C for 2 h. Influences of printing parameters (i.e. speed and force) were studied. As the gravure printing force was increased, the thickness of printed film was decreased and the refractive index of printed active layer was increased. The best printed result in our study was obtained with printing speed of 0.4 m/s, printing force of 400 N and the thickness of printed active layer was 45 nm. According to AFM image, surface of printed active layer was quite smooth and the root-mean square roughness was approximately 0.5 nm. Gravure printed active layer had a field-effect mobility of 0.81 cm 2 /Vs and an on-off current ratio was 1.36 x 10 6 .

  7. Study on the Preparation and Properties of Colored Iron Oxide Thin Films

    International Nuclear Information System (INIS)

    Zhao Xianhui; Li Changhong; Liu Qiuping; He Junjing; Wang Hai; Liang Song; Duan Yandong; Liu Su

    2013-01-01

    Colored iron oxide thin films were prepared using Sol-gel technique. The raw materials were tetraethyl orthosilicate (TEOS), etoh ehanol (EtOH), iron nitrate, and de-ionized water. Various properties were measured and analysed, including the colour of thin films, surface topography, UV-Visible spectra, corrosion resistance and hydrophobicity. To understand how these properties influenced the structural and optical properties of Fe 2 O 3 thin films, Scanning Electron Microscope (SEM), UV Spectrophotometer and other facilities were employed. Many parameters influence the performance of thin films, such as film layers, added H 2 O content, and the amount of polydimethylsiloxane (PDMS). When the volume ratio of TEOS, EtOH and H 2 O was 15: 13: 1, the quality of Fe(NO 3 ) 3 ·9H 2 O was 6g, and pH value was 3, reddish and uniform Fe 2 O 3 thin films with excellent properties were produced. Obtained thin films possessed corrosion resistance in hydrochloric acid with pH=l and the absorption edge wavelength was ∼350.2nm. Different H 2 O contents could result in different morphologies of Fe 2 O 3 nanoparticles. When 1.5 ml PDMS was added into the Sol, thin films possessed hydrophobiliry without dropping. Coating with different layers, thin films appeared different morphologies. Meanwhile, with the increment of film layers, the absorbance increased gradually.

  8. Delamination of Compressed Thin Layers at Corners

    DEFF Research Database (Denmark)

    Sørensen, Kim D.; Jensen, Henrik Myhre; Clausen, Johan

    2008-01-01

    An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat s...... layers, Fracture mechanics, Crack closure, Steady state crack propagation.......An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat...... results for the fracture mechanical properties have been obtained, and these are applied in a study of the effect of contacting crack faces. Special attention has been given to analyse conditions under which steady state propagation of buckling driven delamination takes place. Keywords: Delamination, Thin...

  9. Heterojunction PbS nanocrystal solar cells with oxide charge-transport layers.

    Science.gov (United States)

    Hyun, Byung-Ryool; Choi, Joshua J; Seyler, Kyle L; Hanrath, Tobias; Wise, Frank W

    2013-12-23

    Oxides are commonly employed as electron-transport layers in optoelectronic devices based on semiconductor nanocrystals, but are relatively rare as hole-transport layers. We report studies of NiO hole-transport layers in PbS nanocrystal photovoltaic structures. Transient fluorescence experiments are used to verify the relevant energy levels for hole transfer. On the basis of these results, planar heterojunction devices with ZnO as the photoanode and NiO as the photocathode were fabricated and characterized. Solution-processed devices were used to systematically study the dependence on nanocrystal size and achieve conversion efficiency as high as 2.5%. Optical modeling indicates that optimum performance should be obtained with thinner oxide layers than can be produced reliably by solution casting. Room-temperature sputtering allows deposition of oxide layers as thin as 10 nm, which enables optimization of device performance with respect to the thickness of the charge-transport layers. The best devices achieve an open-circuit voltage of 0.72 V and efficiency of 5.3% while eliminating most organic material from the structure and being compatible with tandem structures.

  10. Layer-by-layer assembly of thin film oxygen barrier

    International Nuclear Information System (INIS)

    Jang, Woo-Sik; Rawson, Ian; Grunlan, Jaime C.

    2008-01-01

    Thin films of sodium montmorillonite clay and cationic polyacrylamide were grown on a polyethylene terephthalate film using layer-by-layer assembly. After 30 clay-polymer layers are deposited, with a thickness of 571 nm, the resulting transparent film has an oxygen transmission rate (OTR) below the detection limit of commercial instrumentation ( 2 /day/atm). This low OTR, which is unprecedented for a clay-filled polymer composite, is believed to be due to a brick wall nanostructure comprised of completely exfoliated clay in polymeric mortar. With an optical transparency greater than 90% and potential for microwaveability, this thin composite is a good candidate for foil replacement in food packaging and may also be useful for flexible electronics packaging

  11. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  12. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  13. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  14. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  15. Characterization and obtainment of thin films based on N,N,N-trimethyl chitosan and heparin through the technical layer-by-layer

    International Nuclear Information System (INIS)

    Martins, Alessandro F.; Follmann, Heveline D.M.; Rubira, Adley F.; Muniz, Edvani C.

    2011-01-01

    Thin films of Heparin (HP) and N,N,N-trimethyl chitosan (TMC) with a high degree of quaternization (DQ) were obtained at pH 7.4 through the layer-by-layer (LbL) technique. Polystyrene (PS) was oxidized with aqueous solution of sodium persulfate and subsequently employed as substrate. The characterization of TMC and the respective determination of DQ were performed through 1 H NMR spectroscopy. The thin films de TMC/HP were characterized by FTIR-ATR and AFM. Both techniques confirmed the adsorption of TMC and HP in surface of the PS. The increasing of the bilayers provides a decrease of the projections and/or roughness, further of minimizing the depressions at the surface of the films. Studies of thin films the base of TMC/HP prepared from the LbL technique has not been reported in the literature. It is expected that the thin films of TMC/HP present anti-adhesive and antimicrobial properties. (author)

  16. Thin layer activation

    International Nuclear Information System (INIS)

    Schweickert, H.; Fehsenfeld, P.

    1995-01-01

    The reliability of industrial equip ment is substantially influenced by wear and corrosion; monitoring can prevent accidents and avoid down-time. One powerful tool is thin layer activation analysis (TLA) using accelerator systems. The information is used to improve mechanical design and material usage; the technology is used by many large companies, particularly in the automotive industry, e.g. Daimler Benz. A critical area of a machine component receives a thin layer of radioactivity by irradiation with charged particles from an accelerator - usually a cyclotron. The radioactivity can be made homogeneous by suitable selection of particle, beam energy and angle of incidence. Layer thickness can be varied from 20 microns to around 1 mm with different depth distributions; the position and size of the wear zone can be set to within 0.1 mm. The machine is then reassembled and operated so that wear can be measured. An example is a combustion engine comprising piston ring, cylinder wall, cooling water jacket and housing wall, where wear measurements on the cylinder wall are required in a critical zone around the dead-point of the piston ring. Proton beam bombardment creates a radioactive layer whose thickness is known accurately, and characteristic gamma radiation from this radioactive zone penetrates through the engine and is detected externally. Measurements can be made either of the activity removed from the surface, or of the (reduced) residual activity; wear measurement of the order of 10 -9 metres is possible

  17. Electrochemical Thinning for Anodic Aluminum Oxide and Anodic Titanium Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lee, In Hae; Jo, Yun Kyoung; Kim, Yong Tae; Tak, Yong Sug; Choi, Jin Sub [Inha University, Incheon (Korea, Republic of)

    2012-05-15

    For given electrolytes, different behaviors of anodic aluminum oxide (AAO) and anodic titanium oxide (ATO) during electrochemical thinning are explained by ionic and electronic current modes. Branched structures are unavoidably created in AAO since the switch of ionic to electronic current is slow, whereas the barrier oxide in ATO is thinned without formation of the branched structures. In addition, pore opening can be possible in ATO if chemical etching is performed after the thinning process. The thinning was optimized for complete pore opening in ATO and potential-current behavior is interpreted in terms of ionic current-electronic current switching.

  18. Compositional influence on the electrical performance of zinc indium tin oxide transparent thin-film transistors

    International Nuclear Information System (INIS)

    Marsal, A.; Carreras, P.; Puigdollers, J.; Voz, C.; Galindo, S.; Alcubilla, R.; Bertomeu, J.; Antony, A.

    2014-01-01

    In this work, zinc indium tin oxide layers with different compositions are used as the active layer of thin film transistors. This multicomponent transparent conductive oxide is gaining great interest due to its reduced content of the scarce indium element. Experimental data indicate that the incorporation of zinc promotes the creation of oxygen vacancies, which results in a higher free carrier density. In thin-film transistors this effect leads to a higher off current and threshold voltage values. The field-effect mobility is also strongly degraded, probably due to coulomb scattering by ionized defects. A post deposition annealing in air reduces the density of oxygen vacancies and improves the field-effect mobility by orders of magnitude. Finally, the electrical characteristics of the fabricated thin-film transistors have been analyzed to estimate the density of states in the gap of the active layers. These measurements reveal a clear peak located at 0.3 eV from the conduction band edge that could be attributed to oxygen vacancies. - Highlights: • Zinc promotes the creation of oxygen vacancies in zinc indium tin oxide transistors. • Post deposition annealing in air reduces the density of oxygen. • Density of states reveals a clear peak located at 0.3 eV from the conduction band

  19. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  20. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  1. Influence of growth temperature of transparent conducting oxide layer on Cu(In,Ga)Se2 thin-film solar cells

    International Nuclear Information System (INIS)

    Cho, Dae-Hyung; Chung, Yong-Duck; Lee, Kyu-Seok; Park, Nae-Man; Kim, Kyung-Hyun; Choi, Hae-Won; Kim, Jeha

    2012-01-01

    We have studied the influence of growth temperature (T G ) in the deposition of an indium tin oxide (ITO) transparent conducting oxide layer on Cu(In,Ga)Se 2 (CIGS) thin-film solar cells. The ITO films were deposited on i-ZnO/glass and i-ZnO/CdS/CIGS/Mo/glass substrates using radio-frequency magnetron sputtering at various T G up to 350 °C. Both the resistivity of ITO and the interface quality of CdS/CIGS strongly depend on T G . For a T G ≤ 200 °C, a reduction in the series resistance enhanced the solar cell performance, while the p–n interface of the device was found to become deteriorated severely at T G > 200 °C. CIGS solar cells with ITO deposited at T G = 200 °C showed the best performance in terms of efficiency.

  2. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  3. Transport parameters of thin, supported cathode layers in solid oxide fuel cells (SOFCs); Transportparameter duenner, getraegerter Kathodenschichten der oxidkeramischen Brennstoffzelle

    Energy Technology Data Exchange (ETDEWEB)

    Wedershoven, Christian

    2010-12-22

    The aim of this work was to determine the transport properties of thin cathode layers, which are part of the composite layer of a fabricated anode-supported solid oxide fuel cell (SOFC). The transport properties of the anode and cathode have a significant influence on the electrochemical performance of a fuel cell stack and therefore represent an important parameter when designing fuel cell stacks. In order to determine the transport parameters of the cathode layers in a fabricated SOFC, it is necessary to permeate the thin cathode layer deposited on the gas-tight electrolyte with a defined gas transport. These thin cathode layers cannot be fabricated as mechanically stable single layers and cannot therefore be investigated in the diffusion and permeation experiments usually used to determine transport parameters. The setup of these experiments - particularly the sample holder - was therefore altered in this work. The result of this altered setup was a three-dimensional flow configuration. Compared to the conventional setup, it was no longer possible to describe the gas transport in the experiments with an analytical one-dimensional solution. A numerical solution process had to be used to evaluate the measurements. The new setup permitted a sufficiently symmetrical gas distribution and thus allowed the description of the transport to be reduced to a two-dimensional description, which significantly reduced the computational effort required to evaluate the measurements. For pressure-induced transport, a parametrized coherent expression of transport could be derived. This expression is equivalent to the analytical description of the transport in conventional measurement setups, with the exception of parameters that describe the geometry of the gas diffusion. In this case, a numerical process is not necessary for the evaluation. Using the transport parameters of mechanically stable anode substrates, which can be measured both in the old and the new setups, the old and

  4. A Cuprous Oxide Thin Film Non-Enzymatic Glucose Sensor Using Differential Pulse Voltammetry and Other Voltammetry Methods and a Comparison to Different Thin Film Electrodes on the Detection of Glucose in an Alkaline Solution

    Directory of Open Access Journals (Sweden)

    Yifan Dai

    2018-01-01

    Full Text Available A cuprous oxide (Cu2O thin layer served as the base for a non-enzymatic glucose sensor in an alkaline medium, 0.1 NaOH solution, with a linear range of 50–200 mg/dL using differential pulse voltammetry (DPV measurement. An X-ray photoelectron spectroscopy (XPS study confirmed the formation of the cuprous oxide layer on the thin gold film sensor prototype. Quantitative detection of glucose in both phosphate-buffered saline (PBS and undiluted human serum was carried out. Neither ascorbic acid nor uric acid, even at a relatively high concentration level (100 mg/dL in serum, interfered with the glucose detection, demonstrating the excellent selectivity of this non-enzymatic cuprous oxide thin layer-based glucose sensor. Chronoamperometry and single potential amperometric voltammetry were used to verify the measurements obtained by DPV, and the positive results validated that the detection of glucose in a 0.1 M NaOH alkaline medium by DPV measurement was effective. Nickel, platinum, and copper are commonly used metals for non-enzymatic glucose detection. The performance of these metal-based sensors for glucose detection using DPV were also evaluated. The cuprous oxide (Cu2O thin layer-based sensor showed the best sensitivity for glucose detection among the sensors evaluated.

  5. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.

    2013-05-08

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a decrease in zinc tin oxide film thickness, and (ii) an increase in oxygen vacancy concentration. The devices prepared without soft annealing exhibited inferior transistor performances, in comparison to devices in which the active channel layer (zinc tin oxide) was subjected to soft annealing. The highest saturation field-effect mobility - 5.6 cm2 V-1 s-1 with a drain-to-source on-off current ratio (Ion/Ioff) of 2 × 108 - was achieved in the case of devices with 10-min soft-annealed zinc tin oxide thin films as the channel layer. The findings of this work identify soft annealing as a critical parameter for the processing of chemically derived thin-film transistors, and it correlates device performance to the changes in material structure induced by soft annealing. © 2013 American Chemical Society.

  6. Femtosecond laser surface structuring and oxidation of chromium thin coatings: Black chromium

    Energy Technology Data Exchange (ETDEWEB)

    Kotsedi, L., E-mail: Kotsedi@tlabs.ac.za [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, P.O. Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS-National Research Foundation, 1 Old Faure Road, Somerset West 7129, P.O. Box 722, Somerset West, Western Cape (South Africa); Nuru, Z.Y. [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, P.O. Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS-National Research Foundation, 1 Old Faure Road, Somerset West 7129, P.O. Box 722, Somerset West, Western Cape (South Africa); Mthunzi, P. [National Laser Centre, Council for Scientific and Industrial Research, 0001 Pretoria (South Africa); Muller, T.F.G. [University of the Western Cape, Physics Department, Bellville, 7535 Cape Town (South Africa); Eaton, S.M. [Physics Department, Politecnico di Milano, Piazza Leonardo Da Vinci, 32, 20133 Milano (Italy); Julies, B. [University of the Western Cape, Physics Department, Bellville, 7535 Cape Town (South Africa); Manikandan, E. [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, P.O. Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS-National Research Foundation, 1 Old Faure Road, Somerset West 7129, P.O. Box 722, Somerset West, Western Cape (South Africa); Ramponi, R. [Physics Department, Politecnico di Milano, Piazza Leonardo Da Vinci, 32, 20133 Milano (Italy); Maaza, M. [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, P.O. Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS-National Research Foundation, 1 Old Faure Road, Somerset West 7129, P.O. Box 722, Somerset West, Western Cape (South Africa)

    2014-12-01

    Highlights: • Oxidation of the chromium thin film to chromium oxide by femtosecond laser with a fundamental wavelength of 1064 nm. • Solar absorber from chromium oxide that low percentage reflectance. • Femtosecond laser oxidation, with a de-focused laser. • Chromium oxide formation by femtosecond laser in normal ambient. - Abstract: In view of their potential applications as selective solar absorbers, chromium coatings on float glass substrates were nano/micro structured by femtosecond laser in air. Raman and X-rays diffraction investigations confirmed the formation of an ultra-porous α-Cr{sub 2}O{sub 3} layer at the surface; higher is the input laser power, enhanced is the crystallinity of the α-Cr{sub 2}O{sub 3} layer. The α-Cr{sub 2}O{sub 3} layer with the Cr underneath it in addition to the photo-induced porosity acted as a classical ceramic–metal nano-composite making the reflectance to decrease significantly within the spectral range of 190–1100 nm. The average reflectance decreased from 70 to 2%.

  7. A chip-type thin-layer electrochemical cell coupled with capillary electrophoresis for online separation of electrode reaction products

    Energy Technology Data Exchange (ETDEWEB)

    He, Jian-Bo, E-mail: jbhe@hfut.edu.cn; Cui, Ting; Zhang, Wen-Wen; Deng, Ning

    2013-07-05

    Graphical abstract: -- Highlights: •A new coupling of thin-layer electrolysis with capillary electrophoresis (CE). •Rapid electrolysis, direct sampling followed by online CE separation. •At least 13 products of quercetin oxidation were separated. •Thermodynamic and kinetic parameters were determined from CE peak areas. -- Abstract: A coupling technique of thin-layer electrolysis with high-performance capillary electrophoresis/UV–vis technique(EC/HPCE/UV–vis) is developed for online separation and determination of electrode reaction products. A chip-type thin-layer electrolytic (CTE) cell was designed and fabricated, which contains a capillary channel and a background electrolyte reservoir, allowing rapid electrolysis, direct sampling and online electrophoretic separation. This chip-type setup was characterized based on an electrophoresis expression of Nernst equation that was applied to the redox equilibrium of o-tolidine at different potentials. The utility of the method was demonstrated by separating and determining the electro-oxidation products of quercetin in different pH media. Two main products were always found in the studied time, potential and pH ranges. The variety of products increased not only with increasing potential but also with increasing pH value, and in total, at least 13 products were observed in the electropherograms. This work illustrates a novel example of capillary electrophoresis used online with thin-layer electrolysis to separate and detect electrode reaction products.

  8. A chip-type thin-layer electrochemical cell coupled with capillary electrophoresis for online separation of electrode reaction products

    International Nuclear Information System (INIS)

    He, Jian-Bo; Cui, Ting; Zhang, Wen-Wen; Deng, Ning

    2013-01-01

    Graphical abstract: -- Highlights: •A new coupling of thin-layer electrolysis with capillary electrophoresis (CE). •Rapid electrolysis, direct sampling followed by online CE separation. •At least 13 products of quercetin oxidation were separated. •Thermodynamic and kinetic parameters were determined from CE peak areas. -- Abstract: A coupling technique of thin-layer electrolysis with high-performance capillary electrophoresis/UV–vis technique(EC/HPCE/UV–vis) is developed for online separation and determination of electrode reaction products. A chip-type thin-layer electrolytic (CTE) cell was designed and fabricated, which contains a capillary channel and a background electrolyte reservoir, allowing rapid electrolysis, direct sampling and online electrophoretic separation. This chip-type setup was characterized based on an electrophoresis expression of Nernst equation that was applied to the redox equilibrium of o-tolidine at different potentials. The utility of the method was demonstrated by separating and determining the electro-oxidation products of quercetin in different pH media. Two main products were always found in the studied time, potential and pH ranges. The variety of products increased not only with increasing potential but also with increasing pH value, and in total, at least 13 products were observed in the electropherograms. This work illustrates a novel example of capillary electrophoresis used online with thin-layer electrolysis to separate and detect electrode reaction products

  9. Heterojunction PbS Nanocrystal Solar Cells with Oxide Charge-Transport Layers

    KAUST Repository

    Hyun, Byung-Ryool

    2013-12-23

    Oxides are commonly employed as electron-transport layers in optoelectronic devices based on semiconductor nanocrystals, but are relatively rare as hole-transport layers. We report studies of NiO hole-transport layers in PbS nanocrystal photovoltaic structures. Transient fluorescence experiments are used to verify the relevant energy levels for hole transfer. On the basis of these results, planar heterojunction devices with ZnO as the photoanode and NiO as the photocathode were fabricated and characterized. Solution-processed devices were used to systematically study the dependence on nanocrystal size and achieve conversion efficiency as high as 2.5%. Optical modeling indicates that optimum performance should be obtained with thinner oxide layers than can be produced reliably by solution casting. Roomerature sputtering allows deposition of oxide layers as thin as 10 nm, which enables optimization of device performance with respect to the thickness of the charge-transport layers. The best devices achieve an open-circuit voltage of 0.72 V and efficiency of 5.3% while eliminating most organic material from the structure and being compatible with tandem structures. © 2013 American Chemical Society.

  10. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  11. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  12. Oxidation of atomically thin MoS2 on SiO2

    Science.gov (United States)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  13. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  14. Band gap determination of thin praseodymium oxide layers on aluminium oxynitride films

    Energy Technology Data Exchange (ETDEWEB)

    Bergholz, Matthias; Schmeisser, Dieter [Brandenburgische Technische Universitaet, Cottbus (Germany). Angewandte Physik - Sensorik

    2008-07-01

    High-k dielectrics are important as never before in semiconductor industry. We investigate Pr{sub 2}O{sub 3} as one representative of this group on silicon and silicon-aluminium oxynitride substrates. In earlier work we observed the positive influence of this AlO{sub x}N{sub y} intermediate layer on the electrical properties of the Pr{sub 2}O{sub 3} layer. Now we present in-situ EELS, XPS and UPS measurements of gradually grown thin Pr{sub 2}O{sub 3} on AlO{sub x}N{sub y}. From these measurements we determine the band structure and find a very fast change of the band gap for the first few A, coupled with n-type behaviour for the Pr{sub 2}O{sub 3} film. These results are compared with RIXS measurements of a 5 nm Pr{sub 2}O{sub 3} on a 1 nm thick AlO{sub x}N{sub y} layer.

  15. Sputter fabricated Nb-oxide-Nb josephson junctions incorporating post-oxidation noble metal layers

    International Nuclear Information System (INIS)

    Bain, R.J.P.; Donaldson, G.B.

    1985-01-01

    We present an extension, involving other metals, of the work of Hawkins and Clarke, who found that a thin layer of copper prevented the formation of the superconductive shorts which are an inevitable consequence of sputtering niobium counter-electrodes directly on top of niobium oxide. We find gold to be the most satisfactory, and that 0.3 nm is sufficient to guarantee short-free junctions of excellent electrical and mechanical stability, though high excess conductance means they are best suited to shunted-junction applications, as in SQUIDs. We present results for critical current dependence on oxide thickness and on gold thickness. Our data shows that thermal oxide growth is described by the Cabrera-Mott mechanism. We show that the protective effect of the gold layer can be understood in terms of the electro-chemistry of the Nb-oxide-Au structure, and that the reduced quasi-particle resistance of the junctions relative to goldfree junctions with evaporated counterelectrodes can be explained in terms of barrier shape modification, and not by proximity effect mechanisms. The performance of a DC SQUID based on these junctions is described

  16. Voltammetry and coulometry of indium in two-side thin-layer system

    International Nuclear Information System (INIS)

    Eliseeva, L.V.; Kabanova, O.L.

    1980-01-01

    An electrochemical behaviour of In and possibilities for its determination have been investigated, using halide background solutions, by voltametry in the thin solution layer thin mercury film system. It has been shown that the maximum current of indium (3) is directly proportional to its concentration over a range of 1x10 -4 - 5x10 -3 M and the maximum current of indium oxidation from the amalgam over a range of 5x10 -7 - 1x10 -4 M. Examined were the effects of halide ion concentration, pH, electrode potential change rate on current maximum value, product efficiency of reducing indium (3) and oxidizing its amalgam, on maximum current potential and half-peak width. The analytical signal has been found to be directly proportional to chloride ion concentration over a range of 0.1 - 3.0 M, bromide and iodide ion concentration over a range of 0.1 - 1.0 M. This makes it possible to use the method for determination of halide ions

  17. Bilayered Oxide thin films for transparent electrode application

    Science.gov (United States)

    Dutta, Titas; Narayan, Jagdish

    2008-10-01

    Ga doped ZnO films with electrical and optical properties comparable to indium tin oxide (ITO) is a promising candidate for transparent conducting oxides (TCOs) because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function, which is a critical parameter for device applications. We report here the growth of a novel bilayered structure consisting of very thin (few monolayers) ITO, MoOx layer on Zn0.95Ga0.05O film for transparent electrode applications by using pulsed laser deposition technique at different temperatures and oxygen partial pressure. The characteristics of the ITO film and the heterostructure have been investigated in detail using XRD, TEM, XPS, and electrical and optical property measurements. It is envisaged that the overall transmittance and the resistivity are dictated by the thicker layer of ZnGa0.05O beneath the ITO layer. Hence, this study is aimed to improve the surface characteristics without affecting the overall transmittance and sheet resistance. This will enhance the transport of the carriers across the heterojunction in the device, thus, resulting in the increase in device efficiency.

  18. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  19. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  20. Titanium modified with layer-by-layer sol-gel tantalum oxide and an organodiphosphonic acid: a coating for hydroxyapatite growth.

    Science.gov (United States)

    Arnould, C; Volcke, C; Lamarque, C; Thiry, P A; Delhalle, J; Mekhalif, Z

    2009-08-15

    Titanium and its alloys are widely used in surgical implants due to their appropriate properties like corrosion resistance, biocompatibility, and load bearing. Unfortunately when metals are used for orthopedic and dental implants there is the possibility of loosening over a long period of time. Surface modification is a good way to counter this problem. A thin tantalum oxide layer obtained by layer-by-layer (LBL) sol-gel deposition on top of a titanium surface is expected to improve biocorrosion resistance in the body fluid, biocompatibility, and radio-opacity. This elaboration step is followed by a modification of the tantalum oxide surface with an organodiphosphonic acid self-assembled monolayer, capable of chemically binding to the oxide surface, and also improving hydroxyapatite growth. The different steps of this proposed process are characterized by surfaces techniques like contact angle, X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM).

  1. Improvement of transistor characteristics and stability for solution-processed ultra-thin high-valence niobium doped zinc-tin oxide thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jeng, Jiann-Shing, E-mail: jsjeng@mail.nutn.edu.tw

    2016-08-15

    Nb-doped Zinc tin oxide (NZTO) channel materials have been prepared by solution process in combination with the spin-coating method. All NZTO thin film transistors (TFTs) are n-type enhancement-mode devices, either without or with Nb additives. High-valence niobium ion (ionic charge = +5) has a larger ionic potential and similar ionic radius to Zn{sup 2+} and Sn{sup 4+} ions. As compared with the pure ZTO device, introducing Nb{sup 5+} ions into the ZTO channel layers can improve the electrical properties and bias stability of TFTs because of the reduction of the oxygen vacancies. This study discusses the connection among the material properties of the NZTO films and the electrical performance and bias stability of NZTO TFTs and how they are influenced by the Nb/(Nb + Sn) molar ratios of NZTO films. - Highlights: • Ultra-thin high-valence niobium doped zinc-tin oxide (NZTO) thin films are prepared using a solution process. • Nb dopants in ZTO films reduce the oxygen vacancy and subgap adsorption of the ZTO films. • The Nb-doping concentration of the NZTO channel layer has a strong influence on the TFT performance.

  2. Sub-second photonic processing of solution-deposited single layer and heterojunction metal oxide thin-film transistors using a high-power xenon flash lamp

    KAUST Repository

    Tetzner, Kornelius

    2017-11-01

    We report the fabrication of solution-processed In2O3 and In2O3/ZnO heterojunction thin-film transistors (TFTs) where the precursor materials were converted to their semiconducting state using high power light pulses generated by a xenon flash lamp. In2O3 TFTs prepared on glass substrates exhibited low-voltage operation (≤2 V) and a high electron mobility of ∼6 cm2 V−1 s−1. By replacing the In2O3 layer with a photonically processed In2O3/ZnO heterojunction, we were able to increase the electron mobility to 36 cm2 V−1 s−1, while maintaining the low-voltage operation. Although the level of performance achieved in these devices is comparable to control TFTs fabricated via thermal annealing at 250 °C for 1 h, the photonic treatment approach adopted here is extremely rapid with a processing time of less than 18 s per layer. With the aid of a numerical model we were able to analyse the temperature profile within the metal oxide layer(s) upon flashing revealing a remarkable increase of the layer\\'s surface temperature to ∼1000 °C within ∼1 ms. Despite this, the backside of the glass substrate remains unchanged and close to room temperature. Our results highlight the applicability of the method for the facile manufacturing of high performance metal oxide transistors on inexpensive large-area substrates.

  3. Formation of Lamellar Structured Oxide Dispersion Strengthening Layers in Zircaloy-4

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Yang-Il; Park, Jung-Hwan; Park, Dong-Jun; Kim, Hyun-Gil; Yang, Jae-Ho; Koo, Yang-Hyun [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Lim, Yoon-Soo [Hanbat National University, Daejeon (Korea, Republic of)

    2016-10-15

    Korea Atomic Energy Research Institute (KAERI) is one of the leading organizations for developing ATF claddings. One concept is to form an oxidation-resistant layer on Zr cladding surface. The other is to increase high-temperature mechanical strength of Zr tube. The oxide dispersion strengthened (ODS) zirconium was proposed to increase the strength of the Zr-based alloy up to high temperatures. According to our previous investigations, the tensile strength of Zircaloy-4 was increased by up to 20% with the formation of a thin dispersed oxide layer with a thickness less than 10% of that of the Zircaloy-4 substrate. However, the tensile elongation of the samples decreased drastically. The brittle fracture was a major concern in development of the ODS Zircaloy-4. In this study, a lamellar structure of ODS layer was formed to increase ductility of the ODS Zircaloy-4. The mechanical properties were varied depending on the structure of ODS layer. For example, the partial formation of ODS layer with the thickness of 10% to the substrate thickness induced the increase in tensile strength up to about 20% than fresh Zircaloy-4.

  4. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  5. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  6. The Microstructures and Electrical Resistivity of (Al, Cr, TiFeCoNiOx High-Entropy Alloy Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Chun-Huei Tsau

    2015-01-01

    Full Text Available The (Al, Cr, TiFeCoNi alloy thin films were deposited by PVD and using the equimolar targets with same compositions from the concept of high-entropy alloys. The thin films became metal oxide films after annealing at vacuum furnace for a period; and the resistivity of these thin films decreased sharply. After optimum annealing treatment, the lowest resistivity of the FeCoNiOx, CrFeCoNiOx, AlFeCoNiOx, and TiFeCoNiOx films was 22, 42, 18, and 35 μΩ-cm, respectively. This value is close to that of most of the metallic alloys. This phenomenon was caused by delaminating of the alloy oxide thin films because the oxidation was from the surfaces of the thin films. The low resistivity of these oxide films was contributed to the nonfully oxidized elements in the bottom layers and also vanishing of the defects during annealing.

  7. Ferroelectric thin films using oxides as raw materials

    Directory of Open Access Journals (Sweden)

    E.B. Araújo

    1999-01-01

    Full Text Available This work describes an alternative method for the preparation of ferroelectric thin films based on pre-calcination of oxides, to be used as precursor material for a solution preparation. In order to show the viability of the proposed method, PbZr0.53Ti0.47O3 and Bi4Ti3O12 thin films were prepared on fused quartz and Si substrates. The results were analyzed by X-ray Diffraction (XRD, Scanning Electron Microscopy (SEM, Infrared Spectroscopy (IR and Rutherford Backscattering Spectroscopy (RBS. The films obtained show good quality, homogeneity and the desired stoichiometry. The estimated thickness for one layer deposition was approximately 1000 Å and 1500 Å for Bi4Ti3O12 and PbZr0.53Ti0.47O3 films, respectively.

  8. Assembly and benign step-by-step post-treatment of oppositely charged reduced graphene oxides for transparent conductive thin films with multiple applications

    Science.gov (United States)

    Zhu, Jiayi; He, Junhui

    2012-05-01

    We report a new approach for the fabrication of flexible and transparent conducting thin films via the layer-by-layer (LbL) assembly of oppositely charged reduced graphene oxide (RGO) and the benign step-by-step post-treatment on substrates with a low glass-transition temperature, such as glass and poly(ethylene terephthalate) (PET). The RGO dispersions and films were characterized by means of atomic force microscopy, UV-visible absorption spectrophotometery, Raman spectroscopy, transmission electron microscopy, contact angle/interface systems and a four-point probe. It was found that the graphene thin films exhibited a significant increase in electrical conductivity after the step-by-step post-treatments. The graphene thin film on the PET substrate had a good conductivity retainability after multiple cycles (30 cycles) of excessively bending (bending angle: 180°), while tin-doped indium oxide (ITO) thin films on PET showed a significant decrease in electrical conductivity. In addition, the graphene thin film had a smooth surface with tunable wettability.We report a new approach for the fabrication of flexible and transparent conducting thin films via the layer-by-layer (LbL) assembly of oppositely charged reduced graphene oxide (RGO) and the benign step-by-step post-treatment on substrates with a low glass-transition temperature, such as glass and poly(ethylene terephthalate) (PET). The RGO dispersions and films were characterized by means of atomic force microscopy, UV-visible absorption spectrophotometery, Raman spectroscopy, transmission electron microscopy, contact angle/interface systems and a four-point probe. It was found that the graphene thin films exhibited a significant increase in electrical conductivity after the step-by-step post-treatments. The graphene thin film on the PET substrate had a good conductivity retainability after multiple cycles (30 cycles) of excessively bending (bending angle: 180°), while tin-doped indium oxide (ITO) thin films on

  9. Layer-by-Layer Assembly of a pH-Responsive and Electrochromic Thin Film

    Science.gov (United States)

    Schmidt, Daniel J.; Pridgen, Eric M.; Hammond, Paula T.; Love, J. Christopher

    2010-01-01

    This article summarizes an experiment on thin-film fabrication with layer-by-layer assembly that is appropriate for undergraduate laboratory courses. The purpose of this experiment is to teach students about self-assembly in the context of thin films and to expose students to the concepts of functional polymeric coatings. Students dip coat…

  10. Hafnium carbide formation in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)

    2016-06-20

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.

  11. Cuprous oxide thin films prepared by thermal oxidation of copper layer. Morphological and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Karapetyan, Artak, E-mail: karapetyan@cinam.univ-mrs.fr [Aix Marseille Université, CINaM, 13288, Marseille (France); Institute for Physical Research of NAS of Armenia, Ashtarak-2 0203 (Armenia); Reymers, Anna [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Giorgio, Suzanne; Fauquet, Carole [Aix Marseille Université, CINaM, 13288, Marseille (France); Sajti, Laszlo [Laser Zentrum Hannover e.V. Hollerithallee 8, 30419 Hannover (Germany); Nitsche, Serge [Aix Marseille Université, CINaM, 13288, Marseille (France); Nersesyan, Manuk; Gevorgyan, Vladimir [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Marine, Wladimir [Aix Marseille Université, CINaM, 13288, Marseille (France)

    2015-03-15

    Structural and optical characterization of crystalline Cu{sub 2}O thin films obtained by thermal oxidation of Cu films at two different temperatures 800 °C and 900 °C are investigated in this work. X-ray diffraction measurements indicate that synthesized films consist of single Cu{sub 2}O phase without any interstitial phase and show a nano-grain structure. Scanning Electron Microscopy observations indicate that the Cu{sub 2}O films have a micro-scale roughness whereas High Resolution Transmission Electron Microscopy highlights that the nanocrystalline structure is formed by superposition of nearly spherical nanocrystals smaller than 30 nm. Photoluminescence spectra of these films exhibit at room temperature two well-resolved emission peaks at 1.34 eV due to defects energy levels and at 1.97 eV due to phonon-assisted recombination of the 1s orthoexciton in both film series. Emission characteristics depending on the laser power is deeply investigated to determine the origin of recorded emissions. Time-integrated spectra of the 1s orthoexciton emission reveals the presence of oxygen defects below the conduction band edge under non-resonant two-photon excitation using a wide range of excitations wavelengths. Optical absorption coefficients at room temperature are obtained from an accurate analysis of their transmission and reflection spectra, whereas the optical band gap energy is estimated at about 2.11 eV. Results obtained are of high relevance especially for potential applications in semiconductor devices such as solar cells, optical sources and detectors. - Highlights: • Nanostructured Cu{sub 2}O thin films were synthesized by thermal oxidation of Cu films. • The PL spectra of nanostructured thin films revealed two well-resolved emission peaks. • The PL properties were investigated under a broad range of experimental conditions. • Inter-band transition in the infrared range has been associated to V{sub Cu} and V{sub O} vacancies. • Absorption

  12. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Energy Technology Data Exchange (ETDEWEB)

    Horak, P., E-mail: phorak@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Bejsovec, V.; Vacik, J.; Lavrentiev, V. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Vrnata, M. [Department of Physics and Measurements, The University of Chemistry and Technology, Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Kormunda, M. [Department of Physics, Jan Evangelista Purkyně University in Ústí nad Labem, České mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Danis, S. [Department of Condensed Matter Physics, Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 5, 121 16 Prague 2 (Czech Republic)

    2016-12-15

    Highlights: • A rapid oxidation process of thin copper films. • Sheet resistance up to 10{sup 9} Ω/◊. • Mixed oxide phase at 200 °C with significant hydroxide presence. • Gas sensing response to 1000 ppm of hydrogen and methanol vapours. • Increased sensitivity with Pd and Au catalyst to hydrogen and methanol, respectively. - Abstract: Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C–600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C–600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu{sub 2}O phase was identified. However, the oxidation at 200 °C led to a more complicated composition − in the depth Cu{sub 2}O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH){sub 2}. A limited amount of Cu{sub 2}O was also found in samples annealed at 600 °C. The sheet resistance R{sub S} of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing R{sub S} was measured in the range 2.64 MΩ/□–2.45 GΩ/□. The highest R{sub S} values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the {sup 16}O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed

  13. MultiLayer solid electrolyte for lithium thin film batteries

    Science.gov (United States)

    Lee, Se -Hee; Tracy, C. Edwin; Pitts, John Roland; Liu, Ping

    2015-07-28

    A lithium metal thin-film battery composite structure is provided that includes a combination of a thin, stable, solid electrolyte layer [18] such as Lipon, designed in use to be in contact with a lithium metal anode layer; and a rapid-deposit solid electrolyte layer [16] such as LiAlF.sub.4 in contact with the thin, stable, solid electrolyte layer [18]. Batteries made up of or containing these structures are more efficient to produce than other lithium metal batteries that use only a single solid electrolyte. They are also more resistant to stress and strain than batteries made using layers of only the stable, solid electrolyte materials. Furthermore, lithium anode batteries as disclosed herein are useful as rechargeable batteries.

  14. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  15. Use of two-phase aqueous systems based on water-soluble polymers in thin-layer and extraction chromatography for recovery and separtion of actinides

    International Nuclear Information System (INIS)

    Molochnikova, N.P.; Shkinev, V.M.; Myasoedov, B.F.

    1995-01-01

    The feasibility has been demonstrated of using two-phase aqueous systems based on water-soluble polymers, polyethylene glycol and dextran sulfate, in thin-layer and extraction chromatography for recovery and separation of actinides. A convenient method has been proposed for continuous recovery of 239 Np from 243 Am, originating from differences in sorption of tri- and pentavalent actinides from sulfate solutions containing potassium phosphotungstate by silica gel impregnated with polyethylene glycol. New plates for thin-layer chromatography using water-soluble polymers have been developed. These plates were used to study behavior of americium in various oxidation states in thin sorbent layers

  16. Copper diffusion in TaN-based thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Nazon, J. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Fraisse, B. [Laboratoire Structure, Proprietes et Modelisation des Solides (UMR 8580), Ecole Centrale de Paris, Grande Voie des Vignes, 92295 Chatenay-Malabry Cedex (France); Sarradin, J. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Fries, S.G. [SGF Scientific Consultancy, Arndt str.9, D-52064 Aachen (Germany); Tedenac, J.C. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Frety, N. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France)], E-mail: Nicole.Frety@univ-montp2.fr

    2008-07-15

    The diffusion of Cu through TaN-based thin layers into a Si substrate has been studied. The barrier efficiency of TaN/Ta/TaN multilayers of 150 nm in thickness has been investigated and is compared with that of TaN single layers. Thermal stabilities of these TaN-based thin layers against Cu diffusion were determined from in situ X-ray diffraction experiments, conducted in the temperature range of 773-973 K. The TaN/Ta/TaN barrier appeared to be more efficient in preventing Cu diffusion than the TaN single layer.

  17. Color change mechanism of niobium oxide thin film with incidental light angle and applied voltage

    Energy Technology Data Exchange (ETDEWEB)

    Komatsu, Isao [Course of Information Science and Technology, Graduate School of Science and Technology, Tokai University (Japan); Aoki, Hayata [Course of Electro Photo Optics, Graduate School of Engineering, Tokai University (Japan); Ebisawa, Mizue [Tokyo Metropolitan Industrial Technology Research Institute (Japan); Kuroda, Akihiro [Department of Optical and Imaging Science & Technology, Faculty of Engineering, Tokai University (Japan); Kuroda Consulting Incorporated (Japan); Kuroda, Koichi [Kuroda Consulting Incorporated (Japan); Maeda, Shuichi [Course of Information Science and Technology, Graduate School of Science and Technology, Tokai University (Japan); Course of Electro Photo Optics, Graduate School of Engineering, Tokai University (Japan); Department of Optical and Imaging Science & Technology, Faculty of Engineering, Tokai University (Japan)

    2016-03-31

    Niobium oxide thin layers made by the anodization process showed coloration owing to thin film interference. The reflection spectra depended on both the applied voltage and incident light angle. Large color differences were observed at incident light angles between 5° and 70°, when the applied voltage was over 60 V. In this study, we explored the cause of these results using ellipsometry and goniophotometry to understand the transition of optical constants and the reflection spectra with applied voltage. Finally, we concluded that the coloration of the reflection spectra, which included only a first-order interference peak, exhibits a smaller change because the first order interference peak has a wider half value width than higher order interference peaks. - Highlights: • We investigated color change of Nb{sub 2}O{sub 5} oxide thin layers with incidental light angle. • The reflection spectra shift to lower wavelength region with increasing incident light angle. • The reflection spectra shift to higher wavelength region with increasing applied voltage. • First-order interference has wider half value width, and exhibits small color change.

  18. Significant electrical control of amorphous oxide thin film transistors by an ultrathin Ti surface polarity modifier

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Byungsu [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741 (Korea, Republic of); Choi, Yonghyuk; Shin, Seokyoon [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Jeon, Heeyoung [Department of Nano-scale Semiconductor Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Seo, Hyungtak, E-mail: hseo@ajou.ac.kr [Department of Materials Science and Engineering and Energy Systems Research, Ajou University, Suwon 443-739 (Korea, Republic of); Jeon, Hyeongtag, E-mail: hjeon@hanyang.ac.kr [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Department of Nano-scale Semiconductor Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2014-01-27

    We demonstrate an enhanced electrical stability through a Ti oxide (TiO{sub x}) layer on the amorphous InGaZnO (a-IGZO) back-channel; this layer acts as a surface polarity modifier. Ultrathin Ti deposited on the a-IGZO existed as a TiO{sub x} thin film, resulting in oxygen cross-binding with a-IGZO surface. The electrical properties of a-IGZO thin film transistors (TFTs) with TiO{sub x} depend on the surface polarity change and electronic band structure evolution. This result indicates that TiO{sub x} on the back-channel serves as not only a passivation layer protecting the channel from ambient molecules or process variables but also a control layer of TFT device parameters.

  19. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng, E-mail: rschen@ust.hk; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-08-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm{sup 2}/Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10{sup 6}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress.

  20. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  1. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  2. Nanostructured antistatic and antireflective thin films made of indium tin oxide and silica over-coat layer

    Science.gov (United States)

    Cho, Young-Sang; Hong, Jeong-Jin; Yang, Seung-Man; Choi, Chul-Jin

    2010-08-01

    Stable dispersion of colloidal indium tin oxide nanoparticles was prepared by using indium tin oxide nanopowder, organic solvent, and suitable dispersants through attrition process. Various comminution parameters during the attrition step were studied to optimize the process for the stable dispersion of indium tin oxide sol. The transparent and conductive films were fabricated on glass substrate using the indium tin oxide sol by spin coating process. To obtain antireflective function, partially hydrolyzed alkyl silicate was deposited as over-coat layer on the pre-fabricated indium tin oxide film by spin coating technique. This double-layered structure of the nanostructured film was characterized by measuring the surface resistance and reflectance spectrum in the visible wavelength region. The final film structure was enough to satisfy the TCO regulations for EMI shielding purposes.

  3. Structural and Electrochemical Properties of Lithium Nickel Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Gyu-bong Cho

    2014-01-01

    Full Text Available LiNiO2 thin films were fabricated by RF magnetron sputtering. The microstructure of the films was determined by X-ray diffraction and field-emission scanning electron microscopy. The electrochemical properties were investigated with a battery cycler using coin-type half-cells. The LiNiO2 thin films annealed below 500°C had the surface carbonate. The results suggest that surface carbonate interrupted the Li intercalation and deintercalation during charge/discharge. Although the annealing process enhanced the crystallization of LiNiO2, the capacity did not increase. When the annealing temperature was increased to 600°C, the FeCrNiO4 oxide phase was generated and the discharge capacity decreased due to an oxygen deficiency in the LiNiO2 thin film. The ZrO2-coated LiNiO2 thin film provided an improved discharge capacity compared to bare LiNiO2 thin film suggesting that the improved electrochemical characteristic may be attributed to the inhibition of surface carbonate by ZrO2 coating layer.

  4. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  5. Preparation of thin layers of BiSrCaCuO by method MOCVD

    International Nuclear Information System (INIS)

    Beran, P.; Stejskal, J.; Strejc, A.; Nevriva, M.; Sedmibudsky, D.; Leitner, J.

    1999-01-01

    Preparation of superconducting material on the basis mixed oxides of BiSrCaCuO by chemical vapour deposition (CVD) method is described. Surface morphology and concentration profiles of elements were analyzed by scanning electron microscope and microprobe. Phase of layers was analysed by X-ray diffraction (radiation of Cu kα ). Samples of thin layers were characterized by magnetic susceptibility in temperature interval 10 to 150 K. Obtained results confirm formation of superconducting phases Bi 2 Sr 2 Ca 1 Cu 2 O x and Bi 2 Sr 2 Xa 2 Cu 3 O x

  6. Magnetic properties of exchange biased and of unbiased oxide/permalloy thin layers: a ferromagnetic resonance and Brillouin scattering study

    Energy Technology Data Exchange (ETDEWEB)

    Zighem, F; Roussigne, Y; Cherif, S-M; Moch, P [Laboratoire des Proprietes Mecaniques et Thermodynamiques des Materiaux, CNRS UPR 9001, Universite Paris Nord, 93430 Villetaneuse (France); Ben Youssef, J [Laboratoire de Magnetisme de Bretagne, CNRS, Universite de Bretagne Occidentale, 29285 Brest (France); Paumier, F, E-mail: fatih.zighem@cea.f [Institut Pprime, CNRS UPR 3346, Universite de Poitiers, ENSMA, 86962 Futuroscope (France)

    2010-10-13

    Microstrip ferromagnetic resonance and Brillouin scattering are used to provide a comparative determination of the magnetic parameters of thin permalloy layers interfaced with a non-magnetic (Al{sub 2}O{sub 3}) or with an antiferromagnetic oxide (NiO). It results from our microstructural study that no preferential texture is favoured in the observed polycrystalline sublayers. It is shown that the perpendicular anisotropy can be monitored using an interfacial surface energy term which is practically independent of the nature of the interface. In the interval of thicknesses investigated (5-25 nm) the saturation magnetization does not significantly differ from the reported one in bulk permalloy. In-plane uniaxial anisotropy and exchange bias anisotropy are also derived from the study of the dynamic magnetic excitations and compared with our independent evaluations using conventional magnetometry.

  7. Methods for producing thin film charge selective transport layers

    Science.gov (United States)

    Hammond, Scott Ryan; Olson, Dana C.; van Hest, Marinus Franciscus Antonius Maria

    2018-01-02

    Methods for producing thin film charge selective transport layers are provided. In one embodiment, a method for forming a thin film charge selective transport layer comprises: providing a precursor solution comprising a metal containing reactive precursor material dissolved into a complexing solvent; depositing the precursor solution onto a surface of a substrate to form a film; and forming a charge selective transport layer on the substrate by annealing the film.

  8. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  9. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  10. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Science.gov (United States)

    Horak, P.; Bejsovec, V.; Vacik, J.; Lavrentiev, V.; Vrnata, M.; Kormunda, M.; Danis, S.

    2016-12-01

    Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C-600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C-600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu2O phase was identified. However, the oxidation at 200 °C led to a more complicated composition - in the depth Cu2O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH)2. A limited amount of Cu2O was also found in samples annealed at 600 °C. The sheet resistance RS of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing RS was measured in the range 2.64 MΩ/□-2.45 GΩ/□. The highest RS values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the 16O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed an increased response to hydrogen at 300 °C, while Au-covered films were more sensitive to methanol vapours at 350 °C.

  11. Synchrotron X-ray diffraction investigations on strains in the oxide layer of an irradiated Zircaloy fuel cladding

    Energy Technology Data Exchange (ETDEWEB)

    Chollet, Mélanie, E-mail: melanie.chollet@psi.ch [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland); Valance, Stéphane; Abolhassani, Sousan; Stein, Gene [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland); Grolimund, Daniel [Paul Scherrer Institute, SLS, 5232 Villigen (Switzerland); Martin, Matthias; Bertsch, Johannes [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland)

    2017-05-15

    For the first time the microstructure of the oxide layer of a Zircaloy-2 cladding after 9 cycles of irradiation in a boiling water reactor has been analyzed with synchrotron micro-X-ray diffraction. Crystallographic strains of the monoclinic and to some extent of the tetragonal ZrO{sub 2} are depicted through the thick oxide layer. Thin layers of sub-oxide at the oxide-metal interface as found for autoclave-tested samples and described in the literature, have not been observed in this material maybe resulting from irradiation damage. Shifts of selected diffraction peaks of the monoclinic oxide show that the uniform strain produced during oxidation is orientated in the lattice and displays variations along the oxide layer. Diffraction peaks and their shifts from families of diffracting planes could be translated into a virtual tensor. This virtual tensor exhibits changes through the oxide layer passing by tensile or compressive components. - Highlights: •A Zircaloy-2 cladding irradiated 9 cycles was investigated thanks to synchrotron X-ray diffraction. •Microstructure and uniform strain through the oxide layer is revealed. •The m-ZrO{sub 2} uniform strain is oriented presenting compression along the (−111) plane. •Virtual tensor is built based on reflecting planes of families of grains. •Tensor components vary from tensile to compressive along the oxide layer.

  12. Synchrotron X-ray diffraction investigations on strains in the oxide layer of an irradiated Zircaloy fuel cladding

    International Nuclear Information System (INIS)

    Chollet, Mélanie; Valance, Stéphane; Abolhassani, Sousan; Stein, Gene; Grolimund, Daniel; Martin, Matthias; Bertsch, Johannes

    2017-01-01

    For the first time the microstructure of the oxide layer of a Zircaloy-2 cladding after 9 cycles of irradiation in a boiling water reactor has been analyzed with synchrotron micro-X-ray diffraction. Crystallographic strains of the monoclinic and to some extent of the tetragonal ZrO 2 are depicted through the thick oxide layer. Thin layers of sub-oxide at the oxide-metal interface as found for autoclave-tested samples and described in the literature, have not been observed in this material maybe resulting from irradiation damage. Shifts of selected diffraction peaks of the monoclinic oxide show that the uniform strain produced during oxidation is orientated in the lattice and displays variations along the oxide layer. Diffraction peaks and their shifts from families of diffracting planes could be translated into a virtual tensor. This virtual tensor exhibits changes through the oxide layer passing by tensile or compressive components. - Highlights: •A Zircaloy-2 cladding irradiated 9 cycles was investigated thanks to synchrotron X-ray diffraction. •Microstructure and uniform strain through the oxide layer is revealed. •The m-ZrO 2 uniform strain is oriented presenting compression along the (−111) plane. •Virtual tensor is built based on reflecting planes of families of grains. •Tensor components vary from tensile to compressive along the oxide layer.

  13. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, Husam N.

    2012-01-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility

  14. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  15. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  16. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  17. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  18. Characterization and obtainment of thin films based on N,N,N-trimethyl chitosan and heparin through the technical layer-by-layer; Caracterizacao e obtencao de filmes finos de N,N,N-trimetil quitosana e heparina atraves da tecnica layer-by-layer

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2011-07-01

    Thin films of Heparin (HP) and N,N,N-trimethyl chitosan (TMC) with a high degree of quaternization (DQ) were obtained at pH 7.4 through the layer-by-layer (LbL) technique. Polystyrene (PS) was oxidized with aqueous solution of sodium persulfate and subsequently employed as substrate. The characterization of TMC and the respective determination of DQ were performed through {sup 1}H NMR spectroscopy. The thin films de TMC/HP were characterized by FTIR-ATR and AFM. Both techniques confirmed the adsorption of TMC and HP in surface of the PS. The increasing of the bilayers provides a decrease of the projections and/or roughness, further of minimizing the depressions at the surface of the films. Studies of thin films the base of TMC/HP prepared from the LbL technique has not been reported in the literature. It is expected that the thin films of TMC/HP present anti-adhesive and antimicrobial properties. (author)

  19. Delamination of Compressed Thin Layers at Corners

    DEFF Research Database (Denmark)

    Sørensen, Kim D.; Jensen, Henrik Myhre; Clausen, Johan

    2008-01-01

    An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat...

  20. Influence of thin porous Al2O3 layer on aluminum cathode to the Hα line shape in glow discharge

    International Nuclear Information System (INIS)

    Steflekova, V.; Sisovic, N. M.; Konjevic, N.

    2009-01-01

    The results of the Balmer alfa line shape study in a plane cathode-hollow anode Grimm discharge with aluminum (Al) cathode covered with thin layer of porous Al 2 O 3 are presented. The comparison with same line profile recorded with pure Al cathode shows lack of excessive Doppler broadened line wings, which are always detected in glow discharge with metal cathode. The effect is explained by the lack of strong electric field in the cathode sheath region, which is missing in the presence of thin oxide layer in, so called, spray discharge.

  1. HREM investigation of the constitution and the crystallography of thin thermal oxide layers on iron

    DEFF Research Database (Denmark)

    Graat, P.C.J.; Brongers, M.P.H.; Zandbergen, H.W.

    1997-01-01

    Oxide layers formed at 573 K in O2 at atmospheric pressure, both on a clean iron surface and on an iron surface covered with an etching induced (hydro)oxide film, were investigated with high-resolution transmission electron microscopy (HREM). Cross-sections of oxidised samples were prepared by a ...

  2. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    Science.gov (United States)

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  3. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    Directory of Open Access Journals (Sweden)

    Imas Noviyana

    2017-06-01

    Full Text Available Top-contact bottom-gate thin film transistors (TFTs with zinc-rich indium zinc tin oxide (IZTO active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C.

  4. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    Energy Technology Data Exchange (ETDEWEB)

    Guimond, Sebastien

    2009-06-04

    The growth and the surface structure of well-ordered V{sub 2}O{sub 3}, V{sub 2}O{sub 5} and MoO{sub 3} thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V{sub 2}O{sub 3}(0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V{sub 2}O{sub 3} bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V{sub 2}O{sub 5}(001) and MoO{sub 3}(010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O{sub 2} in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V{sub 2}O{sub 5} and MoO{sub 3} layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a

  5. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    International Nuclear Information System (INIS)

    Guimond, Sebastien

    2009-01-01

    The growth and the surface structure of well-ordered V 2 O 3 , V 2 O 5 and MoO 3 thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V 2 O 3 (0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V 2 O 3 bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V 2 O 5 (001) and MoO 3 (010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O 2 in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V 2 O 5 and MoO 3 layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a monolayer is formed dur-ing the preparation of supported vanadia

  6. Effect of atmospheric-pressure plasma treatment on the adhesion properties of a thin adhesive layer in a selective transfer process

    Science.gov (United States)

    Yoon, Min-Ah; Kim, Chan; Hur, Min; Kang, Woo Seok; Kim, Jaegu; Kim, Jae-Hyun; Lee, Hak-Joo; Kim, Kwang-Seop

    2018-01-01

    The adhesion between a stamp and thin film devices is crucial for their transfer on a flexible substrate. In this paper, a thin adhesive silicone layer on the stamp was treated by atmospheric pressure plasma to locally control the adhesion strength for the selective transfer. The adhesion strength of the silicone layer was significantly reduced after the plasma treatment, while its surface energy was increased. To understand the inconsistency between the adhesion strength and surface energy changes, the surface properties of the silicone layer were characterized using nanoindentation and X-ray photoelectron spectroscopy. These techniques revealed that a thin, hard, silica-like layer had formed on the surface from plasma-enhanced oxidation. This layer played an important role in decreasing the contact area and increasing the interfacial slippage, resulting in decreased adhesion. As a practical application, the transfer process was demonstrated on GaN LEDs that had been previously delaminated by a laser lift-off (LLO) process. Although the LEDs were not transferred onto the treated adhesive layer due to the reduced adhesion, the untreated adhesive layer could readily pick up the LEDs. It is expected that this simple method of controlling the adhesion of a stamp with a thin adhesive layer would enable a continuous, selective and large-scale roll-to-roll selective transfer process and thereby advance the development of flexible, stretchable and wearable electronics.

  7. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  8. Redox process at solid-liquid interfaces: studies with thin layers of green rusts electrodeposited on inert substrates

    International Nuclear Information System (INIS)

    Peulon, S.; Taghdai, Y.; Mercier, F.; Barre, N.; Legrand, L.; Chauss, A.

    2005-01-01

    Full text of publication follows: The redox reactions which can occur between radioelements and natural phases in the environment are taken still little into account although their importance is established on natural sites; the consequences are significant since they can modify radically the behaviour of the species by increasing or decreasing their migration. The iron compounds are very implicated in these redox processes because iron is one of the most abundant element on earth; moreover, it is also present in the containers used for the storage of the nuclear waste. We exhibited in previous works that electrochemistry is a convenient way to generate the main iron oxidation compounds as thin layers on different inert substrates. The electrochemical behaviour of these deposits that are adherent, homogeneous and well crystallized [1-3], was investigated with the principle advantage that iron metal and its reactivity is eliminate. Moreover, they could be analysed directly by techniques like IRRAS, XRD, SEM, EDS and XPS without any preparation. In the present study, we develop an original way to investigate redox processes at solid-liquid interfaces based on the utilisation of these thin layers; the samples are more commonly powders and/or pieces of corroded steel in the literature. Results obtained with two different systems, chromate and uranyl ions, in interaction with thin layers of sulfated green rusts are presented. Green rusts is chosen because it is a mixed Fe(II-III) compound which could be formed in anoxic conditions like in the case of the storage of the nuclear waste. After various contact times with the solutions containing the reactive species, the thin layers are characterised by different ex-situ methods. The results show clearly the oxidation of the green rust into a Fe(III) compound and the formation of a new solid phase on the electrode due to the reduction and the precipitation of the reactive species present initially in solution. Because thin

  9. Heterojunction solar cell with 6% efficiency based on an n-type aluminum-gallium-oxide thin film and p-type sodium-doped Cu2O sheet

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2015-02-01

    In this paper, we describe efforts to enhance the efficiency of Cu2O-based heterojunction solar cells fabricated with an aluminum-gallium-oxide (Al-Ga-O) thin film as the n-type layer and a p-type sodium (Na)-doped Cu2O (Cu2O:Na) sheet prepared by thermally oxidizing copper sheets. The optimal Al content [X; Al/(Ga + Al) atomic ratio] of an AlX-Ga1-X-O thin-film n-type layer was found to be approximately 2.5 at. %. The optimized resistivity was approximately 15 Ω cm for n-type AlX-Ga1-X-O/p-type Cu2O:Na heterojunction solar cells. A MgF2/AZO/Al0.025-Ga0.975-O/Cu2O:Na heterojunction solar cell with 6.1% efficiency was fabricated using a 60-nm-thick n-type oxide thin-film layer and a 0.2-mm-thick Cu2O:Na sheet with the optimized resistivity.

  10. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    Science.gov (United States)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  11. Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers for strain tuning of infinite-layer Sr{sub 1−x}La{sub x}CuO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, Keita, E-mail: sakuma.keita@d.mbox.nagoya-u.ac.jp; Ito, Masataka; He, Yilun; Hajiri, Tetsuya; Ueda, Kenji; Asano, Hidefumi

    2016-08-01

    We report on the precise tuning of lattice strain in an infinite-layer electron-doped high temperature superconductor Sr{sub 1−x}La{sub x}CuO{sub 2} (SLCO; a{sub SLCO} = 0.3949 nm for x = 0.1), which is a perovskite-related oxide, using perovskite BaTiO{sub 3}–SrTiO{sub 3} (BSTO; Ba{sub y}Sr{sub 1−y}TiO{sub 3}) buffer layers. The BSTO buffer layers formed on (001) (La{sub 0.18}Sr{sub 0.82})(Al{sub 0.59}Ta{sub 0.41})O{sub 3} substrates by magnetron sputtering were fully relaxed with high crystalline quality due to high oxygen partial pressure deposition and post annealing at 950 °C. The lattice constants of the BSTO buffer layers could be controlled in the range of 0.3926–0.3973 nm by changing the Ba content (y = 0.2–0.7). These BSTO buffer layers allow coherent growth of SLCO thin films, and a clear dependence of the superconducting transition temperature on the lattice strain was observed. The fabrication of these BSTO/superconductor heterostructures may provide novel devices composed of functional perovskite thin films, in addition to a general approach for the precise control of lattice strain in functional perovskite thin films. - Highlights: • Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers were developed for the strain tuning of perovskite-related oxides. • Strain effect in Sr{sub 1−x}La{sub x}CuO{sub 2} was investigated by using Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers. • Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers can be used to tune the strain in other perovskite oxides.

  12. Thin layer model for nonlinear evolution of the Rayleigh-Taylor instability

    Science.gov (United States)

    Zhao, K. G.; Wang, L. F.; Xue, C.; Ye, W. H.; Wu, J. F.; Ding, Y. K.; Zhang, W. Y.

    2018-03-01

    On the basis of the thin layer approximation [Ott, Phys. Rev. Lett. 29, 1429 (1972)], a revised thin layer model for incompressible Rayleigh-Taylor instability has been developed to describe the deformation and nonlinear evolution of the perturbed interface. The differential equations for motion are obtained by analyzing the forces (the gravity and pressure difference) of fluid elements (i.e., Newton's second law). The positions of the perturbed interface are obtained from the numerical solution of the motion equations. For the case of vacuum on both sides of the layer, the positions of the upper and lower interfaces obtained from the revised thin layer approximation agree with that from the weakly nonlinear (WN) model of a finite-thickness fluid layer [Wang et al., Phys. Plasmas 21, 122710 (2014)]. For the case considering the fluids on both sides of the layer, the bubble-spike amplitude from the revised thin layer model agrees with that from the WN model [Wang et al., Phys. Plasmas 17, 052305 (2010)] and the expanded Layzer's theory [Goncharov, Phys. Rev. Lett. 88, 134502 (2002)] in the early nonlinear growth regime. Note that the revised thin layer model can be applied to investigate the perturbation growth at arbitrary Atwood numbers. In addition, the large deformation (the large perturbed amplitude and the arbitrary perturbed distributions) in the initial stage can also be described by the present model.

  13. Enhancing the performance of organic thin-film transistors using an organic-doped inorganic buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Su, Shui-Hsiang, E-mail: shsu@isu.edu.tw; Wu, Chung-Ming; Kung, Shu-Yi; Yokoyama, Meiso

    2013-06-01

    Organic thin-film transistors (OTFTs) with various buffer layers between the active layer and source/drain electrodes were investigated. The structure was polyethylene terephthalate/indium-tin oxide/poly(methyl methacrylate) (PMMA)/pentacene/buffer layer/Au (source/drain). V{sub 2}O{sub 5}, 4,4′,4″-tris{N,(3-methylpheny)-N-phenylamino}-triphenylamine (m-MTDATA) and m-MTDATA-doped V{sub 2}O{sub 5} films were utilized as buffer layers. The electrical performances of OTFTs in terms of drain current, threshold voltage, mobility and on/off current ratio have been determined. As a result, the saturation current of − 40 μA is achieved in OTFTs with a 10% m-MTDATA-doped V{sub 2}O{sub 5} buffer layer at a V{sub GS} of − 60 V. The on/off current ratio reaches 2 × 10{sup 5}, which is approximately double of the device without a buffer layer. The energy band diagrams of the electrode/buffer layer/pentacene were measured using ultra-violet photoelectron spectroscopy. The improvement in electrical characteristics of the OTFTs is attributable to the weakening of the interface dipole and the lowering of the barrier to enhance holes transportation from the source electrode to the active layer. - Highlights: • A buffer layer enhances the performance of organic thin-film transistors (OTFTs). • The buffer layer consists of organic-doped inorganic material. • Interface dipole is weakened at the active layer/electrodes interface of OTFTs.

  14. Cross-Field Current Instabilities in Thin Ionization Layers and the Enhanced Aurora

    International Nuclear Information System (INIS)

    Johnson, Jay R.; Okuda, Hideo

    2008-01-01

    Nearly half of the time, auroral displays exhibit thin, bright layers known as 'enhanced aurora'. There is a substantial body of evidence that connects these displays with thin, dense, heavy ion layers in the E-region. Based on the spectral characteristics of the enhanced layers, it is believed that they result when wave-particle interaction heats ambient electrons to energies at or just above the 17 eV ionization energy of N2. While there are several possible instabilities that could produce suprathermal electrons in thin layers, there has been no clear theoretical investigation which examines in detail how wave instabilities in the thin ionization layers could develop and produce the suprathermal electrons. We examine instabilities which would occur in thin, dense, heavy ion layers using extensive analytical analysis combined with particle simulations. We analyze a cross field current instability that is found to be strongly unstable in the heavy ion layers. Electrostatic simulations show that substantial heating of the ambient electrons occurs with energization at or above the N2 ionization energy.

  15. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  16. Application of thin-layer chromatography in radiochemistry

    International Nuclear Information System (INIS)

    Maki, Yasuyuki; Murakami, Yukio.

    1976-01-01

    In relation to the experimental procedures of thin-layer chromatography (TLC) in radiochemistry, the authors explained the preparation and development of radioactive test solutions, the methods of detection by autoradiography of isolated spots and by the calculation of measuring apparatus, and the identification of isolated spots. Next they outlined the carrier-free isolation and purification of nuclides, the quantification in combination with γ-ray spectrum, confirmation of the purity of RI-labeled medical supplies, their application to RI generator, thin-layer electrophoresis, in which electrophoresis and TLC were combined, and the application of this electrophoresis to isolation in recoil chemistry and to analysis and identification in carrier-free chemistry. (Kanao, K.)

  17. Improvement of Electrical Characteristics and Stability of Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using Nitrocellulose Passivation Layer.

    Science.gov (United States)

    Shin, Kwan Yup; Tak, Young Jun; Kim, Won-Gi; Hong, Seonghwan; Kim, Hyun Jae

    2017-04-19

    In this research, nitrocellulose is proposed as a new material for the passivation layers of amorphous indium gallium zinc oxide thin film transistors (a-IGZO TFTs). The a-IGZO TFTs with nitrocellulose passivation layers (NC-PVLs) demonstrate improved electrical characteristics and stability. The a-IGZO TFTs with NC-PVLs exhibit improvements in field-effect mobility (μ FE ) from 11.72 ± 1.14 to 20.68 ± 1.94 cm 2 /(V s), threshold voltage (V th ) from 1.85 ± 1.19 to 0.56 ± 0.35 V, and on/off current ratio (I on/off ) from (5.31 ± 2.19) × 10 7 to (4.79 ± 1.54) × 10 8 compared to a-IGZO TFTs without PVLs, respectively. The V th shifts of a-IGZO TFTs without PVLs, with poly(methyl methacrylate) (PMMA) PVLs, and with NC-PVLs under positive bias stress (PBS) test for 10,000 s represented 5.08, 3.94, and 2.35 V, respectively. These improvements were induced by nitrogen diffusion from NC-PVLs to a-IGZO TFTs. The lone-pair electrons of diffused nitrogen attract weakly bonded oxygen serving as defect sites in a-IGZO TFTs. Consequently, the electrical characteristics are improved by an increase of carrier concentration in a-IGZO TFTs, and a decrease of defects in the back channel layer. Also, NC-PVLs have an excellent property as a barrier against ambient gases. Therefore, the NC-PVL is a promising passivation layer for next-generation display devices that simultaneously can improve electrical characteristics and stability against ambient gases.

  18. Structure and conductive properties of poly(ethylene oxide)/layered double hydroxide nanocomposite polymer electrolytes

    International Nuclear Information System (INIS)

    Liao, C.-S.; Ye, W.-B.

    2004-01-01

    The oligo(ethylene oxide) modified layered double hydroxide (LDH) prepared by template method was added as a nanoscale nucleating agent into poly(ethylene oxide) (PEO) to form PEO/OLDH nanocomposite electrolytes. The effects of OLDH addition on morphology and conductivities of nanocomposite electrolytes were studied using wide-angle X-ray diffractometer, polarized optical microscopy, differential scanning calorimetry and ionic conductivity measurement. The results show that the exfoliated morphology of nanocomposites is formed due to the surface modification of LDH layers with PEO matrix compatible oligo(ethylene oxide)s. The nanoscale dispersed OLDH layers inhibit the crystal growth of PEO crystallites and result in a plenty amount of intercrystalline grain boundary within PEO/OLDH nanocomposites. The ionic conductivities of nanocomposite electrolytes are enhanced by three orders of magnitude compared to the pure PEO polymer electrolytes at ambient temperature. It can be attributed to the ease transport of Li + along intercrystalline amorphous phase. This novel nanocomposite electrolytes system with high conductivities will be benefited to fabricate the thin-film type of Li-polymer secondary battery

  19. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Energy Technology Data Exchange (ETDEWEB)

    Hoye, Robert L. Z., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk; MacManus-Driscoll, Judith L., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Muñoz-Rojas, David [LMGP, University Grenoble-Alpes, CNRS, F-3800 Grenoble (France); Nelson, Shelby F. [Kodak Research Laboratories, Eastman Kodak Company, Rochester, New York 14650 (United States); Illiberi, Andrea; Poodt, Paul [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Roozeboom, Fred [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven, 5600 MB (Netherlands)

    2015-04-01

    Atmospheric pressure spatial atomic layer deposition (AP-SALD) has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  20. Optical characterizations of silver nanoprisms embedded in polymer thin film layers

    Science.gov (United States)

    Carlberg, Miriam; Pourcin, Florent; Margeat, Olivier; Le Rouzo, Judikael; Berginc, Gerard; Sauvage, Rose-Marie; Ackermann, Jorg; Escoubas, Ludovic

    2017-10-01

    The precise control of light-matter interaction has a wide range of applications and is currently driven by the use of nanoparticles (NPs) by the recent advances in nanotechnology. Taking advantage of the material, size, shape, and surrounding media dependence of the optical properties of plasmonic NPs, thin film layers with tunable optical properties are achieved. The NPs are synthesized by wet chemistry and embedded in a polyvinylpyrrolidone (PVP) polymer thin film layer. Spectrophotometer and spectroscopic ellipsometry measurements are coupled to finite-difference time domain numerical modeling to optically characterize the heterogeneous thin film layers. Silver nanoprisms of 10 to 50 nm edge size exhibit high absorption through the visible wavelength range. A simple optical model composed of a Cauchy law and a Lorentz law, accounting for the optical properties of the nonabsorbing polymer and the absorbing property of the nanoprisms, fits the spectroscopic ellipsometry measurements. Knowing the complex optical indices of heterogeneous thin film layers let us design layers of any optical properties.

  1. UV and plasma treatment of thin silver layers and glass surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hluschi, J.H. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Helmke, A. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Roth, P. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Boewer, R. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Herlitze, L. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Vioel, W. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany)]. E-mail: vioel@hawk-hhg.de

    2006-11-10

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of {lambda}=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers.

  2. UV and plasma treatment of thin silver layers and glass surfaces

    International Nuclear Information System (INIS)

    Hluschi, J.H.; Helmke, A.; Roth, P.; Boewer, R.; Herlitze, L.; Vioel, W.

    2006-01-01

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of λ=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers

  3. Approximate reflection coefficients for a thin VTI layer

    KAUST Repository

    Hao, Qi; Stovas, Alexey

    2017-01-01

    We present an approximate method to derive simple expressions for the reflection coefficients of P- and SV-waves for a thin transversely isotropic layer with a vertical symmetry axis (VTI) embedded in a homogeneous VTI background. The layer

  4. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  5. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  6. Phase inversion and frequency doubling of reflection high-energy electron diffraction intensity oscillations in the layer-by-layer growth of complex oxides

    Science.gov (United States)

    Mao, Zhangwen; Guo, Wei; Ji, Dianxiang; Zhang, Tianwei; Gu, Chenyi; Tang, Chao; Gu, Zhengbin; Nie*, Yuefeng; Pan, Xiaoqing

    In situ reflection high-energy electron diffraction (RHEED) and its intensity oscillations are extremely important for the growth of epitaxial thin films with atomic precision. The RHEED intensity oscillations of complex oxides are, however, rather complicated and a general model is still lacking. Here, we report the unusual phase inversion and frequency doubling of RHEED intensity oscillations observed in the layer-by-layer growth of SrTiO3 using oxide molecular beam epitaxy. In contacts to the common understanding that the maximum(minimum) intensity occurs at SrO(TiO2) termination, respectively, we found that both maximum or minimum intensities can occur at SrO, TiO2, or even incomplete terminations depending on the incident angle of the electron beam, which raises a fundamental question if one can rely on the RHEED intensity oscillations to precisely control the growth of thin films. A general model including surface roughness and termination dependent mean inner potential qualitatively explains the observed phenomena, and provides the answer to the question how to prepare atomically and chemically precise surface/interfaces using RHEED oscillations for complex oxides. We thank National Basic Research Program of China (No. 11574135, 2015CB654901) and the National Thousand-Young-Talents Program.

  7. Ni-YSZ cermet substrate supported thin SDC and YSZ+SDC bi-layer SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, X.; Robertson, M.; Deces-Petit, C.; Xie, Y.; Hui, R.; Yick, S.; Styles, E.; Roller, J.; Kesler, O.; Qu, W.; Jankovic, J.; Tang, Z.; Perednis, D.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    One of the disadvantages of a ceria-based electrolyte is that it becomes a mixed conductor at anode conditions, which causes cell voltage loss and fuel efficiency loss due to internal shorting. Chemical and mechanical stability is another concern for long-term service. To lower manufacturing costs, efforts have been made to bring proven semiconductor manufacturing technology to Solid Oxide Fuel Cells (SOFCs). This study employed Tape casting of cermet substrates, Screen-printing of functional layers and Co-firing of cell components (TSC) to fabricate nickel (Ni)-cermet supported cells with mainly ceria-based thin electrolytes. Ni-Yttria-Stabilized Zirconia (YSZ) cermet supported cell with Samaria Doped Ceria (SDC) single layer electrolytes and YSZ+SDC bi-layer electrolytes were successfully developed for low-temperature performance characterization. The elemental distribution at the cell interface was mapped and the electrochemical performance of the cells was recorded. Many high-Zr-content micro-islands were found on the thin SDC surface. The influence of co-firing temperature and thin-film preparation methods on the Zr-islands' appearance was also investigated. Using in-situ sintered cathodes, high performance of the SDC cells was obtained. It was concluded that the bi-layer cells did show higher Open Circuit Voltage (OCV) values, with 1180 mW/cm{sup 2} at 650 degrees C, as well as good performance at 700-800 degrees C, with near OCV value. However, their performance was much lower than those of the SDC cells at low operating temperature. Zr-micro-islands formation on the SDC electrolyte was observed and investigated. 6 refs., 5 tabs., 7 figs.

  8. Influence of Ti Content on the Partial Oxidation of TixFeCoNi Thin Films in Vacuum Annealing

    Directory of Open Access Journals (Sweden)

    Ya-Chu Yang

    2017-09-01

    Full Text Available This study investigated the effects of Ti content and vacuum annealing on the microstructure evolution of TixFeCoNi (x = 0, 0.5, and 1 thin films and the underlying mechanisms. The as-deposited thin film transformed from an FCC (face center cubic structure at x = 0 into an amorphous structure at x = 1, which can be explained by determining topological instability and a hard ball model. After annealing was performed at 1000 °C for 30 min, the films presented a layered structure comprising metal solid solutions and oxygen-deficient oxides, which can be major attributed to oxygen traces in the vacuum furnace. Different Ti contents provided various phase separation and layered structures. The underlying mechanism is mainly related to the competition among possible oxides in terms of free energy production at 1000 °C.

  9. Study of oxide/metal/oxide thin films for transparent electronics and solar cells applications by spectroscopic ellipsometry

    Directory of Open Access Journals (Sweden)

    Mihaela Girtan

    2017-05-01

    Full Text Available A comprehensive study of a class of Oxide/Metal/Oxide (Oxide = ITO, AZO, TiO2 and Bi2O3, Metal = Au thin films was done by correlating the spectrophotometric studies with the ellispometric models. Films were deposited by successive sputtering from metallic targets In:Sn, Zn:Al, Ti and Bi in reactive atmosphere (for the oxide films and respective inert atmosphere (for the metallic Au interlayer films on glass substrates. The measurements of optical constants n—the refractive index and k—the extinction coefficient, at different incident photon energies for single oxide films and also for the three layers films oxide/metal/oxide samples were made using the spectroscopic ellipsometry (SE technique. The ellipsometry modelling process was coupled with the recorded transmission spectra data of a double beam spectrophotometer and the best fitting parameters were obtained not only by fitting the n and k experimental data with the dispersion fitting curves as usual is practiced in the most reported data in literature, but also by comparing the calculated the transmission coefficient from ellipsometry with the experimental values obtained from direct spectrophotometry measurements. In this way the best dispersion model was deduced for each sample. Very good correlations were obtained for the other different thin films characteristics such as the films thickness, optical band gap and electrical resistivity obtained by other measurements and calculation techniques. The ellipsometric modelling, can hence give the possibility in the future to predict, by ellipsometric simulations, the proper device architecture in function of the preferred optical and electrical properties.

  10. Combinatorial study of zinc tin oxide thin-film transistors

    Science.gov (United States)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  11. The constitution and crystallography of thin thermal oxide layers on epsilon-Fesub2Nsub1-x

    DEFF Research Database (Denmark)

    Graat, Peter C.J.; Zandbergen, Henny W.; Somers, Marcel A. J.

    2000-01-01

    Oxide layers formed on epsilon-Fe2N1-x were investigated with X-ray photoelectron spectroscopy, X-ray diffraction, and in particular with high-resolution transmission electron microscopy. Prior to oxidation, the epsilon-Fe2N1-x substrates were either exposed to air at room temperature, or subject...

  12. Development of evaluation method on flow-induced vibration and corrosion of components in two-phase flow by coupled analysis. 5. Evaluation of wall thinning rate with the coupled model of static electrochemical analysis and dynamic double oxide layer analysis

    International Nuclear Information System (INIS)

    Uchida, Shunsuke; Naitoh, Masanori; Okada, Hidetoshi; Uehara, Yasushi

    2008-01-01

    Wall thinning rates due to FAC were calculated with the coupled model of static electrochemical analysis and dynamic double oxide layer analysis at the identified danger zone. Anodic and cathodic current densities and ECPs were calculated with the static electrochemistry model and ferrous ion release rate determined by the anodic current density was used as input for the dynamic double oxide layer model. Thickness of oxide film and its characteristics determined by the dynamic double oxide layer model were used for the electrochemistry model to determine the resistances of cathodic current from the bulk to the surface and anodic current from the surface to the bulk. Two models were coupled to determine local corrosion rate and ECP for various corrosive conditions. The calculated results of the coupled models had good agreement with the measured ones. (author)

  13. Adhesion-enhanced thick copper film deposition on aluminum oxide by an ion-beam-mixed Al seed layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jin; Park, Jae-Won

    2012-01-01

    We report a highly-adherent 30-μm Cu conductive-path coating on an aluminum-oxide layer anodized on an aluminum-alloy substrate for a metal-printed circuit-board application. A 50-nm Al layer was first coated with an e-beam evaporative deposition method on the anodized oxide, followed by ion bombardment to mix the interfacial region. Subsequently, a Cu coating was deposited onto the mixed seed layer to the designed thickness. Adhesions of the interface were tested by using tape adhesion test, and pull-off tests and showed commercially acceptable adhesions for such thick coating layers. The ion beam mixing (IBM) plays the role of fastening the thin seed coating layer to the substrate and enhancing the adhesion of the Cu conductive path on the anodized aluminum surface.

  14. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  15. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  16. Secondary ion emission from ultra-thin oxide layers bombarded by energetic (MeV) heavy ions: depth of origin and layer homogeneity

    International Nuclear Information System (INIS)

    Allali, H.; Nsouli, B.; Thomas, J.P.; Cabaud, B.; Fuchs, G.; Hoareau, A.; Treilleux, M.; Danel, J.S.

    1993-09-01

    The escape depth of the secondary ions resulting from electronic sputtering of fast heavy ions in inorganic thin films has been investigated. Chromium layers deposited onto SiO 2 substrate as well as SiO x layers deposited onto chromium substrate have been characterized by secondary ion emission mass spectrometry (SIMS) in combination with time-of-flight (TOF) mass analysis (also referred as HSF-SIMS). These crossed experiments lead to a value around 1 nm for SiO x layers and 0.5 nm for Cr layers. On the other hand, HSF-SIMS can be used to correlate the intensity of the secondary ion emission to the film coverage rate and (or) the morphology of particular films like those produced by Low Energy Cluster Beam Deposition (LECBD). Using Sb deposits, the non-linear relationship between ion emission and coverage is interpreted in terms of sputtering enhancement in the individual supported clusters. (author) 22 refs., 9 figs., 1 tab

  17. A general analytical equation for phase diagrams of an N-layer ferroelectric thin film with two surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Z X; Teng, B H; Rong, Y H; Lu, X H; Yang, X [School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu 610054 (China)], E-mail: phytbh@163.com

    2010-03-15

    Within the framework of effective-field theory with correlations, the phase diagrams of an N-layer ferroelectric thin film with two surface layers are studied by the differential operator technique based on the spin-1/2 transverse Ising model. A general analytical equation for the phase diagram of a ferroelectric thin film with arbitrary layer number as well as exchange interactions and transverse fields is derived, and then the effects of exchange interactions and transverse fields on phase diagrams are discussed for an arbitrary layer number N. Meanwhile, the crossover features, from the ferroelectric-dominant phase diagram (FPD) to the paraelectric-dominant phase diagram (PPD), for various parameters of an N-layer ferroelectric thin film with two surface layers are investigated. As a result, an N-independent common intersection point equation is obtained, and the three-dimensional curved surfaces for the crossover values are constructed. In comparison with the usual mean-field approximation, the differential operator technique with correlations reduces to some extent the ferroelectric features of a ferroelectric thin film.

  18. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    International Nuclear Information System (INIS)

    Lee, Ching-Ting; Lin, Yung-Hao; Lin, Jhong-Ham

    2015-01-01

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g m change, threshold voltage V T change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature

  19. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw; Lin, Yung-Hao; Lin, Jhong-Ham [Institute of Microelectronics, Department of Electrical Engineering, Research Center for Energy Technology and Strategy (RCETS), National Cheng Kung University, Tainan, Taiwan (China)

    2015-01-28

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g{sub m} change, threshold voltage V{sub T} change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature.

  20. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  1. Subattoampere current induced by single ions in silicon oxide layers of nonvolatile memory cells

    International Nuclear Information System (INIS)

    Cellere, G.; Paccagnella, A.; Larcher, L.; Visconti, A.; Bonanomi, M.

    2006-01-01

    A single ion impinging on a thin silicon dioxide layer generates a number of electron/hole pairs proportional to its linear energy transfer coefficient. Defects generated by recombination can act as a conductive path for electrons that cross the oxide barrier, thanks to a multitrap-assisted mechanism. We present data on the dependence of this phenomenon on the oxide thickness by using floating gate memory arrays. The tiny number of excess electrons stored in these devices allows for extremely high sensitivity, impossible with any direct measurement of oxide leakage current. Results are of particular interest for next generation devices

  2. Thin-layer voltammetry of soluble species on screen-printed electrodes: proof of concept.

    Science.gov (United States)

    Botasini, S; Martí, A C; Méndez, E

    2016-10-17

    Thin-layer diffusion conditions were accomplished on screen-printed electrodes by placing a controlled-weight onto the cast solution and allowing for its natural spreading. The restricted diffusive conditions were assessed by cyclic voltammetry at low voltage scan rates and electrochemical impedance spectroscopy. The relationship between the weight exerted over the drop and the thin-layer thickness achieved was determined, in such a way that the simple experimental set-up designed for this work could be developed into a commercial device with variable control of the thin-layer conditions. The experimental results obtained resemble those reported for the voltammetric features of electroactive soluble species employing electrodes modified with carbon nanotubes or graphene layers, suggesting that the attainment of the benefits reported for these nanomaterials could be done simply by forcing the solution to spread over the screen-printed electrodic system to form a thin layer solution. The advantages of thin-layer voltammetry in the kinetic characterization of quasi-reversible and irreversible processes are highlighted.

  3. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Directory of Open Access Journals (Sweden)

    Robert L. Z. Hoye

    2015-04-01

    Full Text Available Atmospheric pressure spatial atomic layer deposition (AP-SALD has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  4. Effects of UV Aging on the Cracking of Titanium Oxide Layer on Poly(ethylene terephthalate) Substrate: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chao; Gray, Matthew H.; Tirawat, Robert; Larsen, Ross E.; Chen, Fangliang

    2016-04-18

    Thin oxide and metal films deposited on polymer substrates is an emerging technology for advanced reflectors for concentrated solar power applications, due to their unique combination of light weight, flexibility and inexpensive manufacture. Thus far, there is little knowledge on the mechanical integrity or structural persistence of such multi-layer thin film systems under long-term environmental aging. In this paper, the cracking of a brittle titanium dioxide layer deposited onto elasto-plastic poly(ethylene terephthalate) (PET) substrate is studied through a combination of experiment and modeling. In-situ fragmentation tests have been conducted to monitor the onset and evolution of cracks both on pristine and on samples aged with ultraviolet (UV) light. An analytical model is presented to simulate the cracking behavior and to predict the effects of UV aging. Based on preliminary experimental observation, the effect of aging is divided into three aspects and analyzed independently: mechanical property degradation of the polymer substrate; degradation of the interlayer between substrate and oxide coating; and internal stress-induced cracks on the oxide coating.

  5. Study on the CMP characteristics of a copper passivity layer formed by dipping in an oxidizer

    International Nuclear Information System (INIS)

    Choi, Youn-Ok; Lee, Woo-Sun; Choi, Gwon-Woo; Lee, Kang-Yeon; Kim, Nam-Oh

    2011-01-01

    Copper has been the material for ultra-large-scale integrated circuits owing to its excellent electromigration resistance and low electrical resistance. The polishing mechanism of metal chemical mechanical polishing (CMP) has been reported to be a repeated process of passive oxide layer formation through the use of on oxidizer and then the abrasion action of the slurry. However, because copper is softer and more sensitive to corrosion than tungsten, the slurry composition and the polishing mechanism during the copper CMP process may be more complicated. In a general Cu-CMP process, a mixture of an alumina-based slurry and an oxidizer in proper proportion is used in order to form a passive oxide layer such as CuO and CuO 2 . However, a conventional CMP process consumes an unnecessary amount of slurry to formed the passive layer. Therefore, in this paper, we propose a new method. The copper samples were oxidized by dipping in an oxidizer for an appropriate time to minimize the consumption of slurry before the CMP process. Then, we performed the CMP process. In order to compare the polishing characteristics of the copper thin film, we discuss the CMP removal rate and non-uniformity, as well as the microstructure of the surface and a layer cross-section based on a scanning.

  6. Photodiode Based on CdO Thin Films as Electron Transport Layer

    Science.gov (United States)

    Soylu, M.; Kader, H. S.

    2016-11-01

    Cadmium oxide (CdO) thin films were synthesized by the sol-gel method. The films were analyzed by means of XRD, AFM, and UV/Vis spectrophotometry. X-ray diffraction patterns confirm that the films are formed from CdO with cubic crystal structure and consist of nano-particles. The energy gap of the prepared film was found to be 2.29 eV. The current-voltage ( I- V) characteristics of the CdO/ p-Si heterojunction were examined in the dark and under different illumination intensities. The heterojunction showed high rectifying behavior and a strong photoresponse. Main electrical parameters of the photodiode such as series and shunt resistances ( R s and R sh), saturation current I 0, and photocurrent I ph, were extracted considering a single diode equivalent circuit of a photovoltaic cell. Results indicate that the application of CdO thin films as an electron transport layer on p-Si acts as a photodetector in the field of the UV/visible.

  7. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  8. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  9. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  10. The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

    OpenAIRE

    Shin, Yeonwoo; Kim, Sang Tae; Kim, Kuntae; Kim, Mi Young; Oh, Saeroonter; Jeong, Jae Kyeong

    2017-01-01

    High-mobility indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) are achieved through low-temperature crystallization enabled via a reaction with a transition metal catalytic layer. For conventional amorphous IGZO TFTs, the active layer crystallizes at thermal annealing temperatures of 600??C or higher, which is not suitable for displays using a glass substrate. The crystallization temperature is reduced when in contact with a Ta layer, where partial crystallization at the IGZO bac...

  11. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  12. Microstructure and mechanical behavior of a shape memory Ni-Ti bi-layer thin film

    Energy Technology Data Exchange (ETDEWEB)

    Mohri, Maryam [School of Metallurgy and Materials Engineering, College of Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany); Nili-Ahmadabadi, Mahmoud, E-mail: nili@ut.ac.ir [School of Metallurgy and Materials Engineering, College of Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Center of Excellence for High Performance Materials, University of Tehran, Tehran (Iran, Islamic Republic of); Ivanisenko, Julia [Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany); Schwaiger, Ruth [Karlsruhe Institute of Technology, Institute for Applied Materials, 76021 Karlsruhe (Germany); Hahn, Horst; Chakravadhanula, Venkata Sai Kiran [Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany)

    2015-05-29

    Two different single-layers and a bi-layer Ni-Ti thin films with chemical compositions of Ni{sub 45}Ti{sub 50}Cu{sub 5}, Ni{sub 50.8}Ti{sub 49.2} and Ni{sub 50.8}Ti{sub 49.2}/Ni{sub 45}Ti{sub 50}Cu{sub 5} (numbers indicate at.%) determined by energy dispersive X-ray spectroscopy were deposited on Si (111) substrates using DC magnetron sputtering. The structures, surface morphology and transformation temperatures of annealed thin films at 500 °C for 15 min and 1 h were studied using grazing incidence X-ray diffraction, transmission electron microscopy (TEM), atomic force microscopy and differential scanning calorimetry (DSC), respectively. Nanoindentation was used to characterize the mechanical properties. The DSC and X-ray diffraction results indicated the austenitic structure of the Ni{sub 50.8}Ti{sub 49.2} and martensitic structure of the Ni{sub 45}Ti{sub 50}Cu{sub 5} thin films while the bi-layer was composed of austenitic and martensitic thin films. TEM study revealed that copper encourages crystallization in the bi-layer such that crystal structure containing nano-precipitates in the Ni{sub 45}Ti{sub 50}Cu{sub 5} layer was detected after 15 min annealing while the Ni{sub 50.8}Ti{sub 49.2} layer crystallized after 60 min at 500 °C. Furthermore, after annealing at 500 °C for 15 min, a precipitate free zone and thin layer amorphous were observed closely to the interface in the top layer. The bi-layer was completely crystallized at 500 °C for 1 h and the orientation of the Ni-rich precipitates indicated a stress gradient in the bi-layer. The bi-layer thin film showed different transformation temperatures and mechanical behavior from the single-layers. The developed bi-layer has different phase transformation temperatures, the higher temperatures of shape memory effect and lower temperature of pseudo-elastic behavior compared to the single-layers. Also, the bi-layer thin film exhibited a combined pseudo-elastic behavior and shape memory effect with a reduced

  13. Improved conductivity of infinite-layer LaNiO2 thin films by metal organic decomposition

    Science.gov (United States)

    Ikeda, Ai; Manabe, Takaaki; Naito, Michio

    2013-12-01

    Infinite-layer LaNiO2 thin films were synthesized by metal organic decomposition and subsequent topotactic reduction in hydrogen, and their transport properties were investigated. LaNiO2 is isostructural to SrCuO2, the parent compound of high-Tc Sr0.9La0.1CuO2 with Tc = 44 K, and has 3d9 configuration, which is very rare in oxides but common to high-Tc copper oxides. The bulk synthesis of LaNiO2 is not easy, but we demonstrate in this article that the thin-film synthesis of LaNiO2 is rather easy, thanks to a large-surface-to-volume ratio, which makes oxygen diffusion prompt. Our refined synthesis conditions produced highly conducting films of LaNiO2. The resistivity of the best film is as low as 640 μΩ cm at 295 K and decreases with temperature down to 230 K but it shows a gradual upturn at lower temperatures.

  14. Laser Structuring of Thin Layers for Flexible Electronics by a Shock Wave-induced Delamination Process

    Science.gov (United States)

    Lorenz, Pierre; Ehrhardt, Martin; Zimmer, Klaus

    The defect-free laser-assisted structuring of thin films on flexible substrates is a challenge for laser methods. However, solving this problem exhibits an outstanding potential for a pioneering development of flexible electronics. Thereby, the laser-assisted delamination method has a great application potential. At the delamination process: the localized removal of the layer is induced by a shock wave which is produced by a laser ablation process on the rear side of the substrate. In this study, the thin-film patterning process is investigated for different polymer substrates dependent on the material and laser parameters using a KrF excimer laser. The resultant structures were studied by optical microscopy and white light interferometry (WLI). The delamination process was tested at different samples (indium tin oxide (ITO) on polyethylene terephthalate (PET), epoxy-based negative photoresist (SU8) on polyimide (PI) and indium tin oxide/copper indium gallium selenide/molybdenum (ITO/CIGS/Mo) on PI.

  15. Thin layer activation techniques in research and industry

    International Nuclear Information System (INIS)

    Conlon, T.W.

    1993-01-01

    The following key application of thin layer activation technique (TLA) are discussed: ion-erosion in fusion tokamaks, bio-engineering technology, automobile industry. Future developments of the techniques, such as fission fragment TLA, multi-layer TLA and recoil implantation are discussed as well. 7 refs, 6 figs, 1 tab

  16. Photoluminescence-based quality control for thin film absorber layers of photovoltaic devices

    Science.gov (United States)

    Repins, Ingrid L.; Kuciauskas, Darius

    2015-07-07

    A time-resolved photoluminescence-based system providing quality control during manufacture of thin film absorber layers for photovoltaic devices. The system includes a laser generating excitation beams and an optical fiber with an end used both for directing each excitation beam onto a thin film absorber layer and for collecting photoluminescence from the absorber layer. The system includes a processor determining a quality control parameter such as minority carrier lifetime of the thin film absorber layer based on the collected photoluminescence. In some implementations, the laser is a low power, pulsed diode laser having photon energy at least great enough to excite electron hole pairs in the thin film absorber layer. The scattered light may be filterable from the collected photoluminescence, and the system may include a dichroic beam splitter and a filter that transmit the photoluminescence and remove scattered laser light prior to delivery to a photodetector and a digital oscilloscope.

  17. Excimer laser assisted re-oxidation of BaTiO3 thin films on Ni metal foils

    International Nuclear Information System (INIS)

    Bharadwaja, S. S. N.; Ko, S. W.; Qu, W.; Clark, T.; Rajashekhar, A.; Motyka, M.; Podraza, N.; Randall, C. A.; Trolier-McKinstry, S.

    2016-01-01

    Excimer laser assisted re-oxidation for reduced, crystallized BaTiO 3 thin films on Ni-foils was investigated. It was found that the BaTiO 3 can be re-oxidized at an oxygen partial pressure of ∼50 mTorr and substrate temperature of 350 °C without forming a NiO x interface layer between the film and base metal foil. The dielectric permittivity of re-oxidized films was >1000 with loss tangent values <2% at 100 Hz, 30 mV rms excitation signal. Electron Energy Loss Spectroscopy indicated that BaTiO 3 thin films can be re-oxidized to an oxygen stoichiometry close to ∼3 (e.g., stoichiometric). High resolution cross sectional transmission electron microscopy showed no evidence of NiO x formation between the BaTiO 3 and the Ni foil upon excimer laser re-oxidation. Spectroscopic ellipsometry studies on laser re-oxidized [001] C and [111] C BaTiO 3 single crystals indicate that the re-oxidation of BaTiO 3 single crystals is augmented by photo-excitation of the ozone, as well as laser pulse induced temperature and local stress gradients

  18. Amorphous Tin Oxide as a Low-Temperature-Processed Electron-Transport Layer for Organic and Hybrid Perovskite Solar Cells

    KAUST Repository

    Barbe, Jeremy; Tietze, Max Lutz; Neophytou, Marios; Banavoth, Murali; Alarousu, Erkki; El Labban, Abdulrahman; Abulikemu, Mutalifu; Yue, Wan; Mohammed, Omar F.; McCulloch, Iain; Amassian, Aram; Del Gobbo, Silvano

    2017-01-01

    Chemical bath deposition (CBD) of tin oxide (SnO) thin films as an electron-transport layer (ETL) in a planar-heterojunction n-i-p organohalide lead perovskite and organic bulk-heterojunction (BHJ) solar cells is reported. The amorphous SnO (a

  19. Interfacial reactions between indium tin oxide and triphenylamine tetramer layers induced by photoirradiation

    International Nuclear Information System (INIS)

    Satoh, Toshikazu; Fujikawa, Hisayoshi; Yamamoto, Ichiro; Murasaki, Takanori; Kato, Yoshifumi

    2008-01-01

    The effects of photoirradiation on the interfacial chemical reactions between indium tin oxide (ITO) films and layers of triphenylamine tetramer (TPTE) were investigated by using in situ x-ray photoelectron spectroscopy (XPS). Thin TPTE layers deposited onto sputter-deposited ITO films were irradiated with violet light-emitting diodes (peak wavelength: 380 nm). Shifts in the peak positions of spectral components that originated in the organic layer toward the higher binding-energy side were observed in the XPS profiles during the early stages of irradiation. No further peak shifts were observed after additional irradiation. An increase in the ratio of the organic component in the O 1s spectra was also observed during the photoirradiation. The ratio of the organic component increased in proportion to the cube root of the irradiation time. These results suggest that photoirradiation induces an increase in the height of the carrier injection barrier at the interface between TPTE and ITO in the early stages of the irradiation, possibly due to the rapid diffusion controlled formation and growth of an oxidized TPTE layer, which is considered to act as a high resistance layer

  20. In-situ determination of the effective absorbance of thin μc-Si:H layers growing on rough ZnO:Al

    Directory of Open Access Journals (Sweden)

    Meier Matthias

    2013-10-01

    Full Text Available In this study optical transmission measurements were performed in-situ during the growth of microcrystalline silicon (μc-Si:H layers by plasma enhanced chemical vapor deposition (PECVD. The stable plasma emission was used as light source. The effective absorption coefficient of the thin μc-Si:H layers which were deposited on rough transparent conductive oxide (TCO surfaces was calculated from the transient transmission signal. It was observed that by increasing the surface roughness of the TCO, the effective absorption coefficient increases which can be correlated to the increased light scattering effect and thus the enhanced light paths inside the silicon. A correlation between the in-situ determined effective absorbance of the μc-Si:H absorber layer and the short-circuit current density of μc-Si:H thin-film silicon solar cells was found. Hence, an attractive technique is demonstrated to study, on the one hand, the absorbance and the light trapping in thin films depending on the roughness of the substrate and, on the other hand, to estimate the short-circuit current density of thin-film solar cells in-situ, which makes the method interesting as a process control tool.

  1. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  2. Mathematical modeling of thin layer drying of pistachio by using solar energy

    Energy Technology Data Exchange (ETDEWEB)

    Midilli, A [University of Nigde (Turkey). Dept. of Mechanical Engineering; Kucuk, H [Karadeniz Technical Univ., Trabzon (Turkey). Dept. of Mechanical Engineering

    2003-05-01

    This paper presents a mathematical modeling of thin layer forced and natural solar drying of shelled and unshelled pistachio samples. In order to estimate and select the suitable form of solar drying curves, eight different mathematical models, which are semi-theoretical and/or empirical, were applied to the experimental data and compared according to their coefficients of determination (r,{chi}{sup 2}), which were predicted by non-linear regression analysis using the Statistical Computer Program. It was deduced that the logarithmic model could sufficiently describe thin layer forced solar drying of shelled and unshelled pistachio, while the two term model could define thin layer natural solar drying of these products in evaluation by considering the coefficients of determination, r{sub sfsd}=0.9983, {chi}{sup 2}{sub sfsd}=2.697x10{sup -5}; r{sub ufsd}=0.9990, {chi}{sup 2}{sub ufsd}=1.639x10{sup -5} for thin layer forced solar drying and r{sub snsd}=0.9990, {chi}{sup 2}{sub snsd}=3.212x10{sup -6}; r{sub unsd}=0.9970, {chi}{sup 2}{sub unsd}=4.590x10{sup -5} for thin layer natural solar drying. (Author)

  3. Surface-initiated growth of thin oxide coatings for Li-sulfur battery cathodes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyu Tae; Black, Robert; Yim, Taeeun; Ji, Xiulei; Nazar, Linda F. [University of Waterloo, Department of Chemistry, Waterloo, ON (Canada)

    2012-12-15

    The concept of surface-initiated growth of oxides on functionalized carbons is introduced as a method to inhibit the dissolution of polysulfide ions in Li-S battery cathode materials. MO{sub x} (M: Si, V) thin layers are homogeneously coated on nanostructured carbon-sulfur composites. The coating significantly inhibits the dissolution of polysulfides on cycling, resulting in enhanced cycle performance and coulombic efficiency of the Li-S battery. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Transient absorption microscopy studies of energy relaxation in graphene oxide thin film.

    Science.gov (United States)

    Murphy, Sean; Huang, Libai

    2013-04-10

    Spatial mapping of energy relaxation in graphene oxide (GO) thin films has been imaged using transient absorption microscopy (TAM). Correlated AFM images allow us to accurately determine the thickness of the GO films. In contrast to previous studies, correlated TAM-AFM allows determination of the effect of interactions of GO with the substrate and between stacked GO layers on the relaxation dynamics. Our results show that energy relaxation in GO flakes has little dependence on the substrate, number of stacked layers, and excitation intensity. This is in direct contrast to pristine graphene, where these factors have great consequences in energy relaxation. This suggests intrinsic factors rather than extrinsic ones dominate the excited state dynamics of GO films.

  5. Transient absorption microscopy studies of energy relaxation in graphene oxide thin film

    International Nuclear Information System (INIS)

    Murphy, Sean; Huang, Libai

    2013-01-01

    Spatial mapping of energy relaxation in graphene oxide (GO) thin films has been imaged using transient absorption microscopy (TAM). Correlated AFM images allow us to accurately determine the thickness of the GO films. In contrast to previous studies, correlated TAM–AFM allows determination of the effect of interactions of GO with the substrate and between stacked GO layers on the relaxation dynamics. Our results show that energy relaxation in GO flakes has little dependence on the substrate, number of stacked layers, and excitation intensity. This is in direct contrast to pristine graphene, where these factors have great consequences in energy relaxation. This suggests intrinsic factors rather than extrinsic ones dominate the excited state dynamics of GO films. (paper)

  6. Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry

    NARCIS (Netherlands)

    Van Hao, B.; Groenland, A.W.; Aarnink, Antonius A.I.; Wolters, Robertus A.M.; Schmitz, Jurriaan; Kovalgin, Alexeij Y.

    2011-01-01

    Spectroscopic ellipsometry (SE) was employed to investigate the growth of atomic layer deposited (ALD) TiN thin films from titanium chloride (TiCl4) and ammonia (NH3) and the followed oxidation in dry oxygen. Two regimes were found in the growth including a transient stage prior to a linear regime.

  7. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  8. Critical Transitions in Thin Layer Turbulence

    Science.gov (United States)

    Benavides, Santiago; Alexakis, Alexandros

    2017-11-01

    We investigate a model of thin layer turbulence that follows the evolution of the two-dimensional motions u2 D (x , y) along the horizontal directions (x , y) coupled to a single Fourier mode along the vertical direction (z) of the form uq (x , y , z) = [vx (x , y) sin (qz) ,vy (x , y) sin (qz) ,vz (x , y) cos (qz) ] , reducing thus the system to two coupled, two-dimensional equations. Its reduced dimensionality allows a thorough investigation of the transition from a forward to an inverse cascade of energy as the thickness of the layer H = π / q is varied.Starting from a thick layer and reducing its thickness it is shown that two critical heights are met (i) one for which the forward unidirectional cascade (similar to three-dimensional turbulence) transitions to a bidirectional cascade transferring energy to both small and large scales and (ii) one for which the bidirectional cascade transitions to a unidirectional inverse cascade when the layer becomes very thin (similar to two-dimensional turbulence). The two critical heights are shown to have different properties close to criticality that we are able to analyze with numerical simulations for a wide range of Reynolds numbers and aspect ratios. This work was Granted access to the HPC resources of MesoPSL financed by the Region Ile de France and the project Equip@Meso (reference ANR-10-EQPX-29-01).

  9. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    Science.gov (United States)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  10. Tin oxide transparent thin-film transistors

    International Nuclear Information System (INIS)

    Presley, R E; Munsee, C L; Park, C-H; Hong, D; Wager, J F; Keszler, D A

    2004-01-01

    A SnO 2 transparent thin-film transistor (TTFT) is demonstrated. The SnO 2 channel layer is deposited by RF magnetron sputtering and then rapid thermal annealed in O 2 at 600 deg. C. The TTFT is highly transparent, and enhancement-mode behaviour is achieved by employing a very thin channel layer (10-20 nm). Maximum field-effect mobilities of 0.8 cm 2 V -1 s -1 and 2.0 cm 2 V -1 s -1 are obtained for enhancement- and depletion-mode devices, respectively. The transparent nature and the large drain current on-to-off ratio of 10 5 associated with the enhancement-mode behaviour of these devices may prove useful for novel gas-sensor applications

  11. Ion exchange of alkaline metals on the thin-layer zinc ferrocyanide

    International Nuclear Information System (INIS)

    Betenekov, N.D.; Buklanov, G.V.; Ipatova, E.G.; Korotkin, Yu.S.

    1991-01-01

    Basic regularities of interphase distribution in the system of thin-layer sorbent on the basis of mixed zinc ferrocyanide (FZ)-alkaline metal solution (Na, K, Rb, Cs, Fr) in the column chromatography made are studied. It is established that interphase distribution of microgram amounts of alkaline metals in the systems thin-layer FZ-NH 4 NO 3 electrolyte solutions is of ion-exchange character and subjected to of law effective mass. It is shown that FZ thin-layer material is applicable for effective chromatographic separation of alkaline metal trace amounts. An approach to the choice of a conditions of separate elution of Na, K, Rb, Cs, Fr in the column chromatography mode

  12. Long-Term Synaptic Plasticity Emulated in Modified Graphene Oxide Electrolyte Gated IZO-Based Thin-Film Transistors.

    Science.gov (United States)

    Yang, Yi; Wen, Juan; Guo, Liqiang; Wan, Xiang; Du, Peifu; Feng, Ping; Shi, Yi; Wan, Qing

    2016-11-09

    Emulating neural behaviors at the synaptic level is of great significance for building neuromorphic computational systems and realizing artificial intelligence. Here, oxide-based electric double-layer (EDL) thin-film transistors were fabricated using 3-triethoxysilylpropylamine modified graphene oxide (KH550-GO) electrolyte as the gate dielectrics. Resulting from the EDL effect and electrochemical doping between mobile protons and the indium-zinc-oxide channel layer, long-term synaptic plasticity was emulated in our devices. Synaptic functions including long-term memory, synaptic temporal integration, and dynamic filters were successfully reproduced. In particular, spike rate-dependent plasticity (SRDP), one of the basic learning rules of long-term plasticity in the neural network where the synaptic weight changes according to the rate of presynaptic spikes, was emulated in our devices. Our results may facilitate the development of neuromorphic computational systems.

  13. Nanosized Thin SnO2 Layers Doped with Te and TeO2 as Room Temperature Humidity Sensors

    Directory of Open Access Journals (Sweden)

    Biliana Georgieva

    2014-05-01

    Full Text Available In this paper the humidity sensing properties of layers prepared by a new method for obtaining doped tin oxide are studied. Different techniques—SEM, EDS in SEM, TEM, SAED, AES and electrical measurements—are used for detailed characterization of the thin layers. The as-deposited layers are amorphous with great specific area and low density. They are built up of a fine grained matrix, consisting of Sn- and Te-oxides, and a nanosized dispersed phase of Te, Sn and/or SnTe. The chemical composition of both the matrix and the nanosized particles depends on the ratio RSn/Te and the evaporation conditions. It is shown that as-deposited layers with RSn/Te ranging from 0.4 to 0.9 exhibit excellent characteristics as humidity sensors operating at room temperature—very high sensitivity, good selectivity, fast response and short recovery period. Ageing tests have shown that the layers possess good long-term stability. Results obtained regarding the type of the water adsorption on the layers’ surface help better understand the relation between preparation conditions, structure, composition and humidity sensing properties.

  14. Electroluminescence of organic light-emitting diodes with an ultra-thin layer of dopant

    Energy Technology Data Exchange (ETDEWEB)

    Li Weizhi [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Yu Junsheng [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)], E-mail: jsyu@uestc.edu.cn; Wang, Tao [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Jiang, Yadong [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)], E-mail: jiangyd@uestc.edu.cn; Wei, Bangxiong [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)

    2008-03-15

    Conventional fluorescent dyes, i.e., 4-(dicyanomethylene)-2-t-butyl-6(1,1,7,7-tetramethyljulolidyl-9-enyl)-4H-pyran (DCJTB), 5,12-dihydro-5,12-dimethylquino [2,3-b]acridine-7,14-dione (DMQA) and 5,6,11,12-tetraphenylnaphthacene (Rubrene), were used to investigate the performance of organic light-emitting diodes (OLEDs) based on indium tin oxide (ITO)/N,N'-bis-(1-naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine (NPB)/tris-(8-hydroxyquinolate)-aluminum (Alq{sub 3})/MgAg. The dyes were either inserted into devices as an ultra-thin film at the NPB/Alq{sub 3} interface by sequential evaporation, or doped into the Alq{sub 3} emission layer by co-evaporation with the doping ratio about 2%. Electroluminescence (EL) spectra of devices indicated that concentration quenching effect (CQE) of the dye-dopant was slightly bigger in the former than in the latter, while the degrees of CQE for three dopants are in the order of DMQA > DCJTB > Rubrene suggested by the difference in EL spectra and performances of devices. In addition, EL process of device with an ultra-thin layer of dopant is dominated by direct carrier trapping (DCT) process due to almost no holes recombine with electrons in Alq{sub 3}-host layer.

  15. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  16. Photoactive thin film semiconducting iron pyrite prepared by sulfurization of iron oxides

    Energy Technology Data Exchange (ETDEWEB)

    Smestad, G.; Ennaoui, A.; Fiechter, S.; Tributsch, H.; Hofmann, W.K.; Birkholz, M. (Hahn-Meitner-Institut Berlin GmbH (Germany, F.R.). Abt. Solare Energetik Hahn-Meitner-Institut Berlin GmbH (Germany, F.R.). Abt. Materialforschung); Kautek, W. (Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany, F.R.))

    1990-03-01

    Photoactive iron pyrite (FeS{sub 2}) thin film layers have been synthesized by a simple method involving the reaction of Fe{sub 3}O{sub 4} or Fe{sub 2}O{sub 3} with elemental sulfur. The films were formed on a variety of different substrate materials by converting or sulfurizing iron oxide layers. The subsequent sulfur treatment of the oxide layers consisted of exposure of the films to gaseous sulfur in open or closed ampules at 350degC for 0.5-2 h. The morphology, composition and photoactivity of the films produced were checked using X-ray diffraction, X-ray photoelectron spectroscopy (ESCA), optical absorption, steady state and transient photoconductivity. The best films showed good crystallinity and purity with concurrent photoconductivity and photoelectrochemical response. The ability of this technique to produce photoactive material can be explained by interpretation of the Gibbs ternary phase diagram for the Fe-O-S system, and may be related to the production of photoactive pyrite in nature. A discussion is made as to the future improvement of the solar cell response by proper optimization of geometric and configurational properties. (orig.).

  17. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  18. DC-sputtered MoO{sub x} thin-films as hole transport layer in organic photovoltaic

    Energy Technology Data Exchange (ETDEWEB)

    Cauduro, Andre L.F.; Ahmadpour, Mehrad; Rubahn, Horst-Guenter; Madsen, Morten, E-mail: cauduro@mci.sdu.dk [NanoSYD, University of Southern Denmark (Denmark); Reis, Roberto dos; Chen, Gong; Schmid, Andreas [National Center for Electron Microscopy, The Molecular Foundry, LBNL, Berkeley, CA (United States); Methivier, Christophe [Sorbonne Universites, UPMC Univ Paris 06, CNRS UMR, Laboratoire de Reactivite de Surface (LRS) (France); Witkowski, Nadine [Sorbonne Universites, UPMC Univ Paris 06, UMR CNRS, Institut des Nanosciences de Paris (INSP) (France); Fichtner, Paulo F.P. [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre (Brazil)

    2016-07-01

    Full text: Molybdenum-oxide (MoO{sub x}) thin-films have attracted a lot of attention in the past years due to their unique ability to act as interfacial layers in novel electronics and energy applications. In the work presented here, large tuning possibilities in the electronic and optoelectronic properties of MoO{sub x} thin-films deposited by reactive sputtering using different oxygen partial pressures and annealing conditions are demonstrated along with the implementation of the films in organic photovoltaic. MoO{sub x} thin-films deposited under low oxygen partial pressure present a high conductivity of around 3.22 S.cm{sup -1}, however, as the oxygen partial pressure increases, the conductivity of the resulting films drops by up to around 10 orders of magnitude as the [O]/[Mo] ratio changes from 2.57 to beyond 3.00. Optical absorption measurements also show drastic changes mostly within the 0.60 eV - 2.50 eV spectral region for the same increase in oxygen concentration in [1]. UPS and XPS studies are conducted for accessing information about the work function and surface composition of the thin-films. The XPS spectra registered on the Mo 3d core level reveal how the oxidation state of Mo is affected by the partial pressure of oxygen during film growth. The work function of the films increase with annealing temperature and oxygen content, and span a tuning range of about 2 eV. To extract the spatially resolved work function values from the sputtered films, we use in addition Low Energy Electron Microscopy (LEEM). Finally, the application of the MoO{sub x} thin-films in organic optoelectronic devices is investigated by employing them as hole transport layers in small molecule photovoltaic, here based on DBP and C70. The work thus demonstrates a viable method for tuning the electronic and optoelectronic properties of MoO{sub x} thin-films, which can be applied in combination with a wide range of materials in e.g. organic photovoltaic. [1] A.L. Fernandes Cauduro

  19. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    Energy Technology Data Exchange (ETDEWEB)

    Vu, Hien Thu [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Houwman, Evert; Boota, Muhammad [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Dekkers, Matthijn [SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Vu, Hung Ngoc [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Rijnders, Guus [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectric properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity between

  20. One-step coelectrodeposition-assisted layer-by-layer assembly of gold nanoparticles and reduced graphene oxide and its self-healing three-dimensional nanohybrid for an ultrasensitive DNA sensor.

    Science.gov (United States)

    Kumarasamy, Jayakumar; Camarada, María Belén; Venkatraman, Dharuman; Ju, Huangxian; Dey, Ramendra Sundar; Wen, Yangping

    2018-01-18

    A layer-by-layer (LBL) assembly was employed for preparing multilayer thin films with a controlled architecture and composition. In this study, we report the one-step coelectrodeposition-assisted LBL assembly of both gold nanoparticles (AuNPs) and reduced graphene oxide (rGO) on the surface of a glassy carbon electrode (GCE) for the ultrasensitive electrochemical impedance sensing of DNA hybridization. A self-healable nanohybrid thin film with a three-dimensional (3D) alternate-layered nanoarchitecture was obtained by the one-step simultaneous electro-reduction of both graphene oxide and gold chloride in a high acidic medium of H 2 SO 4 using cyclic voltammetry and was confirmed by different characterization techniques. The DNA bioelectrode was prepared by immobilizing the capture DNA onto the surface of the as-obtained self-healable AuNP/rGO/AuNP/GCE with a 3D LBL nanoarchitecture via gold-thiol interactions, which then served as an impedance sensing platform for the label-free ultrasensitive electrochemical detection of DNA hybridization over a wide range from 1.0 × 10 -9 to 1.0 × 10 -13 g ml -1 , a low limit of detection of 3.9 × 10 -14 g ml -1 (S/N = 3), ultrahigh sensitivity, and excellent selectivity. This study presents a promising electrochemical sensing platform for the label-free ultrasensitive detection of DNA hybridization with potential application in cancer diagnostics and the preparation of a self-healable nanohybrid thin film with a 3D alternate-layered nanoarchitecture via a one-step coelectrodeposition-assisted LBL assembly.

  1. Solid-State Electrochromic Device Consisting of Amorphous WO3 and Various Thin Oxide Layers

    Science.gov (United States)

    Shizukuishi, Makoto; Shimizu, Isamu; Inoue, Eiichi

    1980-11-01

    A mixed oxide containing Cr2O3 was introduced into an amorphous WO3 solid-state electrochromic device (ECD) in order to improve its colour memory effect. The electrochromic characteristics were greatly affected by the chemical constituents of a dielectric layer on the a-WO3 layer. Particularly, long memory effect and low power dissipation were attained in a solid-state ECD consisting of a-WO3 and Cr2O3\\cdotV2O5(50 wt.%). Some electrochromic characteristics of the a-WO3/Cr2O3\\cdotV2O5 ECD and the role of V2O5 were investigated.

  2. Effect of Al2O3 insulator thickness on the structural integrity of amorphous indium-gallium-zinc-oxide based thin film transistors.

    Science.gov (United States)

    Kim, Hak-Jun; Hwang, In-Ju; Kim, Youn-Jea

    2014-12-01

    The current transparent oxide semiconductors (TOSs) technology provides flexibility and high performance. In this study, multi-stack nano-layers of TOSs were designed for three-dimensional analysis of amorphous indium-gallium-zinc-oxide (a-IGZO) based thin film transistors (TFTs). In particular, the effects of torsional and compressive stresses on the nano-sized active layers such as the a-IGZO layer were investigated. Numerical simulations were carried out to investigate the structural integrity of a-IGZO based TFTs with three different thicknesses of the aluminum oxide (Al2O3) insulator (δ = 10, 20, and 30 nm), respectively, using a commercial code, COMSOL Multiphysics. The results are graphically depicted for operating conditions.

  3. Characterization of the porosity of silicon nitride thin layers by Electrochemical Impedance Spectroscopy

    International Nuclear Information System (INIS)

    Barrès, T.; Tribollet, B.; Stephan, O.; Montigaud, H.; Boinet, M.; Cohin, Y.

    2017-01-01

    Silicon nitride thin films are widely used as diffusion barriers within stacks in the glass industry but turn out to be porous at the nanometric scale. EIS measurements were conducted on SiNx thin layers deposited on a gold layer. An electrochemical model was established to fit the EIS measurements making use of data from other complementary techniques. In particular, Transmission Electron Microscopy was performed on these thin layers to determine the diameter and the qualitative morphology of the pores. A quantitative determination of the through-porosity of the layer was deduced from the EIS model and was in good agreement with TEM measurements. Moreover, combining EIS with local observations enabled inhomogeneities in the layer to be probed by highlighting a specific region in the layer.

  4. Studies of void growth in a thin ductile layer between ceramics

    DEFF Research Database (Denmark)

    Tvergaard, Viggo

    1997-01-01

    The growth of voids in a thin ductile layer between ceramics is analysed numerically, using an axisymmetric cell model to represent an array of uniformly distributed spherical voids at the central plane of the layer. The purpose is to determine the full traction-separation law relevant to crack...... growth by a ductile mechanism along the thin layer. Plastic flow in the layer is highly constrained by the ceramics, so that a high. level of triaxial tension develops, leading in some cases to cavitation instabilities. The computations are continued to a state near the occurrence of void coalescence....

  5. Improved conductivity of infinite-layer LaNiO2 thin films by metal organic decomposition

    International Nuclear Information System (INIS)

    Ikeda, Ai; Manabe, Takaaki; Naito, Michio

    2013-01-01

    Highlights: •LaNiO 2 films were synthesized by metal organic decomposition and topotactic reduction. •Room-temperature resistivity as low as 0.6 mΩ cm was achieved for infinite-layer LaNiO 2 . •Lattice matched substrates are important in obtaining high conductivity. -- Abstract: Infinite-layer LaNiO 2 thin films were synthesized by metal organic decomposition and subsequent topotactic reduction in hydrogen, and their transport properties were investigated. LaNiO 2 is isostructural to SrCuO 2 , the parent compound of high-T c Sr 0.9 La 0.1 CuO 2 with T c = 44 K, and has 3d 9 configuration, which is very rare in oxides but common to high-T c copper oxides. The bulk synthesis of LaNiO 2 is not easy, but we demonstrate in this article that the thin-film synthesis of LaNiO 2 is rather easy, thanks to a large-surface-to-volume ratio, which makes oxygen diffusion prompt. Our refined synthesis conditions produced highly conducting films of LaNiO 2 . The resistivity of the best film is as low as 640 μΩ cm at 295 K and decreases with temperature down to 230 K but it shows a gradual upturn at lower temperatures

  6. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  7. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  8. Identification of nanoscale structure and morphology reconstruction in oxidized a-SiC:H thin films

    Energy Technology Data Exchange (ETDEWEB)

    Vasin, A.V.; Rusavsky, A.V.; Nazarov, A.N.; Lysenko, V.S.; Lytvyn, P.M.; Strelchuk, V.V. [Lashkaryov Institute of Semiconductor Physics, 41 Nauki Pr., Kiev 03028 (Ukraine); Kholostov, K.I.; Bondarenko, V.P. [Belarusian State University of Informatics and Radioelectronics, 6P. Brovki Str., Minsk 220013 (Belarus); Starik, S.P. [Bakul Institute of Superhard Materials, 2 Avtzavodskaya Str., Kiev 04074 (Ukraine)

    2012-11-01

    Highlights: Black-Right-Pointing-Pointer Increase of magnetron discharge power results in densification of a-SiC:H thin films. Black-Right-Pointing-Pointer The denser a-SiC:H material the better resistance to oxidation by oxygen. Black-Right-Pointing-Pointer Oxidation of soft a-SiC:H films can result in increase of electric conductivity. Black-Right-Pointing-Pointer Formation of graphitic clusters was found in a-SiC:H after annealing in oxygen. - Abstract: Oxidation behavior of a-SiC:H layers deposited by radio-frequency magnetron sputtering technique was examined by Kelvin probe force microscopy (KPFM) in combination with scanning electron microscopy, Fourier-transform infra-red spectroscopy and submicron selected area Raman scattering spectroscopy. Partially oxidized a-SiC:H samples (oxidation at 600 Degree-Sign C in oxygen) were examined to clarify mechanism of the oxidation process. Nanoscale and microscale morphological defects (pits) with dimension of about 50 nm and several microns respectively have appeared after thermal treatment. KPFM measurements exhibited the surface potential of the material in micro pits is significantly smaller in comparison with surrounding material. Submicron RS measurements indicates formation of graphite-like nano-inclusions in the pit defects. We conclude that initial stage of oxidation process in a-SiC:H films takes place not homogeneously throughout the layer but it is initiated in local nanoscale regions followed by spreading over all layer.

  9. Boosting water oxidation layer-by-layer.

    Science.gov (United States)

    Hidalgo-Acosta, Jonnathan C; Scanlon, Micheál D; Méndez, Manuel A; Amstutz, Véronique; Vrubel, Heron; Opallo, Marcin; Girault, Hubert H

    2016-04-07

    Electrocatalysis of water oxidation was achieved using fluorinated tin oxide (FTO) electrodes modified with layer-by-layer deposited films consisting of bilayers of negatively charged citrate-stabilized IrO2 NPs and positively charged poly(diallyldimethylammonium chloride) (PDDA) polymer. The IrO2 NP surface coverage can be fine-tuned by controlling the number of bilayers. The IrO2 NP films were amorphous, with the NPs therein being well-dispersed and retaining their as-synthesized shape and sizes. UV/vis spectroscopic and spectro-electrochemical studies confirmed that the total surface coverage and electrochemically addressable surface coverage of IrO2 NPs increased linearly with the number of bilayers up to 10 bilayers. The voltammetry of the modified electrode was that of hydrous iridium oxide films (HIROFs) with an observed super-Nernstian pH response of the Ir(III)/Ir(IV) and Ir(IV)-Ir(IV)/Ir(IV)-Ir(V) redox transitions and Nernstian shift of the oxygen evolution onset potential. The overpotential of the oxygen evolution reaction (OER) was essentially pH independent, varying only from 0.22 V to 0.28 V (at a current density of 0.1 mA cm(-2)), moving from acidic to alkaline conditions. Bulk electrolysis experiments revealed that the IrO2/PDDA films were stable and adherent under acidic and neutral conditions but degraded in alkaline solutions. Oxygen was evolved with Faradaic efficiencies approaching 100% under acidic (pH 1) and neutral (pH 7) conditions, and 88% in alkaline solutions (pH 13). This layer-by-layer approach forms the basis of future large-scale OER electrode development using ink-jet printing technology.

  10. A study on the optics of copper indium gallium (di)selenide (CIGS) solar cells with ultra-thin absorber layers.

    Science.gov (United States)

    Xu, Man; Wachters, Arthur J H; van Deelen, Joop; Mourad, Maurice C D; Buskens, Pascal J P

    2014-03-10

    We present a systematic study of the effect of variation of the zinc oxide (ZnO) and copper indium gallium (di)selenide (CIGS) layer thickness on the absorption characteristics of CIGS solar cells using a simulation program based on finite element method (FEM). We show that the absorption in the CIGS layer does not decrease monotonically with its layer thickness due to interference effects. Ergo, high precision is required in the CIGS production process, especially when using ultra-thin absorber layers, to accurately realize the required thickness of the ZnO, cadmium sulfide (CdS) and CIGS layer. We show that patterning the ZnO window layer can strongly suppress these interference effects allowing a higher tolerance in the production process.

  11. Evaluation of flow accelerated corrosion by coupled analysis of corrosion and flow dynamics (3), relationship of oxide film thickness, hematite/magnetite ratio, ECP and wall thinning rate

    International Nuclear Information System (INIS)

    Uchida, Shunsuke; Naitoh, Masanori; Okada, Hidetoshi; Uehara, Yasushi; Koshizuka, Seiichi

    2009-01-01

    Systematic approaches for evaluating flow accelerated corrosion (FAC) are desired before discussing application of countermeasures for FAC. Firstly, future FAC occurrence should be evaluated to identify locations where a higher possibility of FAC occurrence exists, and then, wall thinning rate at the identified FAC occurrence zone is evaluated to obtain the preparation time for applying countermeasures. Wall thinning rates were calculated with the coupled models of static electrochemical analysis and dynamic double oxide layer analysis. Anodic current density and electrochemical corrosion potential (ECP) were calculated with the static electrochemistry model based on an Evans diagram and ferrous ion release rate determined by the anodic current density was applied as input for the dynamic double oxide layer model. Some of the dissolved ferrous ion was removed to the bulk water and others precipitated on the surface as magnetite particles. The thickness of oxide layer was calculated with the dynamic double oxide layer model and then was applied as input for the electrochemistry model. It was confirmed that the calculated results based on the coupled models resulted good agreement with the measured ones. Higher ECP was essential for preventing FAC rate. Moderated conditions due to lower mass transfer coefficients resulted in thicker oxide layer thickness and then higher ECP, while moderated corrosion conditions due to higher oxidant concentrations resulted in larger hematite/magnetite rate and then higher ECP. (author)

  12. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  13. Thin-Film Material Science and Processing | Materials Science | NREL

    Science.gov (United States)

    Thin-Film Material Science and Processing Thin-Film Material Science and Processing Photo of a , a prime example of this research is thin-film photovoltaics (PV). Thin films are important because cadmium telluride thin film, showing from top to bottom: glass, transparent conducting oxide (thin layer

  14. Physicochemical, thin layer and gas-liquid chromatographic ...

    African Journals Online (AJOL)

    DELL

    2012-05-22

    May 22, 2012 ... PEG (3 m × 3 mm I. D.) was used for gas chromatography. Physicochemical analysis ... subjected to thin layer chromatography on plates (20 × 20 cm) having 0.25 mm thick silica gel ..... Headspace solid- phase microextraction ...

  15. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  16. Amplitude various angles (AVA) phenomena in thin layer reservoir: Case study of various reservoirs

    Energy Technology Data Exchange (ETDEWEB)

    Nurhandoko, Bagus Endar B., E-mail: bagusnur@bdg.centrin.net.id, E-mail: bagusnur@rock-fluid.com [Wave Inversion and Subsurface Fluid Imaging Research Laboratory (WISFIR), Basic Science Center A 4" t" hfloor, Physics Dept., FMIPA, Institut Teknologi Bandung (Indonesia); Rock Fluid Imaging Lab., Bandung (Indonesia); Susilowati, E-mail: bagusnur@bdg.centrin.net.id, E-mail: bagusnur@rock-fluid.com [Rock Fluid Imaging Lab., Bandung (Indonesia)

    2015-04-16

    Amplitude various offset is widely used in petroleum exploration as well as in petroleum development field. Generally, phenomenon of amplitude in various angles assumes reservoir’s layer is quite thick. It also means that the wave is assumed as a very high frequency. But, in natural condition, the seismic wave is band limited and has quite low frequency. Therefore, topic about amplitude various angles in thin layer reservoir as well as low frequency assumption is important to be considered. Thin layer reservoir means the thickness of reservoir is about or less than quarter of wavelength. In this paper, I studied about the reflection phenomena in elastic wave which considering interference from thin layer reservoir and transmission wave. I applied Zoeppritz equation for modeling reflected wave of top reservoir, reflected wave of bottom reservoir, and also transmission elastic wave of reservoir. Results show that the phenomena of AVA in thin layer reservoir are frequency dependent. Thin layer reservoir causes interference between reflected wave of top reservoir and reflected wave of bottom reservoir. These phenomena are frequently neglected, however, in real practices. Even though, the impact of inattention in interference phenomena caused by thin layer in AVA may cause inaccurate reservoir characterization. The relation between classes of AVA reservoir and reservoir’s character are different when effect of ones in thin reservoir and ones in thick reservoir are compared. In this paper, I present some AVA phenomena including its cross plot in various thin reservoir types based on some rock physics data of Indonesia.

  17. Amplitude various angles (AVA) phenomena in thin layer reservoir: Case study of various reservoirs

    International Nuclear Information System (INIS)

    thfloor, Physics Dept., FMIPA, Institut Teknologi Bandung (Indonesia); Rock Fluid Imaging Lab., Bandung (Indonesia))" data-affiliation=" (Wave Inversion and Subsurface Fluid Imaging Research Laboratory (WISFIR), Basic Science Center A 4thfloor, Physics Dept., FMIPA, Institut Teknologi Bandung (Indonesia); Rock Fluid Imaging Lab., Bandung (Indonesia))" >Nurhandoko, Bagus Endar B.; Susilowati

    2015-01-01

    Amplitude various offset is widely used in petroleum exploration as well as in petroleum development field. Generally, phenomenon of amplitude in various angles assumes reservoir’s layer is quite thick. It also means that the wave is assumed as a very high frequency. But, in natural condition, the seismic wave is band limited and has quite low frequency. Therefore, topic about amplitude various angles in thin layer reservoir as well as low frequency assumption is important to be considered. Thin layer reservoir means the thickness of reservoir is about or less than quarter of wavelength. In this paper, I studied about the reflection phenomena in elastic wave which considering interference from thin layer reservoir and transmission wave. I applied Zoeppritz equation for modeling reflected wave of top reservoir, reflected wave of bottom reservoir, and also transmission elastic wave of reservoir. Results show that the phenomena of AVA in thin layer reservoir are frequency dependent. Thin layer reservoir causes interference between reflected wave of top reservoir and reflected wave of bottom reservoir. These phenomena are frequently neglected, however, in real practices. Even though, the impact of inattention in interference phenomena caused by thin layer in AVA may cause inaccurate reservoir characterization. The relation between classes of AVA reservoir and reservoir’s character are different when effect of ones in thin reservoir and ones in thick reservoir are compared. In this paper, I present some AVA phenomena including its cross plot in various thin reservoir types based on some rock physics data of Indonesia

  18. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  19. Electrochromics for smart windows: Oxide-based thin films and devices

    Energy Technology Data Exchange (ETDEWEB)

    Granqvist, Claes G.

    2014-08-01

    Electrochromic (EC) smart windows are able to vary their throughput of visible light and solar energy by the application of an electrical voltage and are able to provide energy efficiency and indoor comfort in buildings. Section 1 explains why this technology is important and timely by first outlining today's precarious situation concerning increasing energy use and associated effects on the world's climate, and this section also underscores the great importance of enhancing the energy efficiency of buildings by letting them function more in harmony with the environment—particularly its varying temperature—than is possible with current mainstream technologies. This same chapter also surveys recent work on the energy savings and other benefits that are possible with EC-based technologies. Section 2 then provides some notes on the history of the EC effect and its applications. Section 3 presents a generic design for the oxide-based EC devices that are most in focus for present-day applications and research. This design includes five superimposed layers with a centrally-positioned electrolyte connecting two oxide films—at least one of which having EC properties—and with transparent electrical conductors surrounding the three-layer structure in the middle. It is emphasized that this construction can be viewed as a thin-film electrical battery whose charging state is manifested as optical absorption. Also discussed are six well known hurdles for the implementation of these EC devices, as well as a number of practical constructions of EC-based smart windows. Section 4 is an in-depth discussion of various aspects of EC oxides. It begins with a literature survey for 2007–2013, which updates earlier reviews, and is followed by a general discussion of optical and electronic effects and, specifically, on charge transfer absorption in tungsten oxide. Ionic effects are then treated with foci on the inherent nanoporosity of the important EC oxides and on the

  20. Electrochromics for smart windows: Oxide-based thin films and devices

    International Nuclear Information System (INIS)

    Granqvist, Claes G.

    2014-01-01

    Electrochromic (EC) smart windows are able to vary their throughput of visible light and solar energy by the application of an electrical voltage and are able to provide energy efficiency and indoor comfort in buildings. Section 1 explains why this technology is important and timely by first outlining today's precarious situation concerning increasing energy use and associated effects on the world's climate, and this section also underscores the great importance of enhancing the energy efficiency of buildings by letting them function more in harmony with the environment—particularly its varying temperature—than is possible with current mainstream technologies. This same chapter also surveys recent work on the energy savings and other benefits that are possible with EC-based technologies. Section 2 then provides some notes on the history of the EC effect and its applications. Section 3 presents a generic design for the oxide-based EC devices that are most in focus for present-day applications and research. This design includes five superimposed layers with a centrally-positioned electrolyte connecting two oxide films—at least one of which having EC properties—and with transparent electrical conductors surrounding the three-layer structure in the middle. It is emphasized that this construction can be viewed as a thin-film electrical battery whose charging state is manifested as optical absorption. Also discussed are six well known hurdles for the implementation of these EC devices, as well as a number of practical constructions of EC-based smart windows. Section 4 is an in-depth discussion of various aspects of EC oxides. It begins with a literature survey for 2007–2013, which updates earlier reviews, and is followed by a general discussion of optical and electronic effects and, specifically, on charge transfer absorption in tungsten oxide. Ionic effects are then treated with foci on the inherent nanoporosity of the important EC oxides and on the

  1. Growth of Cu thin films by the successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    Lindroos, S.; Ruuskanen, T.; Ritala, M.; Leskelae, M.

    2004-01-01

    Copper thin films were grown on reduced indium tin oxide, molybdenum and polymer substrates using successive ionic layer adsorption and reaction (SILAR) method. Copper films were grown sequentially in a controlled way using simple copper salt and basic solution of formaldehyde as precursors. The copper films were polycrystalline with no preferred orientation as characterised by X-ray diffraction. On all substrates, the growth was clearly island growth in the beginning but after the whole surface was covered, the growth was more homogeneous

  2. Electrical and stability performance of anode-supported solid oxide fuel cells with strontium- and magnesium-doped lanthanum gallate thin electrolyte

    International Nuclear Information System (INIS)

    Guo Weimin; Liu Jiang; Zhang Yaohui

    2008-01-01

    Anode-supported solid oxide fuel cells (SOFCs) comprising NiO-samarium-doped ceria (SDC) (Sm 0.2 Ce 0.8 O 1.9 ) composite anode, thin tri-layer electrolyte, and La 0.6 Sr 0.4 Co 0.8 Fe 0.2 O 3 (LSCF)-La 0.9 Sr 0.1 Ga 0.8 Mg 0.2 O 3-δ (LSGM) composite cathode were fabricated. The thin tri-layer consisting of an 11-μm thick LSGM electrolyte layer and a 12-μm thick La 0.4 Ce 0.6 O 1.8 (LDC) layer on each side of the LSGM was prepared by centrifugal casting and co-firing technique. The performance of the cells operated with humidified H 2 as fuel and ambient air as oxidant showed a maximum power density of 1.23 W cm -2 at 800 deg. C. A stability test of about 100 h was carried out and some deterioration of output power was observed, while the open circuit voltage (OCV) kept unchanged. Impedance measurements showed that both the electrolyte ohmic resistance and the electrode polarization increased with time and the latter dominated the degradation

  3. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  4. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    Science.gov (United States)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  5. The Recovery of a Magnetically Dead Layer on the Surface of an Anatase (Ti,CoO2 Thin Film via an Ultrathin TiO2 Capping Layer

    Directory of Open Access Journals (Sweden)

    Thantip S. Krasienapibal

    2017-03-01

    Full Text Available The effect of an ultrathin TiO2 capping layer on an anatase Ti0.95Co0.05O2−δ (001 epitaxial thin film on magnetism at 300 K was investigated. Films with a capping layer showed increased magnetization mainly caused by enhanced out-of-plane magnetization. In addition, the ultrathin capping layer was useful in prolonging the magnetization lifetime by more than two years. The thickness dependence of the magnetic domain structure at room temperature indicated the preservation of magnetic domain structure even for a 13 nm thick film covered with a capping layer. Taking into account nearly unchanged electric conductivity irrespective of the capping layer’s thickness, the main role of the capping layer is to prevent surface oxidation, which reduces electron carriers on the surface.

  6. Sol–gel derived scattering layers as substrates for thin-film photovoltaic cells

    Energy Technology Data Exchange (ETDEWEB)

    Hegmann, Jan [Lehrstuhl für Chemische Technologie der Materialsynthese, Universität Würzburg, Röntgenring 11, 97070 Würzburg (Germany); Mandl, Magdalena [Fraunhofer-Institut für Silicatforschung, Neunerplatz 2, 97082 Würzburg (Germany); Löbmann, Peer, E-mail: peer.loebmann@isc.fraunhofer.de [Fraunhofer-Institut für Silicatforschung, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-08-01

    Agglomerated silica particles were coated on glass by dip-coating; the resulting films exhibited optical scattering. With constant optical transmittances > 80% their haze could be modified by the withdrawal rate applied for the respective deposition procedure. Film thickness, surface topography and coverage of the substrate were characterized by Scanning Electron Microscopy and Atomic Force Microscopy. For the use in radiation management in thin-film silicon solar cells in a first step the scattering layers were coated with aluminum-doped zinc oxide by sputtering; the optical performance of the resulting bilayer was characterized by haze measurements and angle resolved scattering spectroscopy. Quantum efficiencies of complete solar cells could be determined after the deposition of a hydrogenated amorphous Si/hydrogenated microcrystalline Si tandem absorber and application of metallic back contacts. It turned out that the external quantum efficiency of the resulting cells is not directly related to the light scattering performance of the scattering layer used. - Highlights: • Characterization of sol–gel scattering layers • Combination of different coating-technologies to prepare stacks with optical functionality • Comprehensive material preparation and characterization for complex multilayer.

  7. Diblock Copolymer/Layered Silicate Nanocomposite Thin Film Stability

    Science.gov (United States)

    Limary, Ratchana; Green, Peter

    2000-03-01

    The stability of thin film symmetric diblock copolymers blended with layered silicate nanocomposites were examined using a combination of optical microscopy, atomic force microscopy (AFM), and X-ray diffraction (XRD). Two cases were examined PS-b-PMMA (polystyrene-b-polymethylacrylate) blended with montmorillonite stoichiometrically loaded with alkyl ammonium ions, OLS(S), and PS-b-PMMA blended with montmorillonite loaded with excess alkyl ammonium ions, OLS(E). XRD spectra show an increase in the gallery spacing of the OLSs, indicating that the copolymer chains have intercalated the layered silicates. AFM images reveal a distinct difference between the two nanocomposite thin films: regions in the vicinity of OLS(S) aggregates were depleted of material, while in the vicinity of OLS(E) aggregates, dewetting of the substrate occurred. We show that the stability of the copolymer/OLS nanocomposite films is determined by the enthalpic driving force associated with intercalation of the copolymer chains into the galleries of the modified OLS layers and by the substrate/organic modifier interactions.

  8. Work function and quantum efficiency study of metal oxide thin films on Ag(100)

    Science.gov (United States)

    Chang, V.; Noakes, T. C. Q.; Harrison, N. M.

    2018-04-01

    Increasing the quantum efficiency (QE) of metal photocathodes is in the design and development of photocathodes for free-electron laser applications. The growth of metal oxide thin films on certain metal surfaces has previously been shown to reduce the work function (WF). Using a photoemission model B. Camino et al. [Comput. Mater. Sci. 122, 331 (2016), 10.1016/j.commatsci.2016.05.025] based on the three-step model combined with density functional theory calculations we predict that the growth of a finite number of MgO(100) or BaO(100) layers on the Ag(100) surface increases significantly the QE compared with the clean Ag(100) surface for a photon energy of 4.7 eV. Different mechanisms for affecting the QE are identified for the different metal oxide thin films. The addition of MgO(100) increases the QE due to the reduction of the WF and the direct excitation of electrons from the Ag surface to the MgO conduction band. For BaO(100) thin films, an additional mechanism is in operation as the oxide film also photoemits at this energy. We also note that a significant increase in the QE for photons with an energy of a few eV above the WF is achieved due to an increase in the inelastic mean-free path of the electrons.

  9. Thin TiOx layer as a voltage divider layer located at the quasi-Ohmic junction in the Pt/Ta2O5/Ta resistance switching memory.

    Science.gov (United States)

    Li, Xiang Yuan; Shao, Xing Long; Wang, Yi Chuan; Jiang, Hao; Hwang, Cheol Seong; Zhao, Jin Shi

    2017-02-09

    Ta 2 O 5 has been an appealing contender for the resistance switching random access memory (ReRAM). The resistance switching (RS) in this material is induced by the repeated formation and rupture of the conducting filaments (CFs) in the oxide layer, which are accompanied by the almost inevitable randomness of the switching parameters. In this work, a 1 to 2 nm-thick Ti layer was deposited on the 10 nm-thick Ta 2 O 5 RS layer, which greatly improved the RS performances, including the much-improved switching uniformity. The Ti metal layer was naturally oxidized to TiO x (x resistance value was comparable to the on-state resistance of the Ta 2 O 5 RS layer. The series resistor TiO x efficiently suppressed the adverse effects of the voltage (or current) overshooting at the moment of switching by the appropriate voltage partake effect, which increased the controllability of the CF formation and rupture. The switching cycle endurance was increased by two orders of magnitude even during the severe current-voltage sweep tests compared with the samples without the thin TiO x layer. The Ti deposition did not induce any significant overhead to the fabrication process, making the process highly promising for the mass production of a reliable ReRAM.

  10. Tungsten oxides as interfacial layers for improved performance in hybrid optoelectronic devices

    International Nuclear Information System (INIS)

    Vasilopoulou, M.; Palilis, L.C.; Georgiadou, D.G.; Argitis, P.; Kennou, S.; Kostis, I.; Papadimitropoulos, G.; Stathopoulos, N.A.; Iliadis, A.A.; Konofaos, N.; Davazoglou, D.; Sygellou, L.

    2011-01-01

    Tungsten oxide (WO 3 ) films with thicknesses ranging from 30 to 100 nm were grown by Hot Filament Vapor Deposition (HFVD). Films were studied by X-Ray Photoemission Spectroscopy (XPS) and were found to be stoichiometric. The surface morphology of the films was characterized by Atomic Force Microscopy (AFM). Samples had a granular form with grains in the order of 100 nm. The surface roughness was found to increase with film thickness. HFVD WO 3 films were used as conducting interfacial layers in advanced hybrid organic-inorganic optoelectronic devices. Hybrid-Organic Light Emitting Diodes (Hy-OLEDs) and Organic Photovoltaics (Hy-OPVs) were fabricated with these films as anode and/or as cathode interfacial conducting layers. The Hy-OLEDs showed significantly higher current density and a lower turn-on voltage when a thin WO 3 layer was inserted at the anode/polymer interface, while when inserted at the cathode/polymer interface the device performance was found to deteriorate. The improvement was attributed to a more efficient hole injection and transport from the Fermi level of the anode to the Highest Occupied Molecular Orbital (HOMO) of a yellow emitting copolymer (YEP). On the other hand, the insertion of a thin WO 3 layer at the cathode/polymer interface of Hy-OPV devices based on a polythiophene-fullerene bulk-heterojunction blend photoactive layer resulted in an increase of the produced photogenerated current, more likely due to improved electron extraction at the Al cathode.

  11. Evaluation of flow accelerated corrosion by coupled analysis of corrosion and flow dynamics. Relationship of oxide film thickness, hematite/magnetite ratio, ECP and wall thinning rate

    International Nuclear Information System (INIS)

    Uchida, Shunsuke; Naitoh, Masanori; Okada, Hidetoshi; Uehara, Yasushi; Koshizuka, Seiichi

    2011-01-01

    Systematic approaches to evaluate flow accelerated corrosion (FAC) are desired before discussing application of countermeasures for FAC. First, future FAC occurrence should be evaluated to identify locations where a higher possibility of FAC occurrence exists, and then, wall thinning rate at the identified FAC occurrence zone is evaluated to obtain the preparation time for applying countermeasures. Wall thinning rates were calculated with two coupled models: 1.static electrochemical analysis and 2.dynamic oxide layer growth analysis. The anodic current density and the electrochemical corrosion potential (ECP) were calculated with the static electrochemistry model based on an Evans diagram. The ferrous ion release rate, determined by the anodic current density, was applied as input for the dynamic double oxide layer model. Some of the dissolved ferrous ion was removed to the bulk water and others precipitated on the surface as magnetite particles. The thickness of oxide layer was calculated with the dynamic oxide layer growth model and then its value was used as input in the electrochemistry model. It was confirmed that the calculated results (corrosion rate and ECP) based on the coupled models were in good agreement with the measured ones. Higher ECP was essential for preventing FAC rate. Moderated conditions due to lower mass transfer coefficients resulted in thicker oxide layer thickness and then higher ECP, while moderated corrosion conditions due to higher oxidant concentrations resulted in larger hematite/magnetite rate and then higher ECP.

  12. Demonstration of enhanced continuous-wave operation of blue laser diodes on a semipolar 202¯1¯ GaN substrate using indium-tin-oxide/thin-p-GaN cladding layers.

    Science.gov (United States)

    Mehari, Shlomo; Cohen, Daniel A; Becerra, Daniel L; Nakamura, Shuji; DenBaars, Steven P

    2018-01-22

    The benefits of utilizing transparent conductive oxide on top of a thin p-GaN layer for continuous-wave (CW) operation of blue laser diodes (LDs) were investigated. A very low operating voltage of 5.35 V at 10 kA/cm 2 was obtained for LDs with 250 nm thick p-GaN compared to 7.3 V for LDs with conventional 650 nm thick p-GaN. An improved thermal performance was also observed for the thin p-GaN samples resulting in a 40% increase in peak light output power and a 32% decrease in surface temperature. Finally, a tradeoff was demonstrated between low operating voltage and increased optical modal loss in the indium tin oxide (ITO) with thinner p-GaN. LDs lasing at 445 nm with 150 nm thick p-GaN had an excess modal loss while LDs with an optimal 250 nm thick p-GaN resulted in optical output power of 1.1 W per facet without facet coatings and a wall-plug efficiency of 15%.

  13. Influence of sputtering deposition parameters on electrical and optical properties of aluminium-doped zinc oxide thin films for photovoltaic applications

    Science.gov (United States)

    Krawczak, Ewelina; Agata, Zdyb; Gulkowski, Slawomir; Fave, Alain; Fourmond, Erwann

    2017-11-01

    Transparent Conductive Oxides (TCOs) characterized by high visible transmittance and low electrical resistivity play an important role in photovoltaic technology. Aluminum doped zinc oxide (AZO) is one of the TCOs that can find its application in thin film solar cells (CIGS or CdTe PV technology) as well as in other microelectronic applications. In this paper some optical and electrical properties of ZnO:Al thin films deposited by RF magnetron sputtering method have been investigated. AZO layers have been deposited on the soda lime glass substrates with use of variable technological parameters such as pressure in the deposition chamber, power applied and temperature during the process. The composition of AZO films has been investigated by EDS method. Thickness and refraction index of the deposited layers in dependence on certain technological parameters of sputtering process have been determined by spectroscopic ellipsometry. The measurements of transmittance and sheet resistance were also performed.

  14. High mobility transparent conducting oxides for thin film solar cells

    International Nuclear Information System (INIS)

    Calnan, S.; Tiwari, A.N.

    2010-01-01

    A special class of transparent conducting oxides (TCO) with high mobility of > 65 cm 2 V -1 s -1 allows film resistivity in the low 10 -4 Ω cm range and a high transparency of > 80% over a wide spectrum, from 300 nm to beyond 1500 nm. This exceptional coincidence of desirable optical and electrical properties provides opportunities to improve the performance of opto-electronic devices and opens possibilities for new applications. Strategies to attain high mobility (HM) TCO materials as well as the current status of such materials based on indium and cadmium containing oxides are presented. Various concepts used to understand the underlying mechanisms for high mobility in HMTCO films are discussed. Examples of HMTCO layers used as transparent electrodes in thin film solar cells are used to illustrate possible improvements in solar cell performance. Finally, challenges and prospects for further development of HMTCO materials are discussed.

  15. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  16. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Seveno, R. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)]. E-mail: raynald.seveno@univ-nantes.fr; Braud, A. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France); Gundel, H.W. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)

    2005-12-22

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O{sub 3}, PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO{sub 3}) by chemical solution deposition is studied. The SrRuO{sub 3} thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO{sub 3} layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 {mu}C/cm were found.

  17. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  18. p-Type semiconducting nickel oxide as an efficiency-enhancing anode interfacial layer in polymer bulk-heterojunction solar cells

    Science.gov (United States)

    Irwin, Michael D.; Buchholz, D. Bruce; Hains, Alexander W.; Chang, Robert P. H.; Marks, Tobin J.

    2008-01-01

    To minimize interfacial power losses, thin (5–80 nm) layers of NiO, a p-type oxide semiconductor, are inserted between the active organic layer, poly(3-hexylthiophene) (P3HT) + [6,6]-phenyl-C61 butyric acid methyl ester (PCBM), and the ITO (tin-doped indium oxide) anode of bulk-heterojunction ITO/P3HT:PCBM/LiF/Al solar cells. The interfacial NiO layer is deposited by pulsed laser deposition directly onto cleaned ITO, and the active layer is subsequently deposited by spin-coating. Insertion of the NiO layer affords cell power conversion efficiencies as high as 5.2% and enhances the fill factor to 69% and the open-circuit voltage (Voc) to 638 mV versus an ITO/P3HT:PCBM/LiF/Al control device. The value of such hole-transporting/electron-blocking interfacial layers is clearly demonstrated and should be applicable to other organic photovoltaics.

  19. Stable, microfabricated thin layer chromatography plates without volume distortion on patterned, carbon and Al₂O₃-primed carbon nanotube forests.

    Science.gov (United States)

    Jensen, David S; Kanyal, Supriya S; Gupta, Vipul; Vail, Michael A; Dadson, Andrew E; Engelhard, Mark; Vanfleet, Richard; Davis, Robert C; Linford, Matthew R

    2012-09-28

    Some of us recently described the fabrication of thin layer chromatography (TLC) plates from patterned carbon nanotube (CNT) forests via direct infiltration/coating of the CNTs by low pressure chemical vapor deposition (LPCVD) of silicon from SiH₄, followed by high temperature oxidation of the CNTs and Si. Herein we present an improved microfabrication process for the preparation of these TLC plates. First, a few nanometers of carbon and/or a thin film of Al₂O₃ is deposited on the CNTs. This method of priming the CNTs for subsequent depositions appears to be new. X-ray photoelectron spectroscopy confirms the presence of additional oxygen after carbon deposition. After priming, the plates are coated by rapid, conformal deposition of an inorganic material that does not require subsequent oxidation, i.e., by a fast pseudo atomic layer deposition (ψ-ALD) of SiO₂ from trimethylaluminum and tris(tert-butoxy)silanol. Unlike devices described previously, faithful reproduction of the features in the masks is still observed after oxidation. A bonded, amino phase on the resulting plates shows fast, highly efficient separations of fluorescent dyes (plate heights in the range of 1.6-7.7 μm). Extensive characterization of the new materials by TEM, SEM, EDAX, DRIFT, and XPS is reported. A substantially lower process temperature for the removal of the CNT scaffold is possible as a result of the already oxidized materials used. Copyright © 2012 Elsevier B.V. All rights reserved.

  20. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    International Nuclear Information System (INIS)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin

    2014-01-01

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  1. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  2. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  3. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  4. Graphene Oxide as a Monoatomic Blocking Layer

    DEFF Research Database (Denmark)

    Petersen, Søren; Glyvradal, Magni; Bøggild, Peter

    2012-01-01

    Monolayer graphene oxide (mGO) is shown to effectively protect molecular thin films from reorganization and function as an atomically thin barrier for vapor-deposited Ti/Al metal top electrodes. Fragile organic Langmuir–Blodgett (LB) films of C22 fatty acid cadmium salts (cadmium(II) behenate) were...

  5. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    Science.gov (United States)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  6. Oxidation of Dodecanoate Intercalated Iron(II)–Iron(III) Layered Double Hydroxide to Form 2D Iron(III) (Hydr)oxide Layers

    DEFF Research Database (Denmark)

    Huang, Li‐Zhi; Ayala‐Luis, Karina B.; Fang, Liping

    2013-01-01

    hydroxide planar layer were preserved during the oxidation, as shown by FTIR spectroscopy. The high positive charge in the hydroxide layer produced by the oxidation of iron(II) to iron(III) is partially compensated by the deprotonation of hydroxy groups, as shown by X‐ray photoelectron spectroscopy...... between the alkyl chains of the intercalated dodecanoate anions play a crucial role in stabilizing the structure and hindering the collapse of the iron(II)–iron(III) (hydr)oxide structure during oxidation. This is the first report describing the formation of a stable planar layered octahedral iron......(III) (hydr)oxide. oxGRC12 shows promise as a sorbent and host for hydrophobic reagents, and as a possible source of single planar layers of iron(III) (hydr)oxide....

  7. Characterization of low-temperature microwave loss of thin aluminum oxide formed by plasma oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Chunqing, E-mail: cdeng@uwaterloo.ca; Otto, M.; Lupascu, A., E-mail: alupascu@uwaterloo.ca [Institute for Quantum Computing, Department of Physics and Astronomy, and Waterloo Institute for Nanotechnology, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada)

    2014-01-27

    We report on the characterization of microwave loss of thin aluminum oxide films at low temperatures using superconducting lumped resonators. The oxide films are fabricated using plasma oxidation of aluminum and have a thickness of 5 nm. We measure the dielectric loss versus microwave power for resonators with frequencies in the GHz range at temperatures from 54 to 303 mK. The power and temperature dependence of the loss are consistent with the tunneling two-level system theory. These results are relevant to understanding decoherence in superconducting quantum devices. The obtained oxide films are thin and robust, making them suitable for capacitors in compact microwave resonators.

  8. Thin-layer electrochemistry of ferrocenylbenzene derivatives: Intramolecular electronic communication

    International Nuclear Information System (INIS)

    Wang, Michael C.P.; Li Yunchao; Merbouh, Nabyl; Yu, Hua-Zhong

    2008-01-01

    Three arylferrocene derivatives, ferrocenylbenzene (MFcB), 1,3-diferrocenylbenzene (DFcB), and 1,3,5-triferrocenylbenzene (TFcB), were prepared and their redox properties systematically explored by thin-layer cyclic voltammetry (CV) and differential-pulse voltammetry (DPV). In contrast to conventional CV measurements that produced only a single pair of redox waves for all three compounds, the thin-layer technique discriminated between the multistep electron-transfer processes of DFcB and TFcB. In particular, two and three pairs of symmetric peaks were observed, respectively, when CV curves were recorded at a graphite electrode coated with a DFcB-containing and a TFcB-containing thin film of nitrobenzene and immersed in aqueous sodium perchlorate solution. These results demonstrate that the ferrocenyl moieties attached to the meta-positions of a benzene ring communicate electronically with each other, as a result of their distinct face-to-face orientations

  9. Improved conductivity of infinite-layer LaNiO{sub 2} thin films by metal organic decomposition

    Energy Technology Data Exchange (ETDEWEB)

    Ikeda, Ai [Department of Applied Physics, Tokyo University of Agriculture and Technology, Naka-cho 2-24-16, Koganei, Tokyo 184-8588 (Japan); Research Fellow of the Japan Society for the Promotion of Science (Japan); Manabe, Takaaki [National Institute of Advanced Industrial Science and Technology (AIST), Higashi 1-1-1, Tsukuba, Ibaraki 305-8565 (Japan); Naito, Michio, E-mail: minaito@cc.tuat.ac.jp [Department of Applied Physics, Tokyo University of Agriculture and Technology, Naka-cho 2-24-16, Koganei, Tokyo 184-8588 (Japan)

    2013-12-15

    Highlights: •LaNiO{sub 2} films were synthesized by metal organic decomposition and topotactic reduction. •Room-temperature resistivity as low as 0.6 mΩ cm was achieved for infinite-layer LaNiO{sub 2}. •Lattice matched substrates are important in obtaining high conductivity. -- Abstract: Infinite-layer LaNiO{sub 2} thin films were synthesized by metal organic decomposition and subsequent topotactic reduction in hydrogen, and their transport properties were investigated. LaNiO{sub 2} is isostructural to SrCuO{sub 2}, the parent compound of high-T{sub c} Sr{sub 0.9}La{sub 0.1}CuO{sub 2} with T{sub c} = 44 K, and has 3d{sup 9} configuration, which is very rare in oxides but common to high-T{sub c} copper oxides. The bulk synthesis of LaNiO{sub 2} is not easy, but we demonstrate in this article that the thin-film synthesis of LaNiO{sub 2} is rather easy, thanks to a large-surface-to-volume ratio, which makes oxygen diffusion prompt. Our refined synthesis conditions produced highly conducting films of LaNiO{sub 2}. The resistivity of the best film is as low as 640 μΩ cm at 295 K and decreases with temperature down to 230 K but it shows a gradual upturn at lower temperatures.

  10. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  11. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  12. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  13. Increased cathode performance using a thin film LSM layer on a structured 8YSZ electrolyte surface

    Energy Technology Data Exchange (ETDEWEB)

    Herbstritt, D.; Weber, A.; Ivers-Tiffee, E. [Karlsruhe Univ. (T.H.) (DE). Inst. fuer Werkstoffkunde der Elektrotechnik (IWE); Guntow, U.; Mueller, G. [Fraunhofer-Institut fuer Silicatforschung (ISC), Wuerzburg (Germany)

    2000-07-01

    A considerable part of the power losses in a SOFC single cell occurs due to the polarization resistance of the cathode/electrolyte interface. The resulting high cathodic overvoltage corresponds to an enhanced degradation of the cell. In case of a screen printed LSM cathode layer (LSM: La{sub 1-x}Sr{sub x}MnO{sub 3}) on a YSZ electrolyte substrate (YSZ: Y{sub 2}O{sub 3} stabilised ZrO{sub 2}) the cathodic reaction is generally assumed to be restricted to the three phase boundary (tpb) between cathode, oxidant and the electrolyte surface. The electrochemical active area was increased by a modification of the cathode/electrolyte interface. Single cells with a thin film LSM layer on a structured 8YSZ electrolyte showed a power output of about 0.95 W/cm{sup 2} at 0.7 V cell voltage (950 C; oxidant: air, 0.7 1/min; fuel: hydrogen, 0.5 1/min, 15% fuel utilization). (orig.)

  14. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H–SiC in diluted N2O ambient

    International Nuclear Information System (INIS)

    Wong, Yew Hoong; Cheong, Kuan Yew

    2012-01-01

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N 2 O ambient (10–100%) at 500 °C for 15 min to form Zr-oxynitride on 4H–SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N 2 O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr–O–N and/or Zr–N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: ► Zr-oxynitride as the gate oxide deposited on 4H–SiC substrate. ► Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H–SiC using various concentrations of N 2 O gas. ► Presence of interfacial layer comprised of mixed compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N. ► The highest electrical breakdown and highest reliability at diluted N 2 O of 10%.

  15. Method for Aluminum Oxide Thin Films Prepared through Low Temperature Atomic Layer Deposition for Encapsulating Organic Electroluminescent Devices

    Directory of Open Access Journals (Sweden)

    Hui-Ying Li

    2015-02-01

    Full Text Available Preparation of dense alumina (Al2O3 thin film through atomic layer deposition (ALD provides a pathway to achieve the encapsulation of organic light emitting devices (OLED. Unlike traditional ALD which is usually executed at higher reaction n temperatures that may affect the performance of OLED, this application discusses the development on preparation of ALD thin film at a low temperature. One concern of ALD is the suppressing effect of ambient temperature on uniformity of thin film. To mitigate this issue, the pumping time in each reaction cycle was increased during the preparation process, which removed reaction byproducts and inhibited the formation of vacancies. As a result, the obtained thin film had both high uniformity and density properties, which provided an excellent encapsulation performance. The results from microstructure morphology analysis, water vapor transmission rate, and lifetime test showed that the difference in uniformity between thin films prepared at low temperatures, with increased pumping time, and high temperatures was small and there was no obvious influence of increased pumping time on light emitting performance. Meanwhile, the permeability for water vapor of the thin film prepared at a low temperature was found to reach as low as 1.5 × 10−4 g/(m2·day under ambient conditions of 25 °C and 60% relative humidity, indicating a potential extension in the lifetime for the OLED.

  16. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  17. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  18. Outdoor open thin-layer microalgal photobioreactor: potential productivity

    Czech Academy of Sciences Publication Activity Database

    Doucha, Jiří; Lívanský, Karel

    2009-01-01

    Roč. 21, č. 1 (2009), s. 111-117 ISSN 0921-8971 Institutional research plan: CEZ:AV0Z50200510 Keywords : productivity * photobioreactor * thin layer Subject RIV: EE - Microbiology, Virology Impact factor: 1.018, year: 2009

  19. Static and Dynamic Water Motion-Induced Instability in Oxide Thin-Film Transistors and Its Suppression by Using Low-k Fluoropolymer Passivation.

    Science.gov (United States)

    Choi, Seungbeom; Jo, Jeong-Wan; Kim, Jaeyoung; Song, Seungho; Kim, Jaekyun; Park, Sung Kyu; Kim, Yong-Hoon

    2017-08-09

    Here, we report static and dynamic water motion-induced instability in indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) and its effective suppression with the use of a simple, solution-processed low-k (ε ∼ 1.9) fluoroplastic resin (FPR) passivation layer. The liquid-contact electrification effect, in which an undesirable drain current modulation is induced by a dynamic motion of a charged liquid such as water, can cause a significant instability in IGZO TFTs. It was found that by adopting a thin (∼44 nm) FPR passivation layer for IGZO TFTs, the current modulation induced by the water-contact electrification was greatly reduced in both off- and on-states of the device. In addition, the FPR-passivated IGZO TFTs exhibited an excellent stability to static water exposure (a threshold voltage shift of +0.8 V upon 3600 s of water soaking), which is attributed to the hydrophobicity of the FPR passivation layer. Here, we discuss the origin of the current instability caused by the liquid-contact electrification as well as various static and dynamic stability tests for IGZO TFTs. On the basis of our findings, we believe that the use of a thin, solution-processed FPR passivation layer is effective in suppressing the static and dynamic water motion-induced instabilities, which may enable the realization of high-performance and environment-stable oxide TFTs for emerging wearable and skin-like electronics.

  20. Influence of sputtering deposition parameters on electrical and optical properties of aluminium-doped zinc oxide thin films for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    Krawczak Ewelina

    2017-01-01

    Full Text Available Transparent Conductive Oxides (TCOs characterized by high visible transmittance and low electrical resistivity play an important role in photovoltaic technology. Aluminum doped zinc oxide (AZO is one of the TCOs that can find its application in thin film solar cells (CIGS or CdTe PV technology as well as in other microelectronic applications. In this paper some optical and electrical properties of ZnO:Al thin films deposited by RF magnetron sputtering method have been investigated. AZO layers have been deposited on the soda lime glass substrates with use of variable technological parameters such as pressure in the deposition chamber, power applied and temperature during the process. The composition of AZO films has been investigated by EDS method. Thickness and refraction index of the deposited layers in dependence on certain technological parameters of sputtering process have been determined by spectroscopic ellipsometry. The measurements of transmittance and sheet resistance were also performed.

  1. Charge state of oxide layer of SIMOX-structures

    CERN Document Server

    Askinazi, A Y; Dmitriev, V A; Miloglyadova, L V

    2001-01-01

    The charge state of the oxide layer of the SIMOX-structures, obtained in the course of forming the oxide layers, bricked up in the silicon volume, through the oxygen ions implantation into the Si, is studied. The charge state of the given structures is studied through the method of the layer-by-layer profiling, which makes it possible to obtain the dependence of the plane zones potential on the oxide layer thickness. It is established, that during the process of the SIMOX-structures formation in the oxide layer near the boundary with the Si there appear defects, responsible for the charge. The radiation from the near-the-ultraviolet (NUV) area without the applied electric field neutralizes the given charge. The simultaneous impact of the NUV-radiation and electric field leads to the formation of significantly positive charge

  2. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  3. Electrical and stability performance of anode-supported solid oxide fuel cells with strontium- and magnesium-doped lanthanum gallate thin electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Guo Weimin [College of Chemistry, South China University of Technology, Guangzhou 510640, Guangdong (China); Liu Jiang [College of Chemistry, South China University of Technology, Guangzhou 510640, Guangdong (China)], E-mail: jiangliu@scut.edu.cn; Zhang Yaohui [College of Chemistry, South China University of Technology, Guangzhou 510640, Guangdong (China)

    2008-05-20

    Anode-supported solid oxide fuel cells (SOFCs) comprising NiO-samarium-doped ceria (SDC) (Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9}) composite anode, thin tri-layer electrolyte, and La{sub 0.6}Sr{sub 0.4}Co{sub 0.8}Fe{sub 0.2}O{sub 3} (LSCF)-La{sub 0.9}Sr{sub 0.1}Ga{sub 0.8}Mg{sub 0.2}O{sub 3-{delta}} (LSGM) composite cathode were fabricated. The thin tri-layer consisting of an 11-{mu}m thick LSGM electrolyte layer and a 12-{mu}m thick La{sub 0.4}Ce{sub 0.6}O{sub 1.8} (LDC) layer on each side of the LSGM was prepared by centrifugal casting and co-firing technique. The performance of the cells operated with humidified H{sub 2} as fuel and ambient air as oxidant showed a maximum power density of 1.23 W cm{sup -2} at 800 deg. C. A stability test of about 100 h was carried out and some deterioration of output power was observed, while the open circuit voltage (OCV) kept unchanged. Impedance measurements showed that both the electrolyte ohmic resistance and the electrode polarization increased with time and the latter dominated the degradation.

  4. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  5. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  6. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    Science.gov (United States)

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  7. Thin hybrid pixel assembly fabrication development with backside compensation layer

    Energy Technology Data Exchange (ETDEWEB)

    Bates, R., E-mail: richard.bates@glasgow.ac.uk [Experimental Particle Physics Group, SUPA School of Physics and Astronomy, The University of Glasgow, Glasgow G12 8QQ (United Kingdom); Buttar, C.; McMullen, T.; Cunningham, L.; Ashby, J.; Doherty, F. [Experimental Particle Physics Group, SUPA School of Physics and Astronomy, The University of Glasgow, Glasgow G12 8QQ (United Kingdom); Pares, G.; Vignoud, L.; Kholti, B. [CEA Leti, MINATEC, 17 rue des Martyrs, F38054, Grenoble (France); Vahanen, S. [Advacam Oy, Tietotie 3, 02150 Espoo (Finland)

    2017-02-11

    The ATLAS and CMS experiments will both replace their entire tracking systems for operation at the HL-LHC in 2026. This will include a significantly larger pixel systems, for example, for ATLAS approximately 15 m{sup 2}. To keep the tracker material budget low it is crucial to minimize the mass of the pixel modules via thinning both the sensor and readout chip to about 150 μm each. The bump yield of thin module assemblies using solder based bump bonding can be problematic due to wafer bowing during solder reflow at high temperature. A new bump-bonding process using backside compensation on the readout chip to address the issue of low yield will be presented. The objective is to compensate dynamically the stress of the front side stack by adding a compensating layer to the backside of the wafer. A SiN and Al:Si stack has been chosen for the backside layer. The bow reducing effect of applying a backside compensation layer will be demonstrated using the FE-I4 wafer. The world's first results from assemblies produced from readout wafers thinned to 100 μm with a stress compensation layer are presented with bond yields close to 100% measured using the FE-I4 readout chip.

  8. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  9. Infrared reflectance studies of hillock-like porous zinc oxide thin films

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ng, S.S.; Hassan, Z.; Abu Hassan, H.

    2013-01-01

    We investigated the infrared (IR) reflectance characteristics of hillock-like porous zinc oxide (ZnO) thin films on silicon substrates. The IR reflectance spectra of the porous samples exhibited an extra resonance hump in the reststrahlen region of ZnO compared with the as-grown sample. Oscillation fringes with different behaviors were also observed in the non-reststrahlen region of ZnO. Standard multilayer optic technique was used with the effective medium theory to analyze the observations. Results showed that the porous ZnO layer consisted of several sublayers with different porosities and thicknesses. These findings were confirmed by scanning electron microscopy measurements. - Highlights: • Multilayer porous assumption qualitatively increased the overall spectra fitting. • IR reflectance is a sensitive method to probe the multilayer porous structure. • Hillock-like porous ZnO thin films fabricated using electrochemical etching method. • The thickness and porosity of the samples were determined. • Formation of extra resonance hump was due to splitting of reststrahlen band

  10. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  11. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  12. Fabrication of thin yttria-stabilized-zirconia dense electrolyte layers by inkjet printing for high performing solid oxide fuel cells

    DEFF Research Database (Denmark)

    Esposito, Vincenzo; Gadea, Christophe; Hjelm, Johan

    2015-01-01

    In this work, we present how a low-cost HP Deskjet 1000 inkjet printer was used to fabricate a 1.2 mm thin, dense and gas tight 16 cm2 solid oxide fuel cells (SOFC) electrolyte. The electrolyte was printed using an ink made of highly diluted (

  13. High Electron Mobility Thin-Film Transistors Based on Solution-Processed Semiconducting Metal Oxide Heterojunctions and Quasi-Superlattices

    KAUST Repository

    Lin, Yen-Hung; Faber, Hendrik; Labram, John G.; Stratakis, Emmanuel; Sygellou, Labrini; Kymakis, Emmanuel; Hastas, Nikolaos A.; Li, Ruipeng; Zhao, Kui; Amassian, Aram; Treat, Neil D.; McLachlan, Martyn; Anthopoulos, Thomas D.

    2015-01-01

    High mobility thin-film transistor technologies that can be implemented using simple and inexpensive fabrication methods are in great demand because of their applicability in a wide range of emerging optoelectronics. Here, a novel concept of thin-film transistors is reported that exploits the enhanced electron transport properties of low-dimensional polycrystalline heterojunctions and quasi-superlattices (QSLs) consisting of alternating layers of In2O3, Ga2O3, and ZnO grown by sequential spin casting of different precursors in air at low temperatures (180–200 °C). Optimized prototype QSL transistors exhibit band-like transport with electron mobilities approximately a tenfold greater (25–45 cm2 V−1 s−1) than single oxide devices (typically 2–5 cm2 V−1 s−1). Based on temperature-dependent electron transport and capacitance-voltage measurements, it is argued that the enhanced performance arises from the presence of quasi 2D electron gas-like systems formed at the carefully engineered oxide heterointerfaces. The QSL transistor concept proposed here can in principle extend to a range of other oxide material systems and deposition methods (sputtering, atomic layer deposition, spray pyrolysis, roll-to-roll, etc.) and can be seen as an extremely promising technology for application in next-generation large area optoelectronics such as ultrahigh definition optical displays and large-area microelectronics where high performance is a key requirement.

  14. High Electron Mobility Thin-Film Transistors Based on Solution-Processed Semiconducting Metal Oxide Heterojunctions and Quasi-Superlattices

    KAUST Repository

    Lin, Yen-Hung

    2015-05-26

    High mobility thin-film transistor technologies that can be implemented using simple and inexpensive fabrication methods are in great demand because of their applicability in a wide range of emerging optoelectronics. Here, a novel concept of thin-film transistors is reported that exploits the enhanced electron transport properties of low-dimensional polycrystalline heterojunctions and quasi-superlattices (QSLs) consisting of alternating layers of In2O3, Ga2O3, and ZnO grown by sequential spin casting of different precursors in air at low temperatures (180–200 °C). Optimized prototype QSL transistors exhibit band-like transport with electron mobilities approximately a tenfold greater (25–45 cm2 V−1 s−1) than single oxide devices (typically 2–5 cm2 V−1 s−1). Based on temperature-dependent electron transport and capacitance-voltage measurements, it is argued that the enhanced performance arises from the presence of quasi 2D electron gas-like systems formed at the carefully engineered oxide heterointerfaces. The QSL transistor concept proposed here can in principle extend to a range of other oxide material systems and deposition methods (sputtering, atomic layer deposition, spray pyrolysis, roll-to-roll, etc.) and can be seen as an extremely promising technology for application in next-generation large area optoelectronics such as ultrahigh definition optical displays and large-area microelectronics where high performance is a key requirement.

  15. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  16. Thin-layer chromatography can resolve phosphotyrosine, phosphoserine, and phosphothreonine in a protein hydrolyzate

    International Nuclear Information System (INIS)

    Neufeld, E.; Goren, H.J.; Boland, D.

    1989-01-01

    A solution of propionic acid, 1 M ammonium hydroxide, and isopropyl alcohol (45/17.5/17.5, v/v) was the ascending solvent in the separation of phosphotyrosine, phosphothreonine, and phosphoserine by thin-layer chromatography. The immobile phase was cellulose. The relative migrations were 0.44, 0.38, and 0.2, respectively. A previously described thin-layer system consisting of isobutyric acid and 0.5 M ammonium hydroxide (50/30, v/v) gave very similar relative migrations. To determine the usefulness of thin-layer chromatography in phosphoamino acid analysis, the propionic acid/ammonium hydroxide/isopropyl alcohol solution was used to characterize phosphorylated residues in a plasma membrane protein which is a substrate for the insulin receptor kinase, in insulin receptor phosphorylated histone H2B, and in an in vivo phosphorylated 90000-Da protein from IM9 cells. 32 P-labeled proteins were separated by dodecyl sulfate-gel electrophoresis, digested with trypsin, and then hydrolyzed with 6 N HCl, 2 h, 110 degrees C. Following thin-layer chromatography of the hydrolyzates and autoradiography, phosphotyrosine was detected in insulin receptor substrates, and phosphoserine and phosphothreonine were found in the in vivo-phosphorylated protein. This study supports previous reports about the practicality of thin-layer chromatography in phosphoamino acid analysis and it demonstrates that a propionic acid, ammonium hydroxide, isoprophyl alcohol solution may be a useful ascending solvent mixture for this purpose

  17. (AJST) THIN- LAYER DRYING OF DICED CASSAVA ROOTS

    African Journals Online (AJOL)

    opiyo

    effect of drying temperature on thin-layer drying was high, followed by initial moisture .... The moisture content was converted to moisture ratio (MR) using the non-exponential part .... The Potential of Cassava As a Cash. Crop For Small Holder ...

  18. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  19. Thin layer activation and ultra thin layer activation: two complementary techniques for wear and corrosion studies in various fields

    International Nuclear Information System (INIS)

    Sauvage, T.; Vincent, L.; Blondiaux, G.

    2002-01-01

    Thin layer activation (TLA) is widely used since more than 25 years to study surface wear or corrosion. This well known technique uses most of the time charged particles activation, which gives sensitivity in the range of the micrometer, except when the fluid mode of detection is utilized. In this case application of the method is limited to phenomena where we have transport of radioactive fragments to detection point. The main disadvantage of this procedure is the error due to trapping phenomena between the wear or corrosion point and detection setup. So the ultra thin layer activation (UTLA) has been developed to get nanometric sensitivity without using any fluid for radioactivity transportation, which is the main source of error of the TLA technique. In this paper we shall briefly describe the TLA technique and the most important fields of application. Then we shall emphasise on UTLA with a presentation of the principle of the method and actual running of application. The main problem concerning UTLA is calibration which requires the use of thin films (usually 10 to 100 nanometers) deposited on substrate. This process is time consuming and we shall demonstrate how running software developed in the lab can solve it. We shall finish the presentation by giving some potential application of the technique in various fields. (authors)

  20. Effect of the thin Ga2O3 layer in n+-ZnO/n-Ga2O3/p-Cu2O heterojunction solar cells

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2013-01-01

    The influence of inserting a Ga 2 O 3 thin film as an n-type semiconductor layer on the obtainable photovoltaic properties in Cu 2 O-based heterojunction solar cells was investigated with a transparent conductive Al-doped ZnO (AZO) thin film/n-Ga 2 O 3 thin film/p-Cu 2 O sheet structure. It was found that this Ga 2 O 3 thin film can greatly improve the performance of Cu 2 O-based heterojunction solar cells fabricated using polycrystalline Cu 2 O sheets that had been prepared by a thermal oxidization of copper sheets. The obtained photovoltaic properties in the AZO/Ga 2 O 3 /Cu 2 O heterojunction solar cells were strongly dependent on the deposition conditions of the Ga 2 O 3 films. The external quantum efficiency obtained in AZO/Ga 2 O 3 /Cu 2 O heterojunction solar cells was found to be greater at wavelengths below approximately 500 nm than that obtained in AZO/Cu 2 O heterojunction solar cells (i.e., prepared without a Ga 2 O 3 layer) at equivalent wavelengths. This improvement of photovoltaic properties is mainly attributed to a decrease in the level of defects at the interface between the Ga 2 O 3 thin film and the Cu 2 O sheet. Conversion efficiencies over 5% were obtained in AZO/Ga 2 O 3 /Cu 2 O heterojunction solar cells fabricated using an n-Ga 2 O 3 thin-film layer prepared with a thickness of 40–80 nm at an O 2 gas pressure of approximately 1.7 Pa by a pulsed laser deposition. - Highlights: • We demonstrate high-efficiency Cu 2 O-based p-n heterojunction solar cells. • A non-doped Ga 2 O 3 thin film was used as an n-type semiconductor layer. • The Ga 2 O 3 thin film was prepared at a low temperature by a low damage deposition. • p-type Cu 2 O sheets prepared by thermal oxidization of copper sheets were used. • Conversion efficiencies over 5% were obtained in AZO/n-Ga 2 O 3 /p-Cu 2 O solar cells

  1. Modification of erbium photoluminescence decay rate due to ITO layers on thin films of SiO{sub 2}:Er doped with Si-nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    Wojdak, M., E-mail: m.wojdak@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Jayatilleka, H. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario, Canada M5S 3G4 (Canada); Shah, M. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Kenyon, A.J., E-mail: t.kenyon@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Gourbilleau, F.; Rizk, R. [Centre de Recherche sur les Ions, les Matériaux et la Photonique (CIMAP), ENSICAEN, CNRS, CEA/IRAMIS, Université de Caen, 14050 CAEN cedex (France)

    2013-04-15

    During the fabrication of MOS light emitting devices, the thin film of active material is usually characterized by photoluminescence measurements before electrical contacts are deposited. However, the presence of a conductive contact layer can alter the luminescent properties of the active material. The local optical density of states changes due to the proximity of luminescent species to the interface with the conductive medium (the top electrode), and this modifies the radiative rate of luminescent centers within the active layer. In this paper we report enhancement of the observed erbium photoluminescence rate after deposition of indium tin oxide contacts on thin films of SiO{sub 2}:Er containing silicon nanoclusters, and relate this to Purcell enhancement of the erbium radiative rate. -- Highlights: ► We studied photoluminescence of Er in SiO{sub 2} thin films doped with Si nanoclusters. ► Presence of ITO layer on the top enhances photoluminescence decay rate of Er. ► The effect depends on the thickness of active film. ► Radiative rate change in proximity of ITO layer was calculated theoretically. ► The calculation results are compared with the experiment and discussed.

  2. Fabrication technology of CNT-Nickel Oxide based planar pseudocapacitor for MEMS and NEMS

    Science.gov (United States)

    Lebedev, E. A.; Kitsyuk, E. P.; Gavrilin, I. M.; Gromov, D. G.; Gruzdev, N. E.; Gavrilov, S. A.; Dronov, A. A.; Pavlov, A. A.

    2015-11-01

    Fabrication technology of planar pseudocapacitor (PsC) based on carbon nanotube (CNT) forest, synthesized using plasma enhanced chemical vapor deposition (PECVD) method, covered with thin nickel oxide layer deposited by successive ionic layer adsorption and reaction (SILAR) method, is demonstrated. Dependences of deposited oxide layers thickness on device specific capacities is studied. It is shown that pseudocapacity of nickel oxide thin layer increases specific capacity of the CNT's based device up to 2.5 times.

  3. Spinel-structured surface layers for facile Li ion transport and improved chemical stability of lithium manganese oxide spinel

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hae Ri [Center for Energy Convergence Research, Korea Institute of Science Technology, Hwarang-ro 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Department of Chemical and Biological Engineering, Korea University, 145, Anam-ro, Seongbuk-gu, Seoul 136-701 (Korea, Republic of); Seo, Hyo Ree; Lee, Boeun; Cho, Byung Won [Center for Energy Convergence Research, Korea Institute of Science Technology, Hwarang-ro 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Lee, Kwan-Young [Department of Chemical and Biological Engineering, Korea University, 145, Anam-ro, Seongbuk-gu, Seoul 136-701 (Korea, Republic of); Oh, Si Hyoung, E-mail: sho74@kist.re.kr [Center for Energy Convergence Research, Korea Institute of Science Technology, Hwarang-ro 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of)

    2017-01-15

    Graphical abstract: Strategically-designed spinel-structured nano-scale surface layer, LiM{sub x}Mn{sup IV}{sub 1−x}O{sub 4}, featuring a high Li{sup +} ion conductivity and a good chemical stability was applied on Al-doped LiMn{sub 2}O{sub 4} spinel for the drastic improvement of the electrochemical performance at the elevated temperature as a promising cathode material for lithium rechargeable batteries. - Highlights: • Spinel-structured surface layer with a high Li-ion conductivity and a good chemical stability was prepared. • Simple wet process was developed to apply nano-scale surface layer on aluminum doped lithium manganese oxide spinel. • The properties of nano-scale surface layer were characterized by analytical tools including GITT, HR-TEM and XAS. • Materials with surface coating layer exhibit an excellent electrochemical performance at the elevated temperature. - Abstract: Li-ion conducting spinel-structured oxide layer with a manganese oxidation state close to being tetravalent was prepared on aluminum-doped lithium manganese oxide spinel for improving the electrochemical performances at the elevated temperatures. This nanoscale surface layer provides a good ionic conduction path for lithium ion transport to the core and also serves as an excellent chemical barrier for protecting the high-capacity core material from manganese dissolution into the electrolyte. In this work, a simple wet process was employed to prepare thin LiAlMnO{sub 4} and LiMg{sub 0.5}Mn{sub 1.5}O{sub 4} layers on the surface of LiAl{sub 0.1}Mn{sub 1.9}O{sub 4}. X-ray absorption studies revealed an oxidation state close to tetravalent manganese on the surface layer of coated materials. Materials with these surface coating layers exhibited excellent capacity retentions superior to the bare material, without undermining the lithium ion transport characteristics and the high rate performances.

  4. The effect of Cr buffer layer thickness on voltage generation of thin-film thermoelectric modules

    International Nuclear Information System (INIS)

    Mizoshiri, Mizue; Mikami, Masashi; Ozaki, Kimihiro

    2013-01-01

    The effect of Cr buffer layer thickness on the open-circuit voltage generated by thin-film thermoelectric modules of Bi 0.5 Sb 1.5 Te 3 (p-type) and Bi 2 Te 2.7 Se 0.3 (n-type) materials was investigated. A Cr buffer layer, whose thickness generally needs to be optimized to improve adhesion depending on the substrate surface condition, such as roughness, was deposited between thermoelectric thin films and glass substrates. When the Cr buffer layer was 1 nm thick, the Seebeck coefficients and electrical conductivity of 1 µm thermoelectric thin films with the buffer layers were approximately equal to those of the thermoelectric films without the buffer layers. When the thickness of the Cr buffer layer was 1 µm, the same as the thermoelectric films, the Seebeck coefficients of the bilayer films were reduced by an electrical current flowing inside the Cr buffer layer and the generation of Cr 2 Te 3 . The open-circuit voltage of the thin-film thermoelectric modules decreased with an increase in the thickness of the Cr buffer layer, which was primarily induced by the electrical current flow. The reduction caused by the Cr 2 Te 3 generation was less than 10% of the total voltage generation of the modules without the Cr buffer layers. The voltage generation of thin-film thermoelectric modules could be controlled by the Cr buffer layer thickness. (paper)

  5. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  6. Interface modification of organic photovoltaics by combining molybdenum oxide (MoO{sub x}) and molecular template layer

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Haichao [Institute of Super-microstructure and Ultrafast Process in Advanced Materials, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Hunan Key Laboratory for Super-microstructure and Ultrafast Process, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Yang, Junliang, E-mail: junliang.yang@csu.edu.cn [Institute of Super-microstructure and Ultrafast Process in Advanced Materials, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Hunan Key Laboratory for Super-microstructure and Ultrafast Process, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Fu, Lin; Xiong, Jian; Yang, Bingchu; Ouyang, Jun; Zhou, Conghua; Huang, Han [Institute of Super-microstructure and Ultrafast Process in Advanced Materials, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Hunan Key Laboratory for Super-microstructure and Ultrafast Process, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Gao, Yongli [Institute of Super-microstructure and Ultrafast Process in Advanced Materials, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Hunan Key Laboratory for Super-microstructure and Ultrafast Process, School of Physics and Electronics, Central South University, Changsha, Hunan 410083 (China); Department of Physics and Astronomy, University of Rochester, Rochester, NY 14627 (United States)

    2015-01-01

    We report discrete heterojunction small molecular organic photovoltaics (OPVs) with enhanced performance by modifying the interface using molybdenum oxide (MoO{sub x}) and molecular template layer perylene-3,4,9,10-tetracarboxylic-3,4,9,10-dianhydride (PTCDA). A large increase in open-circuit voltage was obtained in copper phthalocyanine/fullerene, i.e., CuPc/C{sub 60} and CuPc/PCBM, discrete planar heterojunction photovoltaics with an insertion of 5 nm MoO{sub x} hole transport layer at the interface between the anode electrode and the CuPc donor layer. It results from the band bending at the interface and the pinning of the highest occupied molecular orbital level of CuPc to the Fermi level of MoO{sub x} due to the defect states (oxygen vacancies) in MoO{sub x} thin films. Moreover, the short-circuit current showed an efficient improvement by inserting a 1 nm PTCDA layer at the interface between the MoO{sub x} layer and the CuPc layer. The PTCDA layer induces the growth of CuPc thin film with lying-down molecular arrangement, supporting the charge transports along the vertical direction. The power conversion efficiencies of CuPc/C{sub 60} and CuPc/PCBM discrete planar heterojunction photovoltaic devices were improved from about 0.80% to 1.50% with inserting both MoO{sub x} and PTCDA layers. The results suggest that the performance of organic discrete planar heterojunction photovoltaics could be optimized by interface modification with combining hole transport layer and molecular template layer, which are potentially suitable for other highly efficient OPVs, such as small molecular tandem OPVs. - Highlights: • Organic small molecule photovoltaics were fabricated by interface modification. • An inserted molybdenum oxide layer largely enhances open-circuit voltage. • An inserted molecular template layer dramatically improves short-circuit current. • The power conversion efficiencies are almost doubled with interface modification.

  7. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  8. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  9. A simplified computing method of pile group to seismic loads using thin layer element

    International Nuclear Information System (INIS)

    Masao, T.; Hama, I.

    1995-01-01

    In the calculation of pile group, it is said that the results of response by thin layer method give the correct solution with the isotropic and homogeneous soil material in each layer, on the other hand this procedure spends huge computing time. Dynamic stiffness matrix of thin layer method is obtained from inversion of flexibility matrix between pile-i and pile-j. This flexibility matrix is full matrix and its size increase in proportion to the number of piles and thin layers. The greater part of run time is taken into the inversion of flexibility matrix against point loading. We propose the method of decreasing the run time for computing by reducing to banded matrix of flexibility matrix. (author)

  10. Investigation of thin ZnO layers in view of laser desorption-ionization

    Energy Technology Data Exchange (ETDEWEB)

    Grechnikov, A A; Borodkov, A S [Vernadsky Institute of Geochemistry and Analytical Chemistry, Russian Academy of Sciences, 19 Kosygin Str., 119991 Moscow (Russian Federation); Georgieva, V B [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Alimpiev, S S; Nikiforov, S M; Simanovsky, Ya O [General Physics Institute, Russian Academy of Sciences, 38 Vavilov Str., 119991 Moscow (Russian Federation); Dimova-Malinovska, D; Angelov, O I, E-mail: lazarova@issp.bas.b [Laboratory for Solar Energy and New Energy Sources, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria)

    2010-04-01

    Thin zinc oxide films (ZnO) were developed as a matrix-free platform for surface assisted laser desorption-ionization (SALDI) time-of-flight mass spectrometry. The ZnO films were deposited by RF magnetron sputtering of ZnO ceramic targets in Ar atmospheres on monocrystalline silicon. The generation under UV (355 nm) laser irradiation of positive ions of atenolol, reserpine and gramicidin S from the ZnO layers deposited was studied. All analytes tested were detected as protonated molecules with no or very structure-specific fragmentation. The mass spectra obtained showed low levels of chemical background noise. All ZnO films studied exhibited high stability and good reproducibility. The detection limits for test analytes are in the 10 femtomol range.

  11. Solid oxide fuel cell having a monolithic core

    International Nuclear Information System (INIS)

    Ackerman, J.P.; Young, J.E.

    1984-01-01

    A solid oxide fuel cell for electrochemically combining fuel and oxidant for generating galvanic output, wherein the cell core has an array of electrolyte and interconnect walls that are substantially devoid of any composite inert materials for support. Instead, the core is monolithic, where each electrolyte wall consists of thin layers of cathode and anode materials sandwiching a thin layer of electrolyte material therebetween, and each interconnect wall consists of thin layers of the cathode and anode materials sandwiching a thin layer of interconnect material therebetween. The electrolyte walls are arranged and backfolded between adjacent interconnect walls operable to define a plurality of core passageways alternately arranged where the inside faces thereof have only the anode material or only the cathode material exposed. Means direct the fuel to the anode-exposed core passageways and means direct the oxidant to the cathode-exposed core passageway; and means also direct the galvanic output to an exterior circuit. Each layer of the electrolyte and interconnect materials is of the order of 0.002-0.01 cm thick; and each layer of the cathode and anode materials is of the order of 0.002-0.05 cm thick

  12. Surface morphology modelling for the resistivity analysis of low temperature sputtered indium tin oxide thin films on polymer substrates

    International Nuclear Information System (INIS)

    Yin Xuesong; Tang Wu; Weng Xiaolong; Deng Longjiang

    2009-01-01

    Amorphous or weakly crystalline indium tin oxide (ITO) thin film samples have been prepared on polymethylmethacrylate and polyethylene terephthalate substrates by RF-magnetron sputtering at a low substrate temperature. The surface morphological and electrical properties of the ITO layers were measured by atomic force microscopy (AFM) and a standard four-point probe measurement. The effect of surface morphology on the resistivity of ITO thin films was studied, which presented some different variations from crystalline films. Then, a simplified film system model, including the substrate, continuous ITO layer and ITO surface grain, was proposed to deal with these correlations. Based on this thin film model and the AFM images, a quadratic potential was introduced to simulate the characteristics of the ITO surface morphology, and the classical Kronig-Penney model, the semiconductor electrical theory and the modified Neugebauer-Webb model were used to expound the detailed experimental results. The modelling equation was highly in accord with the experimental variations of the resistivity on the characteristics of the surface morphology.

  13. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    International Nuclear Information System (INIS)

    Hanna, A. N.; Ghoneim, M. T.; Bahabry, R. R.; Hussain, A. M.; Hussain, M. M.

    2013-01-01

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions

  14. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    KAUST Repository

    Hanna, Amir; Ghoneim, Mohamed T.; Bahabry, Rabab R.; Hussain, Aftab M.; Hussain, Muhammad Mustafa

    2013-01-01

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  15. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    KAUST Repository

    Hanna, Amir

    2013-11-26

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  16. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  17. Mathematical modelling of thin layer drying of pear

    Directory of Open Access Journals (Sweden)

    Lutovska Monika

    2016-01-01

    Full Text Available In this study, a thin - layer drying of pear slices as a function of drying conditions were examined. The experimental data set of thin - layer drying kinetics at five drying air temperatures 30, 40, 50, 60 and 70°C, and three drying air velocities 1, 1.5 and 2 m s-1 were obtained on the experimental setup, designed to imitate industrial convective dryer. Five well known thin - layer drying models from scientific literature were used to approximate the experimental data in terms of moisture ratio. In order to find which model gives the best results, numerical experiments were made. For each model and data set, the statistical performance index, (φ, and chi-squared, (χ2, value were calculated and models were ranked afterwards. The performed statistical analysis shows that the model of Midilli gives the best statistical results. Because the effect of drying air temperature and drying air velocity on the empirical parameters was not included in the base Midilli model, in this study the generalized form of this model was developed. With this model, the drying kinetic data of pear slices can be approximated with high accuracy. The effective moisture diffusivity was determined by using Fick’s second laws. The obtained values of the effective moisture diffusivity, (Deff, during drying ranged between 6.49 x 10-9 and 3.29 x 10-8 m2 s-1, while the values of activation energy (E0 varied between 28.15 to 30.51 kJ mol-1.

  18. Fabrication and characterization of iron oxide dextran composite layers

    Science.gov (United States)

    Iconaru, S. L.; Predoi, S. A.; Beuran, M.; Ciobanu, C. S.; Trusca, R.; Ghita, R.; Negoi, I.; Teleanu, G.; Turculet, S. C.; Matei, M.; Badea, Monica; Prodan, A. M.

    2018-02-01

    Super paramagnetic iron oxide nanoparticles such as maghemite have been shown to exhibit antimicrobial properties [1-5]. Moreover, the iron oxide nanoparticles have been proposed as a potential magnetically controllable antimicrobial agent which could be directed to a specific infection [3-5]. The present research has focused on studies of the surface and structure of iron oxide dextran (D-IO) composite layers surface and structure. These composite layers were deposited on Si substrates. The structure of iron oxide dextran composite layers was investigated by X-Ray Diffraction (XRD) and Fourier Transform Infrared Spectroscopy (FTIR) while the surface morphology was evaluated by Scanning Electron Microscopy (SEM). The structural characterizations of the iron oxide dextran composite layers revealed the basic constituents of both iron and dextran structure. Furthermore, the in vitro evaluation of the antifungal effect of the complex layers, which have been shown revealed to be active against C. albicans cells at distinct intervals of time, is exhibited. Our research came to confirm the fungicidal effect of iron oxide dextran composite layers. Also, our results suggest that iron oxide dextran surface may be used for medical treatment of biofilm associated Candida infections.

  19. High efficiency bifacial Cu2ZnSnSe4 thin-film solar cells on transparent conducting oxide glass substrates

    Directory of Open Access Journals (Sweden)

    Jung-Sik Kim

    2016-09-01

    Full Text Available In this work, transparent conducting oxides (TCOs have been employed as a back contact instead of Mo on Cu2ZnSnSe4 (CZTSe thin-film solar cells in order to examine the feasibility of bifacial Cu2ZnSn(S,Se4 (CZTSSe solar cells based on a vacuum process. It is found that the interfacial reaction between flourine doped tin oxide (FTO or indium tin oxide (ITO and the CZTSe precursor is at odds with the conventional CZTSe/Mo reaction. While there is no interfacial reaction on CZTSe/FTO, indium in CZTSe/ITO was significantly diffused into the CZTSe layers; consequently, a SnO2 layer was formed on the ITO substrate. Under bifacial illumination, we achieved a power efficiency of 6.05% and 4.31% for CZTSe/FTO and CZTSe/ITO, respectively.

  20. Physical Properties Investigation of Reduced Graphene Oxide Thin Films Prepared by Material Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Veronika Schmiedova

    2017-01-01

    Full Text Available The article is focused on the study of the optical properties of inkjet-printed graphene oxide (GO layers by spectroscopic ellipsometry. Due to its unique optical and electrical properties, GO can be used as, for example, a transparent and flexible electrode material in organic and printed electronics. Spectroscopic ellipsometry was used to characterize the optical response of the GO layer and its reduced form (rGO, obtainable, for example, by reduction of prepared layers by either annealing, UV radiation, or chemical reduction in the visible range. The thicknesses of the layers were determined by a mechanical profilometer and used as an input parameter for optical modeling. Ellipsometric spectra were analyzed according to the dispersion model and the influence of the reduction of GO on optical constants is discussed. Thus, detailed analysis of the ellipsometric data provides a unique tool for qualitative and also quantitative description of the optical properties of GO thin films for electronic applications.

  1. Application of thin-layer Navier-Stokes equations near maximum lift

    Science.gov (United States)

    Anderson, W. K.; Thomas, J. L.; Rumsey, C. L.

    1984-01-01

    The flowfield about a NACA 0012 airfoil at a Mach number of 0.3 and Reynolds number of 1 million is computed through an angle of attack range, up to 18 deg, corresponding to conditions up to and beyond the maximum lift coefficient. Results obtained using the compressible thin-layer Navier-Stokes equations are presented as well as results from the compressible Euler equations with and without a viscous coupling procedure. The applicability of each code is assessed and many thin-layer Navier-Stokes benchmark solutions are obtained which can be used for comparison with other codes intended for use at high angles of attack. Reasonable agreement of the Navier-Stokes code with experiment and the viscous-inviscid interaction code is obtained at moderate angles of attack. An unsteady solution is obtained with the thin-layer Navier-Stokes code at the highest angle of attack considered. The maximum lift coefficient is overpredicted, however, in comparison to experimental data, which is attributed to the presence of a laminar separation bubble near the leading edge not modeled in the computations. Two comparisons with experimental data are also presented at a higher Mach number.

  2. Improvement of in-plane alignment for surface oxidized NiO layer on textured Ni substrate by two-step heat-treatment

    International Nuclear Information System (INIS)

    Hasegawa, Katsuya; Izumi, Toru; Izumi, Teruo; Shiohara, Yuh; Maeda, Toshihiko

    2004-01-01

    Epitaxial growth of NiO on a textured Ni substrate as a template for an REBa 2 Cu 3 O y coated conductor was investigated. Highly in-plane aligned NiO layers were successfully fabricated using a new process of a two-step heat-treatment for oxidation. In the first-step, a highly in-plane aligned thin NiO layer was formed on a textured Ni substrate under a low driving force of oxidation. Then, in the second-step, a thick NiO layer was grown at a higher rate with maintaining its high in-plane grain alignment, as if the first NiO layer acts as a seed crystal layer. Further, growth rates and microstructures of the NiO layers were studied comparatively in the cases with and without the first layer. It was found that the oxidation rate in the case with the first layer was lower than that without the first layer. The microstructure observation revealed that the NiO without the first layer was poly-crystalline with many grain-boundaries. On the other hand, in the case with the first layer, grain-boundaries of the NiO were hardly observed. Hence, the reason for this difference of the growth rate and the microstructure of the NiO layers were discussed in view of a diffusivity path

  3. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  4. Atomically layer-by-layer diffusion of oxygen/hydrogen in highly epitaxial PrBaCo{sub 2}O{sub 5.5+δ} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin, E-mail: cl.chen@utsa.edu [Department of Physics and Astronomy, University of Texas, San Antonio, Texas 78249 (United States); Zhang, Yamei [Department of Physics, Jiangsu University of Science and Technology, Zhenjiang, Jiangsu 212003 (China); Whangbo, Myung-Hwan [North Carolina State University, Raleigh, North Carolina 27695-8204 (United States); Dong, Chuang; Zhang, Qinyu [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024 (China)

    2015-12-14

    Single-crystalline epitaxial thin films of PrBaCo{sub 2}O{sub 5.5+δ} (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200–800 °C. During the oxidation cycle under O{sub 2}, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co{sup 2+}/Co{sup 3+} → Co{sup 3+} and Co{sup 3+} → Co{sup 3+}/Co{sup 4+}, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO{sub 2})(PrO)(CoO{sub 2}) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.

  5. Highway pavement performance test for colored thin anti-skidding layers

    Science.gov (United States)

    Gao, Wei; Cui, Wei; Xu, Ming

    2018-03-01

    Based on the actual service condition of highway pavement colored thin anti-skidding layers, with materials of color quartz sand and two-component acrylic resin as basis, we designed such tests as the bond strength, shearing strength, tear strength, fatigue performance and aggregate polished value, and included the freeze-thaw cycle and de-icing salt and other factors in the experiment, connecting with the climate characteristics of circumpolar latitude and low altitude in Heilongjiang province. Through the pavement performance test, it is confirmed that the colored thin anti-skidding layers can adapt to cold and humid climate conditions, and its physical mechanical properties are good.

  6. Sorption and movement of pesticides on thin layer plates of Brazilain soils

    International Nuclear Information System (INIS)

    Lord, K.A.; Helene, C.G.; Andrea, M.M. de; Ruegg, E.F.

    1979-01-01

    The sorption from aqueous solution, and movement in water on thin layers plates of 7 soils of 3 organochlorine, 2 organophosphorus and 1 carbamate insecticide was determined in the laboratory. Generally, all substances were sorbed most and moved least on soils richest in organic matter. However, sorption was not a function of organic matter content alone. Aldrin and DDT were most strongly sorbed and did not move from the point of application on the thin layer plates of any soil. On all 7 soils, carbaryl was the least strongly sorbed insecticide. On 5 soils, lindane, parathion and malathion were increasingly strongly sorbed, but on the other 2 soils lindane was mostly strongly sorbed. The apparent greater mobility of 14 C-labelled malathion on thin layers of soils repeatedly leached could be explained by the formation of more polar substances. (author) [pt

  7. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    Science.gov (United States)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  8. Thin-layer chromatography of radioactively labelled cholesterol and precursors from biological material

    International Nuclear Information System (INIS)

    Pill, J.; Aufenanger, J.; Stegmeier, K.; Schmidt, F.H.; Mueller, D.; Boehringer Mannheim G.m.b.H.

    1987-01-01

    The investigation methods of the action of xenobiotics on sterol biosynthesis from 14 C-acetate in rat hepatocyte cultures can be developed, with regard to extraction using Extrelut and the separation of the sterol pattern by thin-layer chromatography, in such a way that they are suitable for wider application, e.g., screening. Good visualisation and recognition of changes in the sterol pattern are possible using autoradiography of the thin-layer chromatogram. (orig.)

  9. Preparation and electrochemical properties of gold nanoparticles containing carbon nanotubes-polyelectrolyte multilayer thin films

    International Nuclear Information System (INIS)

    Yu Aimin; Zhang Xing; Zhang Haili; Han, Deyan; Knight, Allan R.

    2011-01-01

    Highlights: → Gold nanoparticles containing carbon nanotubes-polyelectrolyte multilayer thin films were prepared via layer-by-layer self-assembly technique. → The electron transfer behaviour of the hybrid thin films were investigated using an electrochemical probe. → The resulting thin films exhibited an electrocatalytic activity towards the oxidation of nitric oxide. - Abstract: Multi-walled carbon nanotubes (MWCNT)/polyelectrolyte (PE) hybrid thin films were fabricated by alternatively depositing negatively charged MWCNT and positively charged (diallyldimethylammonium chloride) (PDDA) via layer-by-layer (LbL) assembly technique. The stepwise growth of the multilayer films of MWCNT and PDDA was characterized by UV-vis spectroscopy. Scanning electron microscopy (SEM) images indicated that the MWCNT were uniformly embedded in the film to form a network and the coverage density of MWCNT increased with layer number. Au nanoparticles (NPs) could be further adsorbed onto the film to form PE/MWCNT/Au NPs composite films. The electron transfer behaviour of multilayer films with different compositions were studied by cyclic voltammetry using [Fe(CN) 6 ] 3-/4- as an electrochemical probe. The results indicated that the incorporation of MWCNT and Au NPs not only greatly improved the electronic conductivity of pure polyelectrolyte films, but also provided excellent electrocatalytic activity towards the oxidation of nitric oxide (NO).

  10. Electroresistance Effect in Gold Thin Film Induced by Ionic-Liquid-Gated Electric Double Layer

    NARCIS (Netherlands)

    Nakayama, Hiroyasu; Ye, Jianting; Ohtani, Takashi; Fujikawa, Yasunori; Ando, Kazuya; Iwasa, Yoshihiro; Saitoh, Eiji

    Electroresistance effect was detected in a metallic thin film using ionic-liquid-gated electric-double-layer transistors (EDLTs). We observed reversible modulation of the electric resistance of a Au thin film. In this system, we found that an electric double layer works as a nanogap capacitor with

  11. Nonequilibrium temperatures and second-sound propagation along nanowires and thin layers

    International Nuclear Information System (INIS)

    Jou, D.; Cimmelli, V.A.; Sellitto, A.

    2009-01-01

    It is shown that the dispersion relation of heat waves along nanowires or thin layers could allow to compare two different definitions of nonequilibrium temperature, since thermal waves are predicted to propagate with different phase speed depending on the definition of nonequilibrium temperature being used. The difference is small, but it could be in principle measurable in nanosystems, as for instance nanowires and thin layers, in a given frequency range. Such an experiment could provide a deeper view on the problem of the definition of temperature in nonequilibrium situations.

  12. The influence of the surface topography on the magnetization dynamics in soft magnetic thin films

    NARCIS (Netherlands)

    Craus, CB; Palasantzas, G; Chezan, AR; De Hosson, JTM; Boerma, DO; Niesen, L

    2005-01-01

    In this work we study the influence of surface roughness on the magnetization dynamics of soft magnetic nanocrystalline Fe-Zr-N thin films deposited (under identical conditions) onto a Si oxide, a thin polymer layer, and a thin Cu layer. The substrate temperature during deposition was approximately

  13. Evaluation of a thin-layer chromatographic technique for ...

    African Journals Online (AJOL)

    Methanol extracts of both fistula and bush samples were prepared and analysed by thin-layer chromatography. Chromatoplates, when visualised under ultraviolet light, revealed a number of fluorescent compounds, some of which were common in both the fistula and bush sample extracts. By comparing the presence of ...

  14. Thin Cell Layer technology in ornamental plant micropropagation ...

    African Journals Online (AJOL)

    Thin cell layer (TCL) technology originated almost 30 years ago with the controlled development of flowers, roots, shoots and somatic embryos on tobacco pedicel longitudinal TCLs. Since then TCLs have been successfully used in the micropropagation of many ornamental plant species whose previous in vitro ...

  15. Effect of etching stop layer on characteristics of amorphous IGZO thin film transistor fabricated at low temperature

    Directory of Open Access Journals (Sweden)

    Xifeng Li

    2013-03-01

    Full Text Available Transparent bottom-gate amorphous Indium-Gallium-Zinc Oxide (a-IGZO thin-film transistors (TFTs had been successfully fabricated at relative low temperature. The influence of reaction gas ratio of N2O and SiH4 during the growth of etching stop layer (SiOx on the characteristics of a-IGZO TFTs was investigated. The transfer characteristics of the TFTs were changed markedly because active layer of a-IGZO films was modified by plasma in the growth process of SiOx. By optimizing the deposition parameters of etching stop layer process, a-IGZO TFTs were manufactured and exhibited good performance with a field-effect mobility of 8.5 cm2V-1s-1, a threshold voltage of 1.3 V, and good stability under gate bias stress of 20 V for 10000 s.

  16. Oxidative fabrication of patterned, large, non-flaking CuO nanowire arrays

    International Nuclear Information System (INIS)

    Mumm, F; Sikorski, P

    2011-01-01

    We report a simple and fast approach to fabricate large, non-flaking arrays of CuO nanowires by oxidizing thin copper substrates in air. Oxidative CuO nanowire growth is commonly accompanied by oxide layer flaking due to stress at the copper-copper oxide interface. Using thin substrates is shown to prevent this flaking by introducing favourable material thickness ratios in the samples after oxidation. Additionally, thin foils allow larger scale topographic patterns to be transferred from an underlying mould to realize non-flat, nanowire-decorated surfaces. Further patterning is possible by electrodeposition of a nickel layer, which restricts nanowire growth to specific areas of the sample.

  17. Search for Rayleigh-Taylor instability in laser irradiated layered thin foil targets

    International Nuclear Information System (INIS)

    Kilkenny, J.D.; Hares, J.D.; Rumsby, P.T.

    1980-01-01

    An experiment to measure the Rayleigh-Taylor instability at the vacuum-ablation surface of laser irradiated layered targets by time resolved x-ray spectroscopy is described. The time taken to burn through a layer of material is measured to be the same for massive targets as for thin foil accelerating targets. It is inferred that the thin foil targets might be Rayleigh-Taylor stable despite the values of γtauapproximately equal to15 calculated from classical theory. (author)

  18. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin films have been investigated as protective coatings for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å h-l. Etching in liquids...... with pH values in the range from pH 2 to 11 have generally given etch rates below 0.04 Å h-l. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex situ...... annealing O2 in the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallization lines are hard to cover. Sputtered tantalum oxide...

  19. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin-films have been investigated as protective coating for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å/h. Etching in liquids with p......H values in the range from pH 2-11 have generally given etch rates below 0.04 Å/h. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex-situ annealing in O2...... the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallisation lines are hard to cover. Sputtered tantalum oxide exhibits high...

  20. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  1. Inhibitory Effect Evaluation of Glycerol-Iron Oxide Thin Films on Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    C. L. Popa

    2015-01-01

    Full Text Available The main purpose of this study was to evaluate the inhibitory effect of glycerol- iron oxide thin films on Methicillin-Resistant Staphylococcus aureus (MRSA. Our results suggest that glycerol-iron oxide thin films could be used in the future for various biomedical and pharmaceutical applications. The glycerol-iron oxide thin films have been deposited by spin coating method on a silicon (111 substrate. The structural properties have been studied by X-ray diffraction (XRD and scanning electron spectroscopy (SEM. The XRD investigations of the prepared thin films demonstrate that the crystal structure of glycerol-iron oxide nanoparticles was not changed after spin coating deposition. On the other hand, the SEM micrographs suggest that the size of the glycerol-iron oxide microspheres increased with the increase of glycerol exhibiting narrow size distributions. The qualitative depth profile of glycerol-iron oxide thin films was identified by glow discharge optical emission spectroscopy (GDOES. The GDOES spectra revealed the presence of the main elements: Fe, O, C, H, and Si. The antimicrobial activity of glycerol-iron oxide thin films was evaluated by measuring the zone of inhibition. After 18 hours of incubation at 37°C, the diameters of the zones of complete inhibition have been measured obtaining values around 25 mm.

  2. Transparent Thin-Film Transistors Based on Sputtered Electric Double Layer.

    Science.gov (United States)

    Cai, Wensi; Ma, Xiaochen; Zhang, Jiawei; Song, Aimin

    2017-04-20

    Electric-double-layer (EDL) thin-film transistors (TFTs) have attracted much attention due to their low operation voltages. Recently, EDL TFTs gated with radio frequency (RF) magnetron sputtered SiO₂ have been developed which is compatible to large-area electronics fabrication. In this work, fully transparent Indium-Gallium-Zinc-Oxide-based EDL TFTs on glass substrates have been fabricated at room temperature for the first time. A maximum transmittance of about 80% has been achieved in the visible light range. The transparent TFTs show a low operation voltage of 1.5 V due to the large EDL capacitance (0.3 µF/cm² at 20 Hz). The devices exhibit a good performance with a low subthreshold swing of 130 mV/dec and a high on-off ratio > 10⁵. Several tests have also been done to investigate the influences of light irradiation and bias stress. Our results suggest that such transistors might have potential applications in battery-powered transparent electron devices.

  3. Polymer supported ZIF-8 membranes by conversion of sputtered zinc oxide layers

    KAUST Repository

    Neelakanda, Pradeep; Barankova, Eva; Peinemann, Klaus-Viktor

    2015-01-01

    ZIF-8 composite membranes were synthesized at room temperature from aqueous solution by a double-zinc-source method on polyacrylonitrile (PAN) porous supports. The support was coated with zinc oxide (ZnO) by magnetron sputtering prior to ZIF-8 growth to improve the nucleation as well as the adhesion between the ZIF-8 layer and support. By this method, we were able to grow a continuous, dense, very thin (900 nm) and defect free ZIF-8 layer on a polymeric support. The developed ZIF-8 membranes had a gas permeance of 1.23 x 10-7 mol m-2 sec-1 Pa-1 for hydrogen and a selectivity of 26 for hydrogen/propane gases which is 5 times higher than the Knudsen selectivity. X-ray diffraction (XRD) and scanning electron microscopy (SEM) analysis were done to characterize the membranes.

  4. Polymer supported ZIF-8 membranes by conversion of sputtered zinc oxide layers

    KAUST Repository

    Neelakanda, Pradeep

    2015-09-05

    ZIF-8 composite membranes were synthesized at room temperature from aqueous solution by a double-zinc-source method on polyacrylonitrile (PAN) porous supports. The support was coated with zinc oxide (ZnO) by magnetron sputtering prior to ZIF-8 growth to improve the nucleation as well as the adhesion between the ZIF-8 layer and support. By this method, we were able to grow a continuous, dense, very thin (900 nm) and defect free ZIF-8 layer on a polymeric support. The developed ZIF-8 membranes had a gas permeance of 1.23 x 10-7 mol m-2 sec-1 Pa-1 for hydrogen and a selectivity of 26 for hydrogen/propane gases which is 5 times higher than the Knudsen selectivity. X-ray diffraction (XRD) and scanning electron microscopy (SEM) analysis were done to characterize the membranes.

  5. Ultra-thin, single-layer polarization rotator

    Directory of Open Access Journals (Sweden)

    T. V. Son

    2016-08-01

    Full Text Available We demonstrate light polarization control over a broad spectral range by a uniform layer of vanadium dioxide as it undergoes a phase transition from insulator to metal. Changes in refractive indices create unequal phase shifts on s- and p-polarization components of incident light, and rotation of linear polarization shows intensity modulation by a factor of 103 when transmitted through polarizers. This makes possible polarization rotation devices as thin as 50 nm that would be activated thermally, optically or electrically.

  6. Transition of hydrated oxide layer for aluminum electrolytic capacitors

    International Nuclear Information System (INIS)

    Chi, Choong-Soo; Jeong, Yongsoo; Ahn, Hong-Joo; Lee, Jong-Ho; Kim, Jung-Gu; Lee, Jun-Hee; Jang, Kyung-Wook; Oh, Han-Jun

    2007-01-01

    A hydrous oxide film for the application as dielectric film is synthesized by immersion of pure aluminum in hot water. From a Rutherford backscattering analysis, the ratio of aluminum to oxygen atoms was found to be 3:2 in the anodized aluminum oxide film, and 2:1 in the hydrous oxide layer. Anodization of the hydrous oxide layer was more effective for the transition of amorphous anodic oxides to the crystalline aluminum oxides

  7. On the use of a charged tunnel layer as a hole collector to improve the efficiency of amorphous silicon thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ke, Cangming; Sahraei, Nasim; Aberle, Armin G. [Solar Energy Research Institute of Singapore, National University of Singapore, Singapore 117574 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore); Stangl, Rolf [Solar Energy Research Institute of Singapore, National University of Singapore, Singapore 117574 (Singapore); Peters, Ian Marius

    2015-06-28

    A new concept, using a negatively charged tunnel layer as a hole collector, is proposed and theoretically investigated for application in amorphous silicon thin-film solar cells. The concept features a glass/transparent conductive oxide/ultra-thin negatively charged tunnel layer/intrinsic a-Si:H/n-doped a-Si:H/metal structure. The key feature of this so called t{sup +}-i-n structure is the introduction of a negatively charged tunnel layer (attracting holes from the intrinsic absorber layer), which substitutes the highly recombination active p-doped a-Si:H layer in a conventional p-i-n configuration. Atomic layer deposited aluminum oxide (ALD AlO{sub x}) is suggested as a potential candidate for such a tunnel layer. Using typical ALD AlO{sub x} parameters, a 27% relative efficiency increase (i.e., from 9.7% to 12.3%) is predicted theoretically for a single-junction a-Si:H solar cell on a textured superstrate. This prediction is based on parameters that reproduce the experimentally obtained external quantum efficiency and current-voltage characteristics of a conventional processed p-i-n a-Si:H solar cell, reaching 9.7% efficiency and serving as a reference. Subsequently, the p-doped a-Si:H layer is replaced by the tunnel layer (studied by means of numerical device simulation). Using a t{sup +}-i-n configuration instead of a conventional p-i-n configuration will not only increase the short-circuit current density (from 14.4 to 14.9 mA/cm{sup 2}, according to our simulations), it also enhances the open-circuit voltage and the fill factor (from 917 mV to 1.0 V and from 74% to 83%, respectively). For this concept to work efficiently, a high work function front electrode material or a high interface charge is needed.

  8. On the use of a charged tunnel layer as a hole collector to improve the efficiency of amorphous silicon thin-film solar cells

    International Nuclear Information System (INIS)

    Ke, Cangming; Sahraei, Nasim; Aberle, Armin G.; Stangl, Rolf; Peters, Ian Marius

    2015-01-01

    A new concept, using a negatively charged tunnel layer as a hole collector, is proposed and theoretically investigated for application in amorphous silicon thin-film solar cells. The concept features a glass/transparent conductive oxide/ultra-thin negatively charged tunnel layer/intrinsic a-Si:H/n-doped a-Si:H/metal structure. The key feature of this so called t + -i-n structure is the introduction of a negatively charged tunnel layer (attracting holes from the intrinsic absorber layer), which substitutes the highly recombination active p-doped a-Si:H layer in a conventional p-i-n configuration. Atomic layer deposited aluminum oxide (ALD AlO x ) is suggested as a potential candidate for such a tunnel layer. Using typical ALD AlO x parameters, a 27% relative efficiency increase (i.e., from 9.7% to 12.3%) is predicted theoretically for a single-junction a-Si:H solar cell on a textured superstrate. This prediction is based on parameters that reproduce the experimentally obtained external quantum efficiency and current-voltage characteristics of a conventional processed p-i-n a-Si:H solar cell, reaching 9.7% efficiency and serving as a reference. Subsequently, the p-doped a-Si:H layer is replaced by the tunnel layer (studied by means of numerical device simulation). Using a t + -i-n configuration instead of a conventional p-i-n configuration will not only increase the short-circuit current density (from 14.4 to 14.9 mA/cm 2 , according to our simulations), it also enhances the open-circuit voltage and the fill factor (from 917 mV to 1.0 V and from 74% to 83%, respectively). For this concept to work efficiently, a high work function front electrode material or a high interface charge is needed

  9. Thin Layer Drying Kinetics of Pineapple: Effect of Blanching ...

    African Journals Online (AJOL)

    Four thin-layer drying models were fitted to the experimental drying data. The .... MATLAB software package (version 6.5). The correlation ... to evaluate the goodness of fit of the simulation ... during the oven-drying process of pineapple slices.

  10. Transmission electron microscopy characterization of Zircaloy-4 and ZIRLO™ oxide layers

    International Nuclear Information System (INIS)

    Gabory, Benoit de; Motta, Arthur T.; Wang, Ke

    2015-01-01

    Waterside corrosion of zirconium alloy nuclear fuel cladding varies markedly from one alloy to another. In addition, for a given alloy, the corrosion rate evolves during the corrosion process, most notably when the oxide loses its stability at the oxide transition. In an effort to understand the mechanism resulting in the variations of corrosion rate observed at the oxide transition, oxide layers formed on Zircaloy-4 and ZIRLO™ in high temperature water autoclave environments, and archived before and after the transition, are characterized using transmission electron microscopy. The study characterizes and compares the oxide morphology in both alloys at different times during the corrosion process, in an effort to understand the oxide growth mechanism for these alloys. Results show that the oxide is mainly composed of monoclinic ZrO 2 , with a preponderance of columnar oxide grains which extend to the oxide/metal interface. The oxide formed right after the transition has occurred, exhibits a 150 nm-wide layer of small equiaxed grains with high tetragonal oxide fraction. This layer has a similar morphology and structure as the first oxide layer formed (observed near the oxide/water interface). A study of the oxygen-rich region near the oxide/metal interface reveals a complex structure of different phases at different stages of corrosion. The interface exhibits an intermediate layer, identified as ZrO, a discontinuous layer of “blocky” Zr 3 O grains embedded in the ZrO layer, and a suboxide layer corresponding to an oxygen saturated solid solution in the metal matrix side. The thickness of this interfacial layer decreased markedly at the transition. Hydrides are also observed in that region, with a definite orientation relationship with the matrix. The observations of the oxide/metal interface are qualitatively similar for the two alloys but quantitatively different. The incorporation of intermetallic precipitates into the oxide layer is also studied, and

  11. Loading Effects on Resolution in Thin Layer Chromatography and ...

    Indian Academy of Sciences (India)

    Home; Journals; Resonance – Journal of Science Education; Volume 10; Issue 11. Loading Effects on Resolution in Thin Layer Chromatography and Paper Chromatography. K Girigowda V H Mulimani. Classroom Volume 10 Issue 11 November 2005 pp 79-84 ...

  12. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    International Nuclear Information System (INIS)

    Predoi, D.; Ciobanu, C.S.; Radu, M.; Costache, M.; Dinischiotu, A.; Popescu, C.; Axente, E.; Mihailescu, I.N.; Gyorgy, E.

    2012-01-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: ► Hybrid, dextran-iron oxide nanoparticles and thin films. ► Laser immobilization. ► Biocompatibility of dextran-iron oxide nanoparticles.

  13. Wear resistant PTFE thin film enabled by a polydopamine adhesive layer

    International Nuclear Information System (INIS)

    Beckford, Samuel; Zou, Min

    2014-01-01

    The influence of a polydopamine (PDA) adhesive layer on the friction and wear resistance of polytetrafluoroethylene (PTFE) thin films coated on stainless steel was investigated. The friction and wear tests were carried out using a ball on flat configuration under a normal load of 50 g, sliding speed of 2.5 mm/s, and stroke length of 15 mm. It is found that the PDA/PTFE film is able to withstand approximately 500 times more rubbing cycles than the PTFE film alone. X-ray photoelectron spectroscopy (XPS) results show that a tenacious layer of PTFE remains adhered to the PDA layer, which enables the durability of the PDA/PTFE film. Because of the relatively low thickness of the film, PDA/PTFE shows great potential for use in applications where durable, thin films are desirable

  14. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  15. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  16. Perpendicular magnetic tunnel junction with thin CoFeB/Ta/Co/Pd/Co reference layer

    Energy Technology Data Exchange (ETDEWEB)

    Gan, Huadong, E-mail: huadong@avalanche-technology.com; Malmhall, Roger; Wang, Zihui; Yen, Bing K; Zhang, Jing; Wang, Xiaobin; Zhou, Yuchen; Hao, Xiaojie; Jung, Dongha; Satoh, Kimihiro; Huai, Yiming [Avalanche Technology, 46600 Landing Parkway, Fremont, California 94538 (United States)

    2014-11-10

    Integration of high density spin transfer torque magnetoresistance random access memory requires a thin stack (less than 15 nm) of perpendicular magnetic tunnel junction (p-MTJ). We propose an innovative approach to solve this challenging problem by reducing the thickness and/or moment of the reference layer. A thin reference layer structure of CoFeB/Ta/Co/Pd/Co has 60% magnetic moment of the conventional thick structure including [Co/Pd] multilayers. We demonstrate that the perpendicular magnetization of the CoFeB/Ta/Co/Pd/Co structure can be realized by anti-ferromagnetically coupling to a pinned layer with strong perpendicular anisotropy via Ruderman-Kittel-Kasuya-Yosida exchange interaction. The pMTJ with thin CoFeB/Ta/Co/Pd/Co reference layer has a comparable TMR ratio (near 80%) as that with thick reference layer after annealing at 280 °C. The pMTJ with thin reference layer has a total thickness less than 15 nm, thereby significantly increasing the etching margin required for integration of high density pMTJ array on wafers with form factor of 300 mm and beyond.

  17. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  18. Thin-Film Solar Cells with InP Absorber Layers Directly Grown on Nonepitaxial Metal Substrates

    KAUST Repository

    Zheng, Maxwell

    2015-08-25

    The design and performance of solar cells based on InP grown by the nonepitaxial thin-film vapor-liquid-solid (TF-VLS) growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and indium tin oxide transparent top electrode. An ex situ p-doping process for TF-VLS grown InP is introduced. Properties of the cells such as optoelectronic uniformity and electrical behavior of grain boundaries are examined. The power conversion efficiency of first generation cells reaches 12.1% under simulated 1 sun illumination with open-circuit voltage (VOC) of 692 mV, short-circuit current (JSC) of 26.9 mA cm-2, and fill factor (FF) of 65%. The FF of the cell is limited by the series resistances in the device, including the top contact, which can be mitigated in the future through device optimization. The highest measured VOC under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP. The design and performance of solar cells based on indium phosphide (InP) grown by the nonepitaxial thin-film vapor-liquid-solid growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and an indium tin oxide transparent top electrode. The highest measured open circuit voltage (VOC) under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP.

  19. Tuning SPT-3G Transition-Edge-Sensor Electrical Properties with a Four-Layer Ti-Au-Ti-Au Thin-Film Stack

    Science.gov (United States)

    Carter, F. W.; Ade, P. A. R.; Ahmed, Z.; Anderson, A. J.; Austermann, J. E.; Avva, J. S.; Thakur, R. Basu; Bender, A. N.; Benson, B. A.; Carlstrom, J. E.; Cecil, T.; Chang, C. L.; Cliche, J. F.; Cukierman, A.; Denison, E. V.; de Haan, T.; Ding, J.; Divan, R.; Dobbs, M. A.; Dutcher, D.; Everett, W.; Foster, A.; Gannon, R. N.; Gilbert, A.; Groh, J. C.; Halverson, N. W.; Harke-Hosemann, A. H.; Harrington, N. L.; Henning, J. W.; Hilton, G. C.; Holzapfel, W. L.; Huang, N.; Irwin, K. D.; Jeong, O. B.; Jonas, M.; Khaire, T.; Kofman, A. M.; Korman, M.; Kubik, D.; Kuhlmann, S.; Kuo, C. L.; Kutepova, V.; Lee, A. T.; Lowitz, A. E.; Meyer, S. S.; Michalik, D.; Miller, C. S.; Montgomery, J.; Nadolski, A.; Natoli, T.; Nguyen, H.; Noble, G. I.; Novosad, V.; Padin, S.; Pan, Z.; Pearson, J.; Posada, C. M.; Rahlin, A.; Ruhl, J. E.; Saunders, L. J.; Sayre, J. T.; Shirley, I.; Shirokoff, E.; Smecher, G.; Sobrin, J. A.; Stan, L.; Stark, A. A.; Story, K. T.; Suzuki, A.; Tang, Q. Y.; Thompson, K. L.; Tucker, C.; Vale, L. R.; Vanderlinde, K.; Vieira, J. D.; Wang, G.; Whitehorn, N.; Yefremenko, V.; Yoon, K. W.; Young, M. R.

    2018-04-01

    We have developed superconducting Ti transition-edge sensors with Au protection layers on the top and bottom for the South Pole Telescope's third-generation receiver (a cosmic microwave background polarimeter, due to be upgraded this austral summer of 2017/2018). The base Au layer (deposited on a thin Ti glue layer) isolates the Ti from any substrate effects; the top Au layer protects the Ti from oxidation during processing and subsequent use of the sensors. We control the transition temperature and normal resistance of the sensors by varying the sensor width and the relative thicknesses of the Ti and Au layers. The transition temperature is roughly six times more sensitive to the thickness of the base Au layer than to that of the top Au layer. The normal resistance is inversely proportional to sensor width for any given film configuration. For widths greater than five micrometers, the critical temperature is independent of width.

  20. Atomic layer deposition of titanium oxide films on As-synthesized magnetic Ni particles: Magnetic and safety properties

    International Nuclear Information System (INIS)

    Uudeküll, Peep; Kozlova, Jekaterina; Mändar, Hugo; Link, Joosep; Sihtmäe, Mariliis; Käosaar, Sandra; Blinova, Irina; Kasemets, Kaja; Kahru, Anne; Stern, Raivo; Tätte, Tanel; Kukli, Kaupo; Tamm, Aile

    2017-01-01

    Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.

  1. Atomic layer deposition of titanium oxide films on As-synthesized magnetic Ni particles: Magnetic and safety properties

    Energy Technology Data Exchange (ETDEWEB)

    Uudeküll, Peep, E-mail: peep.uudekull@ut.ee [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kozlova, Jekaterina; Mändar, Hugo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Link, Joosep [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Sihtmäe, Mariliis [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Käosaar, Sandra [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Faculty of Chemical and Materials Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Blinova, Irina; Kasemets, Kaja; Kahru, Anne [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Stern, Raivo [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Tätte, Tanel [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia)

    2017-05-01

    Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.

  2. Use of a wedge cuvette in thin layer photometry and its application to oximetry

    NARCIS (Netherlands)

    Spaan, J. A.; Garred, L. J.; van de Borne, P.

    1977-01-01

    A wedge cuvette was constructed by fixing 2 glass plates at a known angle with a spacer at one end. This resulted in a thin layer with thickness varying from 0 to 250 micrometer. By measuring the intensity of a beam of light through the thin layer as a function of distance along the wedge (and thus

  3. Facing-target sputtering deposition of ZnO films with Pt ultra-thin layers for gas-phase photocatalytic application

    International Nuclear Information System (INIS)

    Zhang Zhonghai; Hossain, Md. Faruk.; Arakawa, Takuya; Takahashi, Takakazu

    2010-01-01

    In this paper, various zinc oxide (ZnO) films are deposited by a versatile and effective dc-reactive facing-target sputtering method. The ratios of Ar to O 2 in the mixture gas are varied from 8:2 to 6:4 at a fixed sputtering pressure of 1.0 Pa. X-ray diffraction, spectrophotometer and scanning electron microscope are used to study the crystal structure, optical property and surface morphology of the as-deposited films. The Pt ultra-thin layer, ∼2 nm thick, is deposited on the surface of ZnO film by dc diode sputtering with a mesh mask controlling the coated area. The photocatalytic activity of ZnO films and Pt-ZnO films is evaluated by decomposition of methanol under UV-vis light irradiation. The variation of photocatalytic activity depends on the ratios of Ar to O 2 , which is mainly attributed to the different grain size and carrier mobility. Though the pure ZnO film normally shows a low gas-phase photocatalytic activity, its activity is significantly enhanced by depositing Pt ultra-thin layer.

  4. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  5. The role of surface oxides on hydrogen sorption kinetics in titanium thin films

    Science.gov (United States)

    Hadjixenophontos, Efi; Michalek, Lukas; Roussel, Manuel; Hirscher, Michael; Schmitz, Guido

    2018-05-01

    Titanium is presently discussed as a catalyst to accelerate the hydrogenation kinetics of hydrogen storage materials. It is however known that H absorption in Ti decisively depends on the surface conditions (presence or absence of the natural surface oxide). In this work, we use Ti thin films of controlled thickness (50-800 nm) as a convenient tool for quantifying the atomic transport. XRD and TEM investigations allow us to follow the hydrogenation progress inside the film. Hydrogenation of TiO2/Ti bi-layers is studied at 300 °C, for different durations (10 s to 600 min) and at varying pressures of pure H2 atmosphere. Under these conditions, the hydrogenation is found to be linear in time. By comparing films with and without TiO2, as well as by studying the pressure dependence of hydrogenation, it is demonstrated that hydrogen transport across the oxide represents the decisive kinetic barrier rather than the splitting of H2 molecules at the surface. Hydrogenation appears by a layer-like reaction initiated by heterogeneous nucleation at the backside interface to the substrate. The linear growth constant and the H diffusion coefficient inside the oxide are quantified, as well as a reliable lower bound to the hydrogen diffusion coefficient in Ti is derived. The pressure dependence of hydrogen absorption is quantitatively modelled.

  6. Accretion disc boundary layers - geometrically and optically thin case

    International Nuclear Information System (INIS)

    Regev, Oded; Hougerat, A.A.

    1988-01-01

    The method of matched asymptotic expansions is applied to an optically and geometrically thin boundary layer between an accretion disc and the accreting star. Analytical solutions are presented for a particular viscosity prescription in the boundary layer. For a typical example we find that the disc closely resembles standard steady-disc theory. It is identical to it everywhere save a narrow boundary layer, where the temperature increases rapidly inward (by an order of magnitude), the angular velocity achieves maximum and decreases to its surface value and other variables also undergo rapid changes. This and previous work can now be used to calculate the emission from accretion discs including the boundary layers for a wide range of parameters. (author)

  7. Preparation of Ferroelectric Thin Films of Bismuth Layer Structured Compounds

    Science.gov (United States)

    Watanabe, Hitoshi; Mihara, Takashi; Yoshimori, Hiroyuki; Araujo, Carlos

    1995-09-01

    Ferroelectric thin films of bismuth layer structured compounds, SrBi2Ta2O9, SrBi2Nb2O9, SrBi4Ti4O15 and their solid solutions, were formed onto a sputtered platinum layer on a silicon substrate using spin-on technique and metal-organic decomposition (MOD) method. X-ray diffraction (XRD) analysis and some electrical measurements were performed on the prepared thin films. XRD results of SrBi2(Ta1- x, Nb x)2O9 films (0≤x≤1) showed that niobium ions substitute for tantalum ions in an arbitrary ratio without any change of the layer structure and lattice constants. Furthermore, XRD results of SrBi2 xTa2O9 films (0≤x≤1.5) indicated that the formation of the bismuth layer structure does not always require an accurate bismuth content. The layer structure was formed above 50% of the stoichiometric bismuth content in the general formula. SrBi2(Ta1- x, Nb x)2O9 films with various Ta/Nb ratios have large enough remanent polarization for nonvolatile memory application and have shown high fatigue resistance against 1011 cycles of full switching of the remanent polarization. Mixture films of the three compounds were also investigated.

  8. Influence of annealing on texture properties of cerium oxide thin films

    International Nuclear Information System (INIS)

    Arunkumar, P.; Suresh Babu, K.; Ramaseshan, R.; Dash, S.

    2013-01-01

    Future power demand needs an energy source with higher efficiency, better power density, clean energy and fuel flexibility. Solid oxide fuel cell (SOFC) is one of the potential sources for future needs. Though the polymer and direct methanol based electrolyte are much suitable, for versatile applications (portable devices) they are having major challenges such as design, platinum based catalyst, lower power density and fuel flexibility (free from hydrocarbons). However, in SOFC the high operating temperature is the only major issue. Operating temperature of SOFC could be reduced by proper selection of electrolyte material which should have minimum ionic conductivity of 0.1 Scm -1 at reduced activation energy. This can be achieved by thin film based doped cerium oxide electrolyte for SOFC, leads to Intermediate Temperature Solid Oxide Fuel Cell (ITSOFC). In the present work, we focus on the synthesis of cerium oxide and 20 mol % samarium doped cerium oxide (SDC) nanoparticles by co-precipitation method and to synthesis thin films of the same. Pellets of those powders were heat treated at different temperatures and used as targets for e-beam evaporation to fabricate thin film based electrolyte. Stoichiometry of both powders and thin films were confirmed by XRF and EPMA. GIXRD profiles of ceria and SDC thin films are shown below and a preferred orientation effect is observed in SDC films. In SDC films the X-ray peaks have a shift towards lower angles, due to the difference in ionic radii of Ce 4+ and Sm 3+ . The band gap of CeO 2 (2.88 eV) from optical absorption technique indicates the presence of Ce 3+ with Ce 4+ , indirectly shows the concentration of oxygen vacancies which is required for the thin film electrolyte

  9. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H-SiC in diluted N{sub 2}O ambient

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2012-10-15

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N{sub 2}O ambient (10-100%) at 500 Degree-Sign C for 15 min to form Zr-oxynitride on 4H-SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N{sub 2}O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr-O-N and/or Zr-N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: Black-Right-Pointing-Pointer Zr-oxynitride as the gate oxide deposited on 4H-SiC substrate. Black-Right-Pointing-Pointer Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H-SiC using various concentrations of N{sub 2}O gas. Black-Right-Pointing-Pointer Presence of interfacial layer comprised of mixed compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N. Black-Right-Pointing-Pointer The highest electrical breakdown and highest reliability at diluted N{sub 2}O of 10%.

  10. Indium–gallium–zinc oxide thin film transistors with a hybrid-channel structure for defect suppression and mobility improvement

    International Nuclear Information System (INIS)

    Lin, Huang-Kai; Su, Liang-Yu; Hung, Chia-Chin; Huang, JianJang

    2013-01-01

    In this work, we explore an indium gallium zinc oxide (IGZO) thin film transistor structure with a vacuum annealed IGZO thin film inserted between the dielectric and typical channel layers. The device demonstrates a better subthreshold swing and field-effect mobility due to the suppression of defects in the channel and the channel/dielectric interface. The hybrid channel structure also exhibits the flexibility of adjusting the threshold voltage. The superior carrier mobility was then verified from the transient response of the inverter circuit constructed by the devices. - Highlights: • Additional in-situ annealed In–Ga–ZnO film was inserted in thin film transistor (TFT). • Traps are suppressed and field effect mobility is improved in the TFT. • An inverter with the device structure has a better transient response

  11. Indium–gallium–zinc oxide thin film transistors with a hybrid-channel structure for defect suppression and mobility improvement

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Huang-Kai; Su, Liang-Yu; Hung, Chia-Chin [Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China); Huang, JianJang, E-mail: jjhuang@cc.ee.ntu.edu.tw [Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China); Department of Electrical Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China)

    2013-07-01

    In this work, we explore an indium gallium zinc oxide (IGZO) thin film transistor structure with a vacuum annealed IGZO thin film inserted between the dielectric and typical channel layers. The device demonstrates a better subthreshold swing and field-effect mobility due to the suppression of defects in the channel and the channel/dielectric interface. The hybrid channel structure also exhibits the flexibility of adjusting the threshold voltage. The superior carrier mobility was then verified from the transient response of the inverter circuit constructed by the devices. - Highlights: • Additional in-situ annealed In–Ga–ZnO film was inserted in thin film transistor (TFT). • Traps are suppressed and field effect mobility is improved in the TFT. • An inverter with the device structure has a better transient response.

  12. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  13. Effect of p-layer properties on nanocrystalline absorber layer and thin film silicon solar cells

    International Nuclear Information System (INIS)

    Chowdhury, Amartya; Adhikary, Koel; Mukhopadhyay, Sumita; Ray, Swati

    2008-01-01

    The influence of the p-layer on the crystallinity of the absorber layer and nanocrystalline silicon thin film solar cells has been studied. Boron doped Si : H p-layers of different crystallinities have been prepared under different power pressure conditions using the plasma enhanced chemical vapour deposition method. The crystalline volume fraction of p-layers increases with the increase in deposition power. Optical absorption of the p-layer reduces as the crystalline volume fraction increases. Structural studies at the p/i interface have been done by Raman scattering studies. The crystalline volume fraction of the i-layer increases as that of the p-layer increases, the effect being more prominent near the p/i interface. Grain sizes of the absorber layer decrease from 9.2 to 7.2 nm and the density of crystallites increases as the crystalline volume fraction of the p-layer increases and its grain size decreases. With increasing crystalline volume fraction of the p-layer solar cell efficiency increases

  14. Process development of ITO source/drain electrode for the top-gate indium-gallium-zinc oxide transparent thin-film transistor

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok; Yoon, Young-sun; Shin, Jae-Heon; Hwang, Chi-Sun; Chu, Hye Yong

    2009-01-01

    Indium-tin oxide (ITO) has been widely used as electrodes for LCDs and OLEDs. The applications are expanding to the transparent thin-film transistors (TTFT S ) for the versatile circuits or transparent displays. This paper is related with optimization of ITO source and drain electrode for TTFTs on glass substrates. For example, un-etched ITO remnants, which frequently found in the wet etching process, often originate from unsuitable ITO formation processes. In order to improve them, an ion beam deposition method is introduced, which uses for forming a seed layer before the main ITO deposition. We confirm that ITO films with seed layers are effective to obtain clean and smooth glass surfaces without un-etched ITO remnants, resulting in a good long-run electrical stability of the top-gate indium-gallium-zinc oxide-TTFT.

  15. Cation Effects on the Layer Structure of Biogenic Mn-Oxides

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, M.; Ginder-Vogel, M; Parikh, S; Feng, X; Sparks, D

    2010-01-01

    Biologically catalyzed Mn(II) oxidation produces biogenic Mn-oxides (BioMnO{sub x}) and may serve as one of the major formation pathways for layered Mn-oxides in soils and sediments. The structure of Mn octahedral layers in layered Mn-oxides controls its metal sequestration properties, photochemistry, oxidizing ability, and topotactic transformation to tunneled structures. This study investigates the impacts of cations (H{sup +}, Ni(II), Na{sup +}, and Ca{sup 2+}) during biotic Mn(II) oxidation on the structure of Mn octahedral layers of BioMnO{sub x} using solution chemistry and synchrotron X-ray techniques. Results demonstrate that Mn octahedral layer symmetry and composition are sensitive to previous cations during BioMnO{sub x} formation. Specifically, H{sup +} and Ni(II) enhance vacant site formation, whereas Na{sup +} and Ca{sup 2+} favor formation of Mn(III) and its ordered distribution in Mn octahedral layers. This study emphasizes the importance of the abiotic reaction between Mn(II) and BioMnO{sub x} and dependence of the crystal structure of BioMnO{sub x} on solution chemistry.

  16. Simplified tunnelling current calculation for MOS structures with ultra-thin oxides for conductive atomic force microscopy investigations

    International Nuclear Information System (INIS)

    Frammelsberger, Werner; Benstetter, Guenther; Stamp, Richard; Kiely, Janice; Schweinboeck, Thomas

    2005-01-01

    As charge tunnelling through thin and ultra-thin silicon dioxide layers is regarded as the driving force for MOS device degradation the determination and characterisation of electrically week spots is of paramount importance for device reliability and failure analysis. Conductive atomic force microscopy (C-AFM) is able to address this issue with a spatial resolution smaller than the expected breakdown spot. For the determination of the electrically active oxide thickness in practice an easy to use model with sufficient accuracy and which is largely independent of the oxide thickness is required. In this work a simplified method is presented that meets these demands. The electrically active oxide thickness is determined by matching of C-AFM voltage-current curves and a tunnelling current model, which is based on an analytical tunnelling current approximation. The model holds for both the Fowler-Nordheim tunnelling and the direct tunnelling regime with one single tunnelling parameter set. The results show good agreement with macroscopic measurements for gate voltages larger than approximately 0.5-1 V, and with microscopic C-AFM measurements. For this reason arbitrary oxides in the DT and the FNT regime may be analysed with high lateral resolution by C-AFM, without the need of a preselection of the tunnelling regime to be addressed

  17. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  18. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Predoi, D.; Ciobanu, C.S. [National Institute for Physics of Materials, P.O. Box MG 07, Bucharest, Magurele (Romania); Radu, M.; Costache, M.; Dinischiotu, A. [Molecular Biology Center, University of Bucharest, 91-95 Splaiul Independentei, 76201, Bucharest 5 (Romania); Popescu, C.; Axente, E.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Gyorgy, E., E-mail: egyorgy@cin2.es [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Consejo Superior de Investigaciones Cientificas, Centre d' Investigacions en Nanociencia i Nanotecnologia (CSIC-CIN2), Campus UAB, 08193 Bellaterra (Spain)

    2012-02-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: Black-Right-Pointing-Pointer Hybrid, dextran-iron oxide nanoparticles and thin films. Black-Right-Pointing-Pointer Laser immobilization. Black-Right-Pointing-Pointer Biocompatibility of dextran-iron oxide nanoparticles.

  19. Method for the manufacture of a thin-layer battery stack on a three-dimensional substrate

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method for the manufacture of a thin-layer battery stack on a three-dimensional substrate. The invention further relates to a thin-layer battery stack on a three-dimensional substrate obtainable by such a method. Moreover, the invention relates to a device comprising such

  20. Adsorption of ethylene carbonate on lithium cobalt oxide thin films: A synchrotron-based spectroscopic study of the surface chemistry

    Science.gov (United States)

    Fingerle, Mathias; Späth, Thomas; Schulz, Natalia; Hausbrand, René

    2017-11-01

    The surface chemistry of cathodic lithium cobalt oxide (LiCoO2) in contact with the Li-ion battery solvent ethylene carbonate (EC) was studied via synchrotron based soft X-ray photoelectron spectroscopy (SXPS). By stepwise in-situ adsorption of EC onto an rf-magnetron sputtered LiCoO2 thin film and consecutive recording of SXPS spectra, the chemical and electronic properties of the interface were determined. EC partially decomposes and forms a predominantly organic adlayer. Prolonged exposure results in the formation of a condensed EC layer, demonstrating that the decomposition layer has passivating properties. Lithium ions deintercalate from the electrode and are dissolved in the adsorbate phase, without forming a large amount of Li-containing reaction products, indicating that electrolyte reduction remains limited. Due to a large offset between the LiCoO2 valence band and the EC HOMO, oxidation of EC molecules is unlikely, and should require energy level shifts due to interaction or double layer effects for real systems.

  1. THE THICKNESS DEPENDENCE OF OXYGEN PERMEABILITY IN SOL-GEL DERIVED CGO-COFE2O4 THIN FILMS ON POROUS CERAMIC SUBSTRATES: A SPUTTERED BLOCKING LAYER FOR THICKNESS CONTROL

    Energy Technology Data Exchange (ETDEWEB)

    Brinkman, K

    2009-01-08

    Mixed conductive oxides are a topic of interest for applications in oxygen separation membranes as well as use in producing hydrogen fuel through the partial oxidation of methane. The oxygen flux through the membrane is governed both by the oxygen ionic conductivity as well as the material's electronic conductivity; composite membranes like Ce{sub 0.8}Gd{sub 0.2}O{sub 2-{delta}} (CGO)-CoFe{sub 2}O{sub 4} (CFO) use gadolinium doped ceria oxides as the ionic conducting material combined with cobalt iron spinel which serves as the electronic conductor. In this study we employ {approx} 50 nm sputtered CeO{sub 2} layers on the surface of porous CGO ceramic substrates which serve as solution 'blocking' layers during the thin film fabrication process facilitating the control of film thickness. Films with thickness of {approx} 2 and 4 microns were prepared by depositing 40 and 95 separate sol-gel layers respectively. Oxygen flux measurements indicated that the permeation increased with decreasing membrane thickness; thin film membrane with thickness on the micron level showed flux values an order of magnitude greater (0.03 {micro}mol/cm{sup 2} s) at 800 C as compared to 1mm thick bulk ceramic membranes (0.003 {micro}mol/cm{sup 2}).

  2. Growth and characterization of thin oriented Co3O4 (111) films obtained by decomposition of layered cobaltates NaxCoO2

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Soroka, Miroslav; Kužel, R.; Mika, Filip

    2015-01-01

    Roč. 227, JUL (2015), s. 17-24 ISSN 0022-4596 R&D Projects: GA ČR GA13-03708S; GA ČR(CZ) GA14-18392S Institutional support: RVO:61388980 ; RVO:68081731 Keywords : Cobalt oxides * Spinels * Layered cobaltates * Chemical solution deposition * Thin films Subject RIV: CA - Inorganic Chemistry; JA - Electronics ; Optoelectronics, Electrical Engineering (UPT-D) Impact factor: 2.265, year: 2015

  3. Thin-Layer Solutions of the Helmholtz and Related Equations

    KAUST Repository

    Ockendon, J. R.

    2012-01-01

    This paper concerns a certain class of two-dimensional solutions to four generic partial differential equations-the Helmholtz, modified Helmholtz, and convection-diffusion equations, and the heat conduction equation in the frequency domain-and the connections between these equations for this particular class of solutions.S pecifically, we consider thin-layer solutions, valid in narrow regions across which there is rapid variation, in the singularly perturbed limit as the coefficient of the Laplacian tends to zero.F or the wellstudied Helmholtz equation, this is the high-frequency limit and the solutions in question underpin the conventional ray theory/WKB approach in that they provide descriptions valid in some of the regions where these classical techniques fail.E xamples are caustics, shadow boundaries, whispering gallery, and creeping waves and focusing and bouncing ball modes.It transpires that virtually all such thin-layer models reduce to a class of generalized parabolic wave equations, of which the heat conduction equation is a special case. Moreover, in most situations, we will find that the appropriate parabolic wave equation solutions can be derived as limits of exact solutions of the Helmholtz equation.W e also show how reasonably well-understood thin-layer phenomena associated with any one of the four generic equations may translate into less well-known effects associated with the others.In addition, our considerations also shed some light on the relationship between the methods of matched asymptotic, WKB, and multiple-scales expansions. © 2012 Society for Industrial and Applied Mathematics.

  4. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  5. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers

    International Nuclear Information System (INIS)

    Sohal, R.

    2006-01-01

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO 2 /SiC interface. Clean, graphite-free SiO 2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr 2 O 3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr 2 O 3 and SiC, and simultaneously provide higher band offsets. (orig.)

  6. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with pradeodymium oxide dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Sohal, R.

    2006-07-24

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO{sub 2}/SiC interface. Clean, graphite-free SiO{sub 2} has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr{sub 2}O{sub 3} on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr{sub 2}O{sub 3} and SiC, and simultaneously provide higher band offsets. (orig.)

  7. Microfabrication of SrRuO3 thin films on various oxide substrates using LaAlO3/BaOx sacrificial bilayers

    Science.gov (United States)

    Harada, Takayuki; Tsukazaki, Atsushi

    2018-02-01

    Oxides provide various fascinating physical properties that could find use in future device applications. However, the physical properties of oxides are often affected by formation of oxygen vacancies during device fabrication processes. In this study, to develop a damage-free patterning process for oxides, we focus on a lift-off process using a sacrificial template layer, by which we can pattern oxide thin films without severe chemical treatment or plasma bombardment. As oxides need high thin-film growth temperature, a sacrificial template needs to be made of thermally stable and easily etchable materials. To meet these requirements, we develop a sacrificial template with a carefully designed bilayer structure. Combining a thermally and chemically stable LaAlO3 and a water-soluble BaOx, we fabricated a LaAlO3/BaOx sacrificial bilayer. The patterned LaAlO3/BaOx sacrificial bilayers were prepared on oxide substrates by room-temperature pulsed laser deposition and standard photolithography process. The structure of the sacrificial bilayer can be maintained even in rather tough conditions needed for oxide thin film growth: several hundred degrees Celsius under high oxygen pressure. Indeed, the LaAlO3/BaOx bilayer is easily removable by sonication in water. We applied the lift-off method using the LaAlO3/BaOx sacrificial bilayer to a representative oxide conductor SrRuO3 and fabricated micron-scale Hall-bar devices. The SrRuO3 channels with the narrowest line width of 5 μm exhibit an almost identical transport property to that of the pristine film, evidencing that the developed process is beneficial for patterning oxides. We show that the LaAlO3/BaOx lift-off process is applicable to various oxide substrates: SrTiO3, MgO, and Al2O3. The new versatile patterning process will expand the range of application of oxide thin films in electronic and photonic devices.

  8. Channel layer thickness dependence of In-Ti-Zn-O thin-film transistors fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhang, Q.; Shan, F. K.; Liu, G. X.; Liu, A.; Lee, W. J.; Shin, B. C.

    2014-01-01

    Amorphous indium-titanium-zinc-oxide (ITZO) thin-film transistors (TFTs) with various channel thicknesses were fabricated at room temperature by using pulsed laser deposition. The channel layer thickness (CLT) dependence of the TFTs was investigated. All the ITZO thin films were amorphous, and the surface roughnesses decreased slightly first and then increased with increasing CLT. With increasing CLT from 35 to 140 nm, the on/off current ratio and the field-effect mobility increased, and the subthreshold swing decreased. The TFT with a CLT of 210 nm exhibited the worst performance, while the ITZO TFT with a CLT of 140 nm exhibited the best performance with a subthreshold voltage of 2.86 V, a mobility of 53.9 cm 2 V -1 s -1 , a subthreshold swing of 0.29 V/decade and an on/off current ratio of 10 9 .

  9. Comparison of two detection methods in thin layer chromatographic ...

    African Journals Online (AJOL)

    o-tolidine plus potassium iodide and photosynthesis inhibition detection methods were investigated for the analysis of three triazine herbicides (atrazine, ametryne, simazine) and two urea herbicides (diuron, metobromuron) in a coastal savanna soil using thin layer chromatography to compare the suitability of the two ...

  10. Pre-staining thin layer chromatography method for amino acid ...

    African Journals Online (AJOL)

    Jane

    2010-12-13

    Dec 13, 2010 ... inexpensive and the results obtained were clean and reproducible. However, it is suitable for the high throughput screening of amino acid-producing strains. Key words: Thin layer chromatography, pre-staining, amino acid detection. INTRODUCTION. Several analytical techniques have been often used for.

  11. Simulation of Natural Convection in the Oxide Layer of Three-Layer Corium Pool in an IVR

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Su-Hyeon; Park, Hae-Kyun; Chung, Bum-Jin [Kyung Hee University, Yongin (Korea, Republic of)

    2016-10-15

    This paper describes the three-layer phenomena and preliminary plan to simulate the oxide layer experimentally. We will perform the mass transfer experiments using a copper sulfate-sulfuric acid (CuSO{sub 4}-H{sub 2}SO{sub 4}) electroplating system based on the heat and mass transfer analogy concept. By performing the mass transfer experiments, we can achieve the high buoyancy condition with small facilities. The test facility is semicircular whose bottom is chopped, simulating the oxide pool above the heavy metal layer in a three-layer configuration. We will measure the heat flux at the top plate, side wall and bottom plate, and compare these results with those for a two-layer pool. In a three-layer configuration, the upper light metal layer becomes thinner, increasing the focusing effect. Thus, it is important to evaluate the heat flux from the oxide pool to the upper metallic layer. However, there is few heat transfer studies for a three-layer configuration. This paper is to discuss and to make a plan for the heat transfer experiments of oxide pool in a three- layer system. We will perform the mass transfer experiments based on the heat and mass transfer analogy concept. The test results will be analyzed phenomenologically and compared with two-layer results.

  12. Study on thermo-oxide layers of uranium-niobium alloy

    International Nuclear Information System (INIS)

    Luo Lizhu; Yang Jiangrong; Zhou Ping

    2010-01-01

    Surface oxides structure of uranium-niobium alloys which were annealed under different temperatures (room temperature, 100, 200, 300 degree C, respectively)in air were studied by X-ray photoelectron spectroscopy (XPS) analysis and depth profile. Thickness of thermo-oxide layers enhance with the increasing oxide temperature, and obvious changes to oxides structure are observed. Under different delt temperatures, Nb 2 O 5 are detected on the initial surface of U-Nb alloys, and a layer of NbO mixed with some NbO x (0 2 O 5 and Nb metal. Dealing samples in air from room temperature to 200 degree C, non-stoichiometric UO 2+x (UO 2 + interstitial oxygen, P-type semiconductor) are found on initial surface of U-Nb alloys, which has 0.7 eV shift to lower binding energy of U 4f 7/2 characteristics comparing to that of UO 2 . Under room temperature, UO 2 are commonly detected in the oxides layer, while under temperature of 100 and 200 degree C, some P-type UO 2+x are found in the oxide layers,which has a satellite at binding energy of 396.6 eV. When annealing at 300 degree C, higher valence oxides, such as U 3 O 8 or UO x (2 5/2 and U 4f 7/2 peaks are 392.2 and 381.8 eV, respectively. UO 2 mixed uranium metal are the main compositions in the oxide layers. From the results, influence of temperature to oxidation of uranium is more visible than to niobium in uranium-niobium alloys. (authors)

  13. Growth and characterization of oxide layers on zirconium alloys

    International Nuclear Information System (INIS)

    Maroto, A.J.G.; Bordoni, R.; Villegas, M.; Olmedo, A.M.; Blesa, M.A.; Iglesias, A.; Koenig, P.

    1996-01-01

    In the range 265-435 C Zr-2.5Nb corrosion takes place in two stages, as opposed to the cyclic behaviour of Zry-4. The Zry-4 corrosion stages are described by a single equation, in terms of the dense oxide layer thickness that decreases sharply at each transition. Tetragonal zirconia is present in the oxide layers of both alloys. In Zry-4, its volume fraction decreases as the oxide grows; it is barely discernible in Zr-2.5Nb in films below 1 μm, to later increase up to the transition. In both alloys, compressive stresses are developed associated with the oxide growth. Their relaxation at the transition correlates with the transformation of ZrO 2 (t) to ZrO 2 (m) and with the decrease of the dense oxide layer. In Zr-2.5Nb, oxide ridges form on the β-Zr phase filaments, at the very onset of film growth. The cyclic behaviour associated with the periodical breakdown of the dense oxide layer is therefore blurred, although optical microscopy shows that the scale retains the multilayered structure typical of Zry-4. (orig.)

  14. Thin layer activation: measuring wear and corrosion

    International Nuclear Information System (INIS)

    Delvigne, T.; Leyman, D.; Oxorn, K.

    1995-01-01

    The technique known as thin layer activation (TLA) is explained and assessed in this article. Widely used, in for example the automotive industry, TLA allows on-line monitoring of the loss of matter from a critical surface, by wear erosion and corrosion. The technique offers extremely high sensitivity thus leading to reduced test times. On-line wear phenomena can be assessed during operation of a mechanical process, even through thick engine walls. (UK)

  15. Thermoluminescent response of aluminium oxide thin films subject to gamma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Arrieta, A.; Escobar A, L.; Camps, E.; Villagran, E.; Gonzalez, P.R

    2006-07-01

    The thermoluminescent (TL) properties of amorphous aluminium oxide thin films (thicknesses as low as 0.3 {mu}m) subjected to gamma (Co-60) irradiation are reported. Aluminium oxide thin films were prepared by laser ablation from an Al{sub 2}O{sub 3} target using a Nd: YAG laser with emission at the fundamental line. The films were exposed to gamma radiation (Co-60) in order to study their TL response. Thermoluminescence glow curves exhibited two peaks at 110 and 176 C. The high temperature peak shows good stability and 30% fading in the first 5 days after irradiation. A linear relationship between absorbed dose and the thermoluminescent response for doses span from 150 mGy to 100 Gy was observed. These results suggest that aluminium oxide thin films are suitable for detection and monitoring of gamma radiation. (Author)

  16. Preparation of transparent conductive indium tin oxide thin films from nanocrystalline indium tin hydroxide by dip-coating method

    International Nuclear Information System (INIS)

    Koroesi, Laszlo; Papp, Szilvia; Dekany, Imre

    2011-01-01

    Indium tin oxide (ITO) thin films with well-controlled layer thickness were produced by dip-coating method. The ITO was synthesized by a sol-gel technique involving the use of aqueous InCl 3 , SnCl 4 and NH 3 solutions. To obtain stable sols for thin film preparation, as-prepared Sn-doped indium hydroxide was dialyzed, aged, and dispersed in ethanol. Polyvinylpyrrolidone (PVP) was applied to enhance the stability of the resulting ethanolic sols. The transparent, conductive ITO films on glass substrates were characterized by X-ray diffraction, scanning electron microscopy and UV-Vis spectroscopy. The ITO layer thickness increased linearly during the dipping cycles, which permits excellent controllability of the film thickness in the range ∼ 40-1160 nm. After calcination at 550 o C, the initial indium tin hydroxide films were transformed completely to nanocrystalline ITO with cubic and rhombohedral structure. The effects of PVP on the optical, morphological and electrical properties of ITO are discussed.

  17. Sol-gel processed thin-layer ruthenium oxide/carbon black supercapacitors: A revelation of the energy storage issues

    Energy Technology Data Exchange (ETDEWEB)

    Panic, V.V.; Dekanski, A.B.; Stevanovic, R.M. [Institute of Chemistry, Technology and Metallurgy, Department of Electrochemistry, University of Belgrade, Njegoseva 12, Belgrade 125213 (RS)

    2010-07-01

    Hydrous ruthenium oxide/carbon black nanocomposites were prepared by impregnation of the carbon blacks by differently aged inorganic RuO{sub 2} sols, i.e. of different particle size. Commercial Black Pearls 2000 {sup registered} (BP) and Vulcan {sup registered} XC-72 R (XC) carbon blacks were used. Capacitive properties of BP/RuO{sub 2} and XC/RuO{sub 2} composites were investigated by cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) in H{sub 2}SO{sub 4} solution. Capacitance values and capacitance distribution through the composite porous layer were found different if high- (BP) and low- (XC) surface-area carbons are used as supports. The aging time (particle size) of Ru oxide sol as well as the concentration of the oxide solid phase in the impregnating medium influenced the capacitive performance of prepared composites. While the capacitance of BP-supported oxide decreases with the aging time, the capacitive ability of XC-supported oxide is promoted with increasing oxide particle size. The increase in concentration of the oxide solid phase in the impregnating medium caused an improvement of charging/discharging characteristics due to pronounced pseudocapacitance contribution of the increasing amount of inserted oxide. The effects of these variables in the impregnation process on the energy storage capabilities of prepared nanocomposites are envisaged as a result of intrinsic way of population of the pores of carbon material by hydrous Ru oxide particle. (author)

  18. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured

  19. Thin film ionic conductors based on cerium oxide

    International Nuclear Information System (INIS)

    Haridoss, P.; Hellstrom, E.; Garzon, F.H.; Brown, D.R.; Hawley, M.

    1994-01-01

    Fluorite and perovskite structure cerium oxide based ceramics are a class of materials that may exhibit good oxygen ion and/or protonic conductivity. The authors have successfully deposited thin films of these materials on a variety of substrates. Interesting orientation relationships were noticed between cerium oxide films and strontium titanate bi-crystal substrates. Near lattice site coincidence theory has been used to study these relationships

  20. Layered double hydroxides/polymer thin films grown by matrix assisted pulsed laser evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R.; Matei, A.; Mitu, B.; Ionita, M.D.; Filipescu, M.; Stokker-Cheregi, F.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest–Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Corobea, M.C. [National R. and S. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021, Bucharest (Romania)

    2013-09-30

    Due to their highly tunable properties, layered double hydroxides (LDHs) are an emerging class of the favorably layered crystals used for the preparation of multifunctional polymer/layered crystal nanocomposites. In contrast to cationic clay materials with negatively charge layers, LDHs are the only host lattices with positively charged layers (brucite-like), with interlayer exchangeable anions and intercalated water. In this work, the deposition of thin films of Mg and Al based LDH/polymers nanocomposites by laser techniques is reported. Matrix assisted pulsed laser evaporation was the method used for thin films deposition. The Mg–Al LDHs capability to act as a host for polymers and to produce hybrid LDH/polymer films has been investigated. Polyethylene glycol with different molecular mass compositions and ethylene glycol were used as polymers. The structure and surface morphology of the deposited LDH/polymers films were examined by X-ray diffraction, Fourier transform infra-red spectroscopy, atomic force microscopy and scanning electron microscopy. - Highlights: • Hybrid composites deposited by matrix assisted pulsed laser evaporation (MAPLE). • Mg–Al layered double hydroxides (LDH) and polyethylene glycol (PEG) are used. • Mixtures of PEG1450 and LDH were deposited by MAPLE. • Deposited thin films preserve the properties of the starting material. • The film wettability can be controlled by the amount of PEG.

  1. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Bijkerk, Frederik

    2015-01-01

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO2 films were found to show Arrhenius behaviour. However, a

  2. High Temperature Oxidation Behavior of Zirconium Alloy with Nano structured Oxide Layer in Air Environment

    International Nuclear Information System (INIS)

    Park, Y. J.; Kim, J. W.; Park, J. W.; Cho, S. O.

    2016-01-01

    If the temperature of the cladding materials increases above 1000 .deg. C, which can be caused by a loss of coolant accident (LOCA), Zr becomes an auto-oxidation catalyst and hence produces a huge amount of hydrogen gas from water. Therefore, many investigations are being carried out to prevent (or reduce) the hydrogen production from Zr-based cladding materials in the nuclear reactors. Our team has developed an anodization technique by which nanostructured oxide can be formed on various flat metallic elements such as Al, Ti, and Zr-based alloy. Anodization is a simple electrochemical technique and requires only a power supply and an electrolyte. In this study, Zr-based alloys with nanostructured oxide layers were oxidized by using Thermogravimetry analysis (TGA) and compared with the pristine one. It reveals that the nanostructured oxide layer can prevent oxidation of substrate metal in air. Oxidation behavior of the pristine Zr-Nb-Sn alloy and the Zr-Nb-Sn alloy with nanostructured oxide layer evaluated by measuring weight gain (TGA). In comparison with the pristine Zr-Nb-Sn alloy, weight gain of the Zr-Nb-Sn alloy with nanostructured oxide layer is lower than 10% even for 12 hours oxidation in air.

  3. Magnetic properties of amorphous Tb-Fe thin films with an artificially layered structure

    International Nuclear Information System (INIS)

    Sato, N.

    1986-01-01

    An alternating terbium-iron (Tb-Fe) multilayer structure artificially made in amorphous Tb-Fe thin films gives rise to excellent magnetic properties of large perpendicular uniaxial anisotropy, large saturation magnetization, and large coercivity over a wide range of Tb composition in the films. The films are superior to amorphous Tb-Fe alloy thin films, especially when they are piled up with a monatomic layer of Tb and several atomic layers of Fe in an alternating fashion. Small-angle x-ray diffraction analysis confirmed the layering of monatomic layers of Tb and Fe, where the periodicity of the layers was found to be about 5.9 A. Direct evidence for an artificially layered structure was obtained by transmission electron microscopic and Auger electron spectroscopic observations. Together with magnetic measurements of hysteresis loops and torque curves, it has been concluded that the most important origin of the large magnetic uniaxial anisotropy can be attributed to the Tb-Fe pairs aligned perpendicular to the films

  4. Layer-by-layer modification of thin-film metal-semiconductor multilayers with ultrashort laser pulses

    Science.gov (United States)

    Romashevskiy, S. A.; Tsygankov, P. A.; Ashitkov, S. I.; Agranat, M. B.

    2018-05-01

    The surface modifications in a multilayer thin-film structure (50-nm alternating layers of Si and Al) induced by a single Gaussian-shaped femtosecond laser pulse (350 fs, 1028 nm) in the air are investigated by means of atomic-force microscopy (AFM), scanning electron microscopy (SEM), and optical microscopy (OM). Depending on the laser fluence, various modifications of nanometer-scale metal and semiconductor layers, including localized formation of silicon/aluminum nanofoams and layer-by-layer removal, are found. While the nanofoams with cell sizes in the range of tens to hundreds of nanometers are produced only in the two top layers, layer-by-layer removal is observed for the four top layers under single pulse irradiation. The 50-nm films of the multilayer structure are found to be separated at their interfaces, resulting in a selective removal of several top layers (up to 4) in the form of step-like (concentric) craters. The observed phenomenon is associated with a thermo-mechanical ablation mechanism that results in splitting off at film-film interface, where the adhesion force is less than the bulk strength of the used materials, revealing linear dependence of threshold fluences on the film thickness.

  5. Formation of hydrated layers in PMMA thin films in aqueous solution

    Energy Technology Data Exchange (ETDEWEB)

    Akers, Peter W. [School of Chemical Sciences, University of Auckland, Auckland (New Zealand); Nelson, Andrew R.J. [The Bragg Institute, Australian Nuclear Science and Technology Organisation, Menai, NSW (Australia); Williams, David E. [School of Chemical Sciences, University of Auckland, Auckland (New Zealand); MacDiarmid Institute of Advanced Materials and Nanotechnology, Wellington (New Zealand); McGillivray, Duncan J., E-mail: d.mcgillivray@auckland.ac.nz [School of Chemical Sciences, University of Auckland, Auckland (New Zealand); MacDiarmid Institute of Advanced Materials and Nanotechnology, Wellington (New Zealand)

    2015-10-30

    Graphical abstract: - Highlights: • Homogeneous thin PMMA films prepared on Si/SiOx substrates and measured in air and water. • Reproducible formation of highly hydrated layer containing 50% water at the PMMA/SiOx interface. • When heated the films swell at 50 °C without loss of material. • Upon re-cooling to 25 °C the surface roughens and material is lost. - Abstract: Neutron reflectometry (NR) measurements have been made on thin (70–150 Å) poly(methylmethacrylate) (PMMA) films on Si/SiOx substrates in aqueous conditions, and compared with parameters measured using ellipsometry and X-Ray reflectometry (XRR) on dry films. All techniques show that the thin films prepared using spin-coating techniques were uniform and had low roughness at both the silicon and subphase interfaces, and similar surface energetics to thicker PMMA films. In aqueous solution, NR measurements at 25 °C showed that PMMA forms a partially hydrated layer at the SiOx interface 10 Å under the film, while the bulk film remains intact and contains around 4% water. Both the PMMA film layer and the sublayer showed minimal swelling over a period of 24 h. At 50 °C, PMMA films in aqueous solution roughen and swell, without loss of PMMA material at the surface. After cooling back to 25 °C, swelling and roughening increases further, with loss of material from the PMMA layer.

  6. Formation of hydrated layers in PMMA thin films in aqueous solution

    International Nuclear Information System (INIS)

    Akers, Peter W.; Nelson, Andrew R.J.; Williams, David E.; McGillivray, Duncan J.

    2015-01-01

    Graphical abstract: - Highlights: • Homogeneous thin PMMA films prepared on Si/SiOx substrates and measured in air and water. • Reproducible formation of highly hydrated layer containing 50% water at the PMMA/SiOx interface. • When heated the films swell at 50 °C without loss of material. • Upon re-cooling to 25 °C the surface roughens and material is lost. - Abstract: Neutron reflectometry (NR) measurements have been made on thin (70–150 Å) poly(methylmethacrylate) (PMMA) films on Si/SiOx substrates in aqueous conditions, and compared with parameters measured using ellipsometry and X-Ray reflectometry (XRR) on dry films. All techniques show that the thin films prepared using spin-coating techniques were uniform and had low roughness at both the silicon and subphase interfaces, and similar surface energetics to thicker PMMA films. In aqueous solution, NR measurements at 25 °C showed that PMMA forms a partially hydrated layer at the SiOx interface 10 Å under the film, while the bulk film remains intact and contains around 4% water. Both the PMMA film layer and the sublayer showed minimal swelling over a period of 24 h. At 50 °C, PMMA films in aqueous solution roughen and swell, without loss of PMMA material at the surface. After cooling back to 25 °C, swelling and roughening increases further, with loss of material from the PMMA layer.

  7. Note: Automatic layer-by-layer spraying system for functional thin film coatings

    Science.gov (United States)

    Seo, Seongmin; Lee, Sangmin; Park, Yong Tae

    2016-03-01

    In this study, we have constructed an automatic spray machine for producing polyelectrolyte multilayer films containing various functional materials on wide substrates via the layer-by-layer (LbL) assembly technique. The proposed machine exhibits advantages in terms of automation, process speed, and versatility. Furthermore, it has several features that allow a fully automated spraying operation, such as various two-dimensional spraying paths, control of the flow rate and operating speed, air-assist fan-shaped twin-fluid nozzles, and an optical display. The robot uniformly sprays aqueous mixtures containing complementary (e.g., oppositely charged, capable of hydrogen bonding, or capable of covalent bonding) species onto a large-area substrate. Between each deposition of opposite species, samples are spray-rinsed with deionized water and blow-dried with air. The spraying, rinsing, and drying areas and times are adjustable by a computer program. Twenty-bilayer flame-retardant thin films were prepared in order to compare the performance of the spray-assisted LbL assembly with a sample produced by conventional dipping. The spray-coated film exhibited a reduction of afterglow time in vertical flame tests, indicating that the spray-LbL technique is a simple method to produce functional thin film coatings.

  8. Preparation and characterization of vanadium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Monfort, O.; Plesch, G. [Comenius University of Bratislava, Faculty of Natural Sciences, Department of Inorganic Chemistry, 84215 Bratislava (Slovakia); Roch, T. [Comenius University of Bratislava, Faculty of Mathematics Physics and Informatics, Department of Experimental Physics, 84248 Bratislava (Slovakia)

    2013-04-16

    The thermotropic VO{sub 2} films have many applications, since they exhibit semiconductor-conductor switching properties at temperature around 70 grad C. Vanadium oxide thin films were prepared via sol-gel method. Spin coater was used to depose these films on Si/SiO{sub 2} and lime glass substrates. Thin films of V{sub 2}O{sub 5} can be reduced to metastable VO{sub 2} thin films at the temperature of 450 grad C under the pressure of 10{sup -2} Pa. These films are then converted to thermotropic VO{sub 2} at 700 grad C in argon under normal pressure. (authors)

  9. Using thin metal layers on composite structures for shielding the electromagnetic pulse caused by nearby lightning

    NARCIS (Netherlands)

    Blaj, M.A.; Buesink, Frederik Johannes Karel; Damstra, G.C.; Leferink, Frank Bernardus Johannes

    2011-01-01

    Electronic systems in composite structures could be vulnerable to the (dominant magnetic) field caused by a lightning strike, because only thin layers of metal can be used on composite structures. Thin layers result in a very low shielding effectiveness against magnetic fields. Many experiments

  10. All-inorganic quantum-dot light-emitting-diodes with vertical nickel oxide nanosheets as hole transport layer

    Directory of Open Access Journals (Sweden)

    Jiahui Li

    2016-10-01

    Full Text Available All-inorganic quantum dot light emitting diodes (QLEDs have gained great attention as a result of their high stability under oxygen-rich, humid and high current working conditions. In this work, we have fabricated an all-inorganic QLED device (FTO/NiO/QDs/AZO/Ag with sandwich-structure, wherein the inorganic metal oxides thin films of NiO and AZO were employed as hole and electron transport layers, respectively. The porous NiO layer with vertical lamellar nanosheets interconnected microstructure have been directly synthesized on the substrate of conductive FTO glass and increased the wettability of CdSe@ZnS QDs, which result in an enhancement of current transport performance of the QLED.

  11. Voltammetric Thin-Layer Ionophore-Based Films: Part 2. Semi-Empirical Treatment.

    Science.gov (United States)

    Yuan, Dajing; Cuartero, Maria; Crespo, Gaston A; Bakker, Eric

    2017-01-03

    This work reports on a semiempirical treatment that allows one to rationalize and predict experimental conditions for thin-layer ionophore-based films with cation-exchange capacity read out with cyclic voltammetry. The transition between diffusional mass transport and thin-layer regime is described with a parameter (α), which depends on membrane composition, diffusion coefficient, scan rate, and electrode rotating speed. Once the thin-layer regime is fulfilled (α = 1), the membrane behaves in some analogy to a potentiometric sensor with a second discrimination variable (the applied potential) that allows one to operate such electrodes in a multianalyte detection mode owing to the variable applied ion-transfer potentials. The limit of detection of this regime is defined with a second parameter (β = 2) and is chosen in analogy to the definition of the detection limit for potentiometric sensors provided by the IUPAC. The analytical equations were validated through the simulation of the respective cyclic voltammograms under the same experimental conditions. While simulations of high complexity and better accuracy satisfactorily reproduced the experimental voltammograms during the forward and backward potential sweeps (companion paper 1), the semiempirical treatment here, while less accurate, is of low complexity and allows one to quite easily predict relevant experimental conditions for this emergent methodology.

  12. Structural properties and sensing performance of high-k Nd2TiO5 thin layer-based electrolyte-insulator-semiconductor for pH detection and urea biosensing.

    Science.gov (United States)

    Pan, Tung-Ming; Lin, Jian-Chi; Wu, Min-Hsien; Lai, Chao-Sung

    2009-05-15

    For high sensitive pH sensing, an electrolyte-insulator-semiconductor (EIS) device with Nd(2)TiO(5) thin layers fabricated on Si substrates by means of reactive sputtering and the subsequent post-deposition annealing (PDA) treatment was proposed. In this work, the effect of thermal annealing (600, 700, 800, and 900 degrees C) on the structural characteristics of Nd(2)TiO(5) thin layer was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy. The observed structural properties were then correlated with the resulting pH sensing performances. For enzymatic field-effect-transistors-based urea biosensing, a hybrid configuration of the proposed Nd(2)TiO(5) thin layer with urease-immobilized alginate film attached was established. Within the experimental conditions investigated, the EIS device with the Nd(2)TiO(5) thin layer annealed at 800 degrees C exhibited a higher pH detection sensitivity of 57.2 mV/pH, a lower hysteresis voltage of 2.33 mV, and a lower drift rate of 1.80 mV/h compared to those at other annealing temperatures. These results are attributed to the formation of a thinner low-k interfacial layer at the oxide/Si interface and the higher surface roughness occurred at this annealing temperature. Furthermore, the presented urea biosensor was also proved to be able to detect urea with good linearity (R(2)=0.99) and reasonable sensitivity of 9.52 mV/mM in the urea concentration range of 3-40 mM. As a whole, the present work has provided some fundamental data for the use of Nd(2)TiO(5) thin layer for EIS-based pH detection and the extended application for biosensing.

  13. Multiferroic iron oxide thin films at room temperature

    Czech Academy of Sciences Publication Activity Database

    Gich, M.; Fina, I.; Morelli, Alessio; Sánchez, F.; Alexe, M.; Gazquez, J.; Fontcuberta, J.; Roig, A.

    2014-01-01

    Roč. 26, č. 27 (2014), s. 4645-4652 ISSN 0935-9648 Institutional support: RVO:68378271 Keywords : multiferroic * iron oxide * thin film Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 17.493, year: 2014

  14. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  15. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    Science.gov (United States)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  16. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  17. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  18. Layer-controllable graphene by plasma thinning and post-annealing

    Science.gov (United States)

    Zhang, Lufang; Feng, Shaopeng; Xiao, Shaoqing; Shen, Gang; Zhang, Xiumei; Nan, Haiyan; Gu, Xiaofeng; Ostrikov, Kostya (Ken)

    2018-05-01

    The electronic structure of graphene depends crucially on its layer number and therefore engineering the number of graphene's atomic stacking layers is of great importance for the preparation of graphene-based devices. In this paper, we demonstrated a relatively less invasive, high-throughput and uniform large-area plasma thinning of graphene based on direct bombardment effect of fast-moving ionic hydrogen or argon species. Any desired number of graphene layers including trilayer, bilayer and monolayer can be obtained. Structural changes of graphene layers are studied by optical microscopy, Raman spectroscopy and atomic force microscopy. Post annealing is adopted to self-heal the lattice defects induced by the ion bombardment effect. This plasma etching technique is efficient and compatible with semiconductor manufacturing processes, and may find important applications for graphene-based device fabrication.

  19. Development of the inner oxide zone upon steam oxidation of an austenitic stainless steel

    DEFF Research Database (Denmark)

    Hansson, Anette N.; Montgomery, Melanie; Somers, Marcel A. J.

    2009-01-01

    The oxidation behaviour of TP 347H FG in mixtures of water, oxygen, and hydrogen was investigated in the temperature range 500 – 700C for a fixed oxidation time of 336 h. The samples were characterised using reflective light and electron microscopy methods. Thin discontinuous double-layered oxide...

  20. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    International Nuclear Information System (INIS)

    Park, Taesoon; Kim, Dongsik

    2015-01-01

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10 −4 Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively