WorldWideScience

Sample records for thick gan substrates

  1. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  2. Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers

    International Nuclear Information System (INIS)

    Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.

    2016-01-01

    The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.

  3. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  4. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  5. Impact of the AlN seeding layer thickness on GaN orientation on high index Si-substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Veit, Peter; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg (Germany). FNW/IEP/AHE

    2010-07-01

    Silicon is considered to be a reasonable alternative to substrates such as sapphire and SiC, because of its low price and availability in large diameters. Because of spontaneous and strain induced piezoelectric polarization field along the c-axis, leading to the separation of electrons and holes in quantum wells reducing the recombination efficiency, c-axis oriented GaN-based light emitters have a low efficiency, especially in the longer wavelength region. In order to reduce or eliminate these polarization effects, semi-polar or non-polar GaN-heterostructure is favored. In this work we investigated the growth of GaN applying a low temperature AlN seeding layer with various thicknesses. The impact of the AlN seeding layer on GaN orientation using different Si substrate orientations (e. g. (211), (711), (410), (100)+4.5 off) were investigated by x-ray diffraction measurements in Bragg-Brentano geometry and X-ray pole figure measurements. We found that the thickness of the AlN seeding layer plays a significant role in obtaining different GaN textures. Applying a about 4 nm AlN seeding layer we achieved a single crystalline GaN epilayer on Si (211) with a 18 tilted c-axis orientation. Some of the samples were characterized by scanning electron microscopy and transmission electron microscopy.

  6. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  7. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  8. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  10. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  12. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    Science.gov (United States)

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  13. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  14. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  15. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  16. Structural effects of field emission from GaN nanofilms on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng-Cheng; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn; Zhu, Man-Kang; Yan, Hui [College of Materials Science and Engineering, Beijing University of Technology, 100 Pingleyuan, Chaoyang District, Beijing 100124 (China); Liu, Peng [Department of Physics Tsinghua University, Tsinghua-Foxconn Nanotechnology Research Center, Beijing 100084 (China); Wang, Bi-Ben [College of Chemistry and Chemical Engineering, Chongqing University of Technology, Chongqing 400054 (China)

    2014-04-21

    GaN nanofilms (NFs) with different structures are grown on SiC substrates by pulsed laser deposition under different conditions. The synthesized GaN NFs are studied by X-ray diffraction, field-emission (FE) scanning electron microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy. The GaN NFs are composed of diversified GaN nanoparticles with a diameter of 9–38 nm, thickness of 10–50 nm, and roughness of 0.22–13.03 nm. FE from the GaN NFs is structure dependent, which is explained by stress changing the band gap of the NFs. By structure modulation, the turn-on field of GaN NFs can be as low as 0.66 V/μm at a current density of 1 μA/cm{sup 2}, with a current density of up to 1.1 mA/cm{sup 2} at a field of 4.18 V/μm. Fowler-Nordheim curves of some samples contain multiple straight lines, which originate from the structural change and diversification of GaN nanoparticles under an applied field. Overall, our results suggest that GaN NFs with excellent FE properties can be prepared on SiC substrates, which provides a new route to fabricate high-efficiency FE nanodevices.

  17. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Hoffmann, Veit; Netzel, Carsten; Knauer, Arne; Weyers, Markus [FBH, Berlin (Germany); Ploch, Simon; Rass, Jens [Institute of Solid State Physics, TU Berlin (Germany); Schade, Lukas; Schwarz, Ulrich [IAF, Freiburg (Germany); Kneissl, Michael [FBH, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2010-07-01

    Recently a number of groups have reported laser diodes in the green spectral range on semi- and nonpolar GaN. Nevertheless the growth process on semipolar surfaces is not well understood. In this study 3.5 {mu} m thick MOVPE grown GaN layers on bulk m-plane, (11 anti 22), (10 anti 12), and (10 anti 11) GaN substrates were investigated. XRD rocking curves exhibit a FWHM of less than 150{sup ''}, indicating excellent crystalline quality. But the surface morphology exhibits hillocks with a height of 1 {mu}m and lateral extension of 150 {mu}m in many cases. Depending on the substrate orientation and the growth temperature different hillock shapes were observed. Morphology and luminescence data point to threading dislocations as formation sources. In QWs the hillock structure is reproduced in the emission intensity and wavelength distribution on (10 anti 11) but not on the m-plane surfaces. The hillocks could be eliminated for the semipolar planes (not for the m-plane) by increasing the reactor pressure and lowering the growth temperature. Hillock free separate confinement laser structures emitting at 405 nm feature a very homogeneous luminescence in micro-PL and show amplified spontaneous emission under high power stripe excitation. Furthermore the In incorporation was found to be highest in QWs on (10 anti 11).

  18. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  19. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  20. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C.; Gougousi, Theodosia; Evans, Keith R.

    2014-01-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm 2 /V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  1. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C. [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC 20375-5347 (United States); Gougousi, Theodosia [Physics Department, University of Maryland Baltimore County, Baltimore, Maryland 21250 (United States); Evans, Keith R. [Kyma Technologies, Raleigh, North Carolina 27617 (United States)

    2014-09-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm{sup 2}/V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  2. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  3. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  4. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  5. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  6. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Formation of helical dislocations in ammonothermal GaN substrate by heat treatment

    International Nuclear Information System (INIS)

    Horibuchi, Kayo; Yamaguchi, Satoshi; Kimoto, Yasuji; Nishikawa, Koichi; Kachi, Tetsu

    2016-01-01

    GaN substrate produced by the basic ammonothermal method and an epitaxial layer on the substrate was evaluated using synchrotron radiation x-ray topography and transmission electron microscopy. We revealed that the threading dislocations present in the GaN substrate are deformed into helical dislocations and the generation of the voids by heat treatment in the substrate for the first observation in the GaN crystal. These phenomena are formed by the interactions between the dislocations and vacancies. The helical dislocation was formed in the substrate region, and not in the epitaxial layer region. Furthermore, the evaluation of the influence of the dislocations on the leakage current of Schottky barrier diodes fabricated on the epitaxial layer is discussed. The dislocations did not affect the leakage current characteristics of the epitaxial layer. Our results suggest that the deformation of dislocations in the GaN substrate does not adversely affect the epitaxial layer. (paper)

  8. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  9. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    Science.gov (United States)

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  10. FEM thermal and stress analysis of bonded GaN-on-diamond substrate

    Science.gov (United States)

    Zhai, Wenbo; Zhang, Jingwen; Chen, Xudong; Bu, Renan; Wang, Hongxing; Hou, Xun

    2017-09-01

    A three-dimensional thermal and stress analysis of bonded GaN on diamond substrate is investigated using finite element method. The transition layer thickness, thermal conductivity of transition layer, diamond substrate thickness and the area ratio of diamond and GaN are considered and treated appropriately in the numerical simulation. The maximum channel temperature of GaN is set as a constant value and its corresponding heat power densities under different conditions are calculated to evaluate the influences that the diamond substrate and transition layer have on GaN. The results indicate the existence of transition layer will result in a decrease in the heat power density and the thickness and area of diamond substrate have certain impact on the magnitude of channel temperature and stress distribution. Channel temperature reduces with increasing diamond thickness but with a decreasing trend. The stress is reduced by increasing diamond thickness and the area ratio of diamond and GaN. The study of mechanical and thermal properties of bonded GaN on diamond substrate is useful for optimal designs of efficient heat spreader for GaN HEMT.

  11. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  12. FEM thermal and stress analysis of bonded GaN-on-diamond substrate

    Directory of Open Access Journals (Sweden)

    Wenbo Zhai

    2017-09-01

    Full Text Available A three-dimensional thermal and stress analysis of bonded GaN on diamond substrate is investigated using finite element method. The transition layer thickness, thermal conductivity of transition layer, diamond substrate thickness and the area ratio of diamond and GaN are considered and treated appropriately in the numerical simulation. The maximum channel temperature of GaN is set as a constant value and its corresponding heat power densities under different conditions are calculated to evaluate the influences that the diamond substrate and transition layer have on GaN. The results indicate the existence of transition layer will result in a decrease in the heat power density and the thickness and area of diamond substrate have certain impact on the magnitude of channel temperature and stress distribution. Channel temperature reduces with increasing diamond thickness but with a decreasing trend. The stress is reduced by increasing diamond thickness and the area ratio of diamond and GaN. The study of mechanical and thermal properties of bonded GaN on diamond substrate is useful for optimal designs of efficient heat spreader for GaN HEMT.

  13. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  14. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  15. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  16. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    Science.gov (United States)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on the Characteristics of Nitrogen-polar GaN.

    Science.gov (United States)

    Yang, Fann-Wei; Chen, Yu-Yu; Feng, Shih-Wei; Sun, Qian; Han, Jung

    2016-12-01

    In this study, effects of the thickness of a low temperature (LT) buffer and impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are investigated. By using either a nitridation or thermal annealing step before the deposition of a LT buffer, three N-polar GaN samples with different thicknesses of LT buffer and different impurity incorporations are prepared. It is found that the sample with the thinnest LT buffer and a nitridation step proves to be the best in terms of a fewer impurity incorporations, strong PL intensity, fast mobility, small biaxial strain, and smooth surface. As the temperature increases at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing integral intensity of the band-to-band emission peak. In addition, the thermal annealing of the sapphire substrates may cause more impurity incorporation around the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger yellow luminescence. By using a nitridation step, both a thinner LT buffer and less impurity incorporation are beneficial to obtaining a high quality N-polar GaN.

  19. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  20. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  1. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  2. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  3. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Voronenkov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Virko, M. V.; Kogotkov, V. S.; Leonidov, A. A. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Pinchuk, A. V.; Zubrilov, A. S.; Gorbunov, R. I.; Latishev, F. E.; Bochkareva, N. I.; Lelikov, Y. S.; Tarkhin, D. V.; Smirnov, A. N.; Davydov, V. Y. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Y. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A vertical Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.

  4. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  5. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  6. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  7. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  8. Hexagonal (wurtzite) GaN inclusions as a defect in cubic (zinc-blende) GaN

    International Nuclear Information System (INIS)

    Zainal, N.; Novikov, S.V.; Akimov, A.V.; Staddon, C.R.; Foxon, C.T.; Kent, A.J.

    2012-01-01

    The dependence of the hexagonal fraction with thickness in MBE-grown bulk cubic (c-) GaN epilayer is presented in this paper. A number of c-GaN epilayers with different thicknesses were characterized via PL and XRD measurements. From the PL spectra, the signal due to h-GaN inclusions increases as the thickness of the c-GaN increases. On the contrary, in the XRD diffractogram, c-GaN shows a dominant signal at all thicknesses, and only a weak peak at ∼35° is observed in the diffractogram, implying the existence of a small amount of h-GaN in the c-GaN layer. The best quality of c-GaN is observed in the first 10 μm of GaN on the top of GaAs substrate. Even though the hexagonal content increases with the thickness, the average content remains below 20% in c-GaN layers up to 50 μm thick. The surface morphology of thick c-GaN is also presented.

  9. Tuning electronic and magnetic properties of GaN nanosheets by surface modifications and nanosheet thickness.

    Science.gov (United States)

    Xiao, Meixia; Yao, Tingzhen; Ao, Zhimin; Wei, Peng; Wang, Danghui; Song, Haiyang

    2015-04-14

    Density-functional theory calculations are performed to investigate the effects of surface modifications and nanosheet thickness on the electronic and magnetic properties of gallium nitride (GaN) nanosheets (NSs). Unlike the bare GaN NSs terminating with polar surfaces, the systems with hydrogenated Ga (H-GaN), fluorinated Ga (F-GaN), and chlorinated Ga (Cl-GaN) preserve their initial wurtzite structures and exhibit ferromagnetic states. The abovementioned three different decorations on Ga atoms are energetically more favorable for thicker GaN NSs. Moreover, as the thickness increases, H-GaN and F-GaN NSs undergo semiconductor to metal and half-metal to metal transition, respectively, while Cl-GaN NSs remain completely metallic. The predicted diverse and tunable electronic and magnetic properties highlight the potential of GaN NSs for novel electronic and spintronic nanodevices.

  10. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  11. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Influence of the GaN spacer thickness on the structural and photoluminescence properties of multi-stack InN/GaN quantum dots

    International Nuclear Information System (INIS)

    Ke, Wen-Cheng; Lee, Shuo-Jen; Chen, Shiow-Long; Kao, Chia-Yu; Houng, Wei-Chung; Wei, Chih-An; Su, Yi-Ru

    2012-01-01

    Highlights: ► We present structural and photoluminescence characteristics of multi-stack InN/GaN QDs. ► A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs. ► The PL intensity of the three-layer stacked sample is about 3 times that of the single-layer sample. - Abstract: This paper reports the structural and photoluminescence (PL) characteristics of single-layer and multi-stack InN/GaN quantum dots (QDs) with varying spacer thickness. A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs by the flow-rate modulation epitaxy (FME) method. The PL peak is red shifted down to 18 meV and its full width at half maximum (FWHM) was narrowed from 104 meV to 77 meV as increasing GaN capping layer thickness to 20-nm. The red-shift and the linewidth narrowing of the PL spectra for the single-layer InN QDs as a result of the increase in capping thickness are believed to be due to the fact that the GaN capping layer decreases the surface defect density thereby decreasing the surface electron concentration of the InN QDs. However, the PL intensity decreases rapidly with the increase in GaN spacer thickness for the three-layer stacked InN/GaN QDs. Because of kinetic roughening, the 20-nm thick GaN capping layer shows a roughened surface. This roughened GaN capping layer degrades the InN QDs growth in the next layer of multi-stack InN QDs. In addition, the increased compressive strain on the InN QDs with the increase in GaN spacer thickness increases the defect density at the InN/GaN capped interface and will further decrease the PL intensity. After the GaN spacer thickness is modified, the PL intensity of the three-layer stacked sample with a 10-nm thick GaN spacer layer is about 3 times that of the single-layer sample.

  13. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  14. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  15. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  16. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  17. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  18. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    Science.gov (United States)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  19. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  20. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  1. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    Science.gov (United States)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  2. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  3. (-201) β-Gallium oxide substrate for high quality GaN materials

    KAUST Repository

    Roqan, Iman S.

    2015-03-13

    (-201) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. The key advantages of Ga2O3 are its small lattice mismatches (4.7%), appropriate structural, thermal and electrical properties and a competitive price compared to other substrates. Optical characterization show that GaN layers grown on (-201) oriented β-Ga2O3 are dominated by intense bandedge emission with a high luminescence efficiency. Atomic force microscopy studies show a modest threading dislocation density of ~108 cm-2, while complementary Raman spectroscopy indicates that the GaN epilayer is of high quality with slight compressive strain. Room temperature time-findings suggest that the limitation of the photoluminescence lifetime (~500 ps) is due to nonradiative recombination arising from threading dislocation. Therefore, by optimizing the growth conditions, high quality material with significant optical efficiency can be obtained.

  4. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  5. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  6. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  7. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  9. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  11. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  12. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  13. Enhanced lateral heat dissipation packaging structure for GaN HEMTs on Si substrate

    International Nuclear Information System (INIS)

    Cheng, Stone; Chou, Po-Chien; Chieng, Wei-Hua; Chang, E.Y.

    2013-01-01

    This work presents a technology for packaging AlGaN/GaN high electron mobility transistors (HEMTs) on a Si substrate. The GaN HEMTs are attached to a V-groove copper base and mounted on a TO-3P leadframe. The various thermal paths from the GaN gate junction to the case are carried out for heat dissipation by spreading to protective coating; transferring through the bond wires; spreading in the lateral device structure through the adhesive layer, and vertical heat spreading of silicon chip bottom. Thermal characterization showed a thermal resistance of 13.72 °C/W from the device to the TO-3P package. Experimental tests of a 30 mm gate-periphery single chip packaged in a 5 × 3 mm V-groove Cu base with a 100 V drain bias showed power dissipation of 22 W. -- Highlights: ► An enhanced packaging structure designed for AlGaN/GaN HEMTs on an Si substrate. ► The V-groove copper base is designed on the device periphery surface heat conduction for enhancing Si substrate thermal dissipation. ► The proposed device shows a lower thermal resistance and upgrade in thermal conductivity capability. ► This work provides useful thermal IR imagery information to aid in designing high efficiency package for GaN HEMTs on Si

  14. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  15. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  16. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. GaN and LED structures grown on pre-patterned silicon pillar arrays

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Merzsch, Stephan; Neumann, Richard; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvoigteiplatz 5-7, 10117 Berlin (Germany)

    2010-01-15

    GaN nanorods (or nanowires) have attracted great interest in a variety of applications, e.g. high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In contrast to the mostly investigated self-assembled growth of GaN nanorods, we performed GaN nanorod growth by pre-patterning of the Si substrates. The pattern was transferred to Si substrates by photolithography and cryo-temperature inductively-coupled plasma etching. These Si templates then were used for further GaN nanorod growth by metal-organic vapour phase epitaxy (MOVPE). The low temperature AlN nucleation layer had to be optimized since it differs from its 2D layer counterpart on the surface area and orientations. We found a strong influence of diffusion processes, i.e. the GaN grown on top of the Si nanopillars can deplete the GaN around the Si pillars. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the pyramidal GaN nanostructures and terminate. Cathodoluminescence measurements reveal a difference of In composition and/or thickness of InGaN quantum wells on the different facets of the pyramidal GaN nanostructures. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Control of strain in GaN by a combination of H2 and N2 carrier gases

    International Nuclear Information System (INIS)

    Yamaguchi, Shigeo; Kariya, Michihiko; Kosaki, Masayoshi; Yukawa, Yohei; Nitta, Shugo; Amano, Hiroshi; Akasaki, Isamu

    2001-01-01

    We study the effect of a combination of N 2 and H 2 carrier gases on the residual strain and crystalline properties of GaN, and we propose its application to the improvement of crystalline quality of GaN/Al 0.17 Ga 0.83 N multiple quantum well (MQW) structures. GaN was grown with H 2 or N 2 carrier gas (H 2 - or N 2 - GaN) on an AlN low-temperature-deposited buffer layer. A (0001) sapphire substrate was used. N 2 - GaN was grown on H 2 - GaN. The total thickness was set to be 1.5 μm, and the ratio of N 2 - GaN thickness to the total thickness, x, ranged from 0 to 1. With increasing x, the tensile stress in GaN increased. Photoluminescence intensity at room temperature was much enhanced. Moreover, the crystalline quality of GaN/Al 0.17 Ga 0.83 N MQW was much higher when the MQW was grown with N 2 on H 2 - GaN than when it was grown with H 2 on H 2 - GaN. These results were due to the achievement of control of strain in GaN using a combination of N 2 - GaN and H 2 - GaN. [copyright] 2001 American Institute of Physics

  19. Design and simulation of a novel GaN based resonant tunneling high electron mobility transistor on a silicon substrate

    International Nuclear Information System (INIS)

    Chowdhury, Subhra; Biswas, Dhrubes; Chattaraj, Swarnabha

    2015-01-01

    For the first time, we have introduced a novel GaN based resonant tunneling high electron mobility transistor (RTHEMT) on a silicon substrate. A monolithically integrated GaN based inverted high electron mobility transistor (HEMT) and a resonant tunneling diode (RTD) are designed and simulated using the ATLAS simulator and MATLAB in this study. The 10% Al composition in the barrier layer of the GaN based RTD structure provides a peak-to-valley current ratio of 2.66 which controls the GaN based HEMT performance. Thus the results indicate an improvement in the current–voltage characteristics of the RTHEMT by controlling the gate voltage in this structure. The introduction of silicon as a substrate is a unique step taken by us for this type of RTHEMT structure. (paper)

  20. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  1. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  2. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  3. Growth of (20 anti 21)AlGaN, GaN and InGaN by metal organic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Wernicke, T.; Rass, J.; Pristovsek, M. [TU Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany); Weyers, M. [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, M. [TU Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2012-07-01

    Green InGaN-based laser diodes on (20 anti 21)GaN substrates have recently demonstrated performances exceeding those of conventional (0001) oriented devices. However little is known regarding the growth parameters. We have investigated growth of AlGaN, GaN and InGaN on (20 anti 21)GaN substrates by MOVPE. Smooth GaN layers with a rms roughness <0.5 nm were obtained by low growth temperatures and reactor pressures. The layers exhibit undulations along [10 anti 14] similar to the GaN substrate. AlGaN and InGaN layers exhibit an increased surface roughness. Undulation bunching was observed and attributed to reduced adatom surface mobility due to the binding energy of Al and the low growth temperature for InGaN respectively or strain relaxation. AlGaN and InGaN heterostructures on (20 anti 21)GaN relax by layer tilt accompanied by formation of misfit dislocations, due to shear strain of the unit cell. This relaxation mechanism leads to a reduced critical layer thickness of (20 anti 21)AlGaN layers and InGaN multi quantum wells (MQW) in comparison to (0001). PL spectral broadening of 230 meV of (20 anti 21)InGaN single QWs emitting at 415 nm can be reduced by increased growth temperature or increased number of QWs with reduced thickness.

  4. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  5. Growth and characterization of semi-polar (11-22) GaN on patterned (113) Si substrates

    International Nuclear Information System (INIS)

    Bai, J; Yu, X; Gong, Y; Hou, Y N; Zhang, Y; Wang, T

    2015-01-01

    Patterned (113) Si substrates have been fabricated for the growth of (11-22) semi-polar GaN, which completely eliminates one of the great issues in the growth of semi-polar GaN on silicon substrates, ‘Ga melting-back’. Furthermore, unlike any other mask patterning approaches which normally lead to parallel grooves along a particular orientation, our approach is to form periodic square window patterns. As a result, crack-free semi-polar (11-22) GaN with a significant improvement in crystal quality has been achieved, in particular, basal stacking faults (BSFs) have been significantly reduced. The mechanism for the defect suppression has been investigated based on detailed transmission electron microscopy measurements. It has been found that the BSFs can be impeded effectively at an early growth stage due to the priority growth along the 〈0001〉 direction. The additional 〈1-100〉 lateral growth above the masks results in a further reduction in dislocation density. The significant reduction in BSFs has been confirmed by low temperature photoluminescence measurements. (paper)

  6. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  7. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  8. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Silicon—a new substrate for GaN growth

    Indian Academy of Sciences (India)

    Unknown

    of GaN devices based on silicon is the thermal mismatch of GaN and Si, which generates cracks. In 1998, the .... Considerable research is being carried out on GaN HEMTs at present. ... by InGaN/GaN multiquantum well in MOVPE was first.

  10. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  11. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    Science.gov (United States)

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  12. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  13. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  14. Dependence of adhesion strength between GaN LEDs and sapphire substrate on power density of UV laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junsu [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Sin, Young-Gwan [Department of Nano-Mechatronics, Korea University of Science and Technology (UST), 217 Gajeong-Ro, Yuseong-Gu, Daejeon 34113 (Korea, Republic of); Kim, Jae-Hyun [Department of Nano-Mechanics, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Kim, Jaegu, E-mail: gugu99@kimm.re.kr [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of)

    2016-10-30

    Highlights: • Fundamental relationship between laser irradiation and adhesion strength, between gallium-nitride light emitted diode and sapphire substrate, is proposed during selective laser lift-off. • Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate. • Ga precipitation caused by thermal decomposition and roughened interface caused by thermal damage lead to the considerable difference of adhesion strength at the interface. - Abstract: Selective laser lift-off (SLLO) is an innovative technology used to manufacture and repair micro-light-emitting diode (LED) displays. In SLLO, laser is irradiated to selectively separate micro-LED devices from a transparent sapphire substrate. The light source used is an ultraviolet (UV) laser with a wavelength of 266 nm, pulse duration of 20 ns, and repetition rate of 30 kHz. Controlled adhesion between a LED and the substrate is key for a SLLO process with high yield and reliability. This study examined the fundamental relationship between adhesion and laser irradiation. Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate: Ga precipitation caused by the thermal decomposition of GaN and roughened interface caused by thermal damage on the sapphire. The competition between these two mechanisms leads to a non-trivial SLLO condition that needs optimization. This study helps understand the SLLO process, and accelerate the development of a process for manufacturing micro-LED displays via SLLO for future applications.

  15. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Ploch, Simon [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Hoffmann, Veit; Knauer, Arne; Weyers, Markus [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2011-03-15

    GaN layers on bulk m-plane, (11 anti 22), (10 anti 12) and (10 anti 11) GaN substrates were grown by metal organic vapor phase epitaxy. XRD rocking curves have a FWHM of less than 150'', indicating excellent crystalline quality. However in many cases surface morphology exhibits hillocks with a height of 1-2 {mu}m and a lateral extension of 50-200 {mu}m whereas a smooth surface would be desirable for optoelectronic devices. The influence of growth parameters on the surface morphology was studied. The goal was, to constrain the material redistribution, that is necessary to form large hillocks. This was achieved by lowering the adatom diffusion length by a reduction of temperature and an increased reactor pressure. In the case of the (10 anti 11) and (10 anti 12) semipolar planes a reduction of the adatom diffusion length leads to a reduction of hillock density, hillock size and a smoother surface between hillocks. However, the m-plane surface does not react to a reduction of adatom mobility. Even at 890 C and 400 mbar rectangular pyramids cover the surface. In contrast to the other planes, the (11 anti 22) becomes instable, when the adatom diffusion length is reduced. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  17. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  18. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  19. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  20. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M. [H. H. Wills Physics Laboratory, University of Bristol, Bristol BS8 1TL (United Kingdom); Stoffels, S.; Marcon, D. [IMEC, Kapeldreef 75, B3001 Leuven (Belgium)

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reduced channel thermal conductivity must be considered.

  1. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  2. Thermoelastic Stress Field Investigation of GaN Material for Laser Lift-off Technique based on Finite Element Method

    International Nuclear Information System (INIS)

    Ting, Wang; Zhan-Zhong, Cui; Li-Xin, Xu

    2009-01-01

    The transient thermoelastic stress fields of GaN films is analyzed by the finite element method for the laser lift-off (LLO) technique. Stress distributions in GaN films irradiated by pulse laser with different energy densities as functions of time and depth are simulated. The results show that the high thermoelastic stress distributions in GaN films localize within about 1 μm below the GaN/Al 2 O 3 interface using proper laser parameters. It is also found that GaN films can avoid the thermal deformation because the maximum thermoelastic stress 4.28 GPa is much smaller than the yield strength of GaN 15GPa. The effects of laser beam dimension and the thickness of GaN films on stress distribution are also analyzed. The variation range of laser beam dimension as a function of the thickness of GaN films is simulated to keep the GaN films free of thermal deformation. LLO experiments are also carried out. GaN-based light-emitting diodes (LEDs) are separated from sapphire substrates using the parameters obtained from the simulation. Compared with devices before LLO, P–I–V measurements of GaN-based LEDs after LLO show that the electrical and optical characteristics improve greatly, indicating that no stress damage is brought to GaN films using proper parameters obtained by calculation during LLO

  3. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  5. Design and fabrication of single-crystal GaN nano-bridge on homogeneous substrate for nanoindentation

    Science.gov (United States)

    Hung, Shang-Chao

    2014-12-01

    This study reports a simple method to design and fabricate a freestanding GaN nano-bridge over a homogeneous short column as supporting leg. Test samples were fabricated from MOCVD-grown single-crystal GaN films over sapphire substrate using a FIB milling to leave freestanding short spans. We also investigated the nanoindentation characteristics and the corresponding nanoscopic mechanism of the GaN nano-bridge and its short column with a conical indenter inside transmission electron microscopy. The stress-strain mechanical properties and Young's modulus have also been examined and calculated as 108 GPa ± 4.8 % by the strain energy method. The significant slope switch of the L- D curve corresponds to the transition from the single-point bending indentation to the surface stretching indentation and has been interpreted with the evolution of TEM images. This freestanding fabrication and test have key advantages to characterize nanoscale behavior of one-dimensional bridge structure and greater ease of sample preparation over other micro-fabrication techniques.

  6. Transparency of Semi-Insulating, n-Type, and p-Type Ammonothermal GaN Substrates in the Near-Infrared, Mid-Infrared, and THz Spectral Range

    Directory of Open Access Journals (Sweden)

    Robert Kucharski

    2017-06-01

    Full Text Available GaN substrates grown by the ammonothermal method are analyzed by Fast Fourier Transformation Spectroscopy in order to study the impact of doping (both n- and p-type on their transparency in the near-infrared, mid-infrared, and terahertz spectral range. It is shown that the introduction of dopants causes a decrease in transparency of GaN substrates in a broad spectral range which is attributed to absorption on free carriers (n-type samples or dopant ionization (p-type samples. In the mid-infrared the transparency cut-off, which for a semi-insulating GaN is at ~7 µm due to an absorption on a second harmonic of optical phonons, shifts towards shorter wavelengths due to an absorption on free carriers up to ~1 µm at n ~ 1020 cm−3 doping level. Moreover, a semi-insulating GaN crystal shows good transparency in the 1–10 THz range, while for n-and p-type crystal, the transparency in this spectral region is significantly quenched below 1%. In addition, it is shown that in the visible spectral region n-type GaN substrates with a carrier concentration below 1018 cm−3 are highly transparent with the absorption coefficient below 3 cm−1 at 450 nm, a satisfactory condition for light emitting diodes and laser diodes operating in this spectral range.

  7. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  8. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  9. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  10. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  11. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  12. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  13. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  14. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    Science.gov (United States)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  15. Transparency of Semi-Insulating, n-Type, and p-Type Ammonothermal GaN Substrates in the Near-Infrared, Mid-Infrared, and THz Spectral Range

    OpenAIRE

    Robert Kucharski; Łukasz Janicki; Marcin Zajac; Monika Welna; Marcin Motyka; Czesław Skierbiszewski; Robert Kudrawiec

    2017-01-01

    GaN substrates grown by the ammonothermal method are analyzed by Fast Fourier Transformation Spectroscopy in order to study the impact of doping (both n- and p-type) on their transparency in the near-infrared, mid-infrared, and terahertz spectral range. It is shown that the introduction of dopants causes a decrease in transparency of GaN substrates in a broad spectral range which is attributed to absorption on free carriers (n-type samples) or dopant ionization (p-type samples). In the mid-in...

  16. Substrate effects on terahertz metamaterial resonances for various metal thicknesses

    International Nuclear Information System (INIS)

    Park, S. J.; Ahn, Y. H.

    2014-01-01

    We demonstrate dielectric substrate effects on the resonance shift of terahertz metamaterials with various metal thicknesses by using finite-difference time-domain simulations. We found a small red shift in the metamaterial resonance with increasing metal thickness for the free-standing case. Conversely, when the metamaterial pattern was supported by a substrate with a high dielectric constant, the resonant frequency exhibited a large blue shift because the relative contribution of the substrate's refractive index to the resonant frequency decreased drastically as we increased the metal thickness. We determined the substrate's refractive index, 1.26, at which the metamaterial resonance was independent of the metal thickness. We extracted the effective refractive index as a function of the substrate's refractive index explicitly, which was noticeably different for different film thicknesses.

  17. AlGaN/GaN HEMT structures on ammono bulk GaN substrate

    International Nuclear Information System (INIS)

    Kruszewski, P; Prystawko, P; Krysko, M; Smalc-Koziorowska, J; Leszczynski, M; Kasalynas, I; Nowakowska-Siwinska, A; Plesiewicz, J; Dwilinski, R; Zajac, M; Kucharski, R

    2014-01-01

    The work shows a successful fabrication of AlGaN/GaN high electron mobility transistor (HEMT) structures on the bulk GaN substrate grown by ammonothermal method providing an ultralow dislocation density of 10 4  cm −2  and wafers of size up to 2 inches in diameter. The AlGaN layers grown by metalorganic chemical vapor phase epitaxy method demonstrate atomically smooth surface, flat interfaces with reproduced low dislocation density as in the substrate. The test electronic devices—Schottky diodes and transistors—were designed without surface passivation and were successfully fabricated using mask-less laser-based photolithography procedures. The Schottky barrier devices demonstrate exceptionally low reverse currents smaller by a few orders of magnitude in comparison to the Schottky diodes made of AlGaN/GaN HEMT on sapphire substrate. (paper)

  18. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  19. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  20. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  1. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  2. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  3. Effect of GaN cap thickness on carrier dynamics in InGaN quantum wells

    DEFF Research Database (Denmark)

    Kopylov, Oleksii; Shirazi, Roza; Svensk, O.

    2012-01-01

    We have studied optical properties of single In0.1Ga0.9N quantum wells with GaN barriers in close proximity to the wafer surface (... thickness of 3nm for achieving highest brightness emitters. At low temperature, we observe a behaviour that suggests that some surface states act as trapping centres for carriers rather than as a non-radiative recombination channel. Temperature dependence of the photoluminescence decay curves shows...

  4. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  5. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  6. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  7. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  8. Polycrystalline GaN layer recrystallization by metal-induced method during the baking process

    Energy Technology Data Exchange (ETDEWEB)

    Jagoda, A.; Stanczyk, B.; Dobrzanski, L.; Diduszko, R. [Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw 118 (Poland)

    2007-04-15

    Radio frequency reactive sputtering was used to produce gallium nitride films on thermally oxidized silicon substrates at room temperature. Metallic Ga (purity 6N) was used as the target, N{sub 2} and Ar were utilized as sputtering gases. Amorphous GaN was obtained by metal-induced crystallization with a Ni assistance. The nickel particles were scattered onto the surface by rf sputtering and their density was 2 x 10{sup 14} atoms/cm{sup 2} or 4 x 10{sup 14} atoms/cm{sup 2}, which corresponds to 0.02 nm and 0.04 nm thick layer. These values are less than a monolayer thickness, so they are not continuous. Samples were annealed at 700 C for 3 h and at 900 C for 5 min in a RTP furnace. The 2.5 {mu}m GaN layers grown on the Ni-coated SiO{sub 2} surface recrystallized during annealing forming crystals of (002) orientation. The catalytic regrowth mechanism of GaN is discussed on the basis of experimental results. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  10. Non-destructive determination of ultra-thin GaN cap layer thickness in AlGaN/GaN HEMT structure by angle resolved x-ray photoelectron spectroscopy (ARXPS)

    Science.gov (United States)

    Goyal, Anshu; Yadav, Brajesh S.; Raman, R.; Kapoor, Ashok K.

    2018-02-01

    Angle resolved X-ray photoelectron spectroscopy (ARXPS) and secondary ion mass spectrometry (SIMS) investigations have been carried out to characterize the GaN cap layer in AlGaN/GaN HEMT structure. The paper discusses the qualitative (presence or absence of a cap layer) and quantitative (cap layer thickness) characterization of cap layer in HEMT structure non-destructively using ARXPS measurements in conjunction with the theoretical modeling. Further the relative sensitive factor (RSF=σ/Ga σAl ) for Ga to Al ratio was estimated to be 0.963 and was used in the quantification of GaN cap layer thickness. Our results show that Al/Ga intensity ratio varies with the emission angle in the presence of GaN cap layer and otherwise remains constant. Also, the modeling of this intensity ratio gives its thickness. The finding of ARXPS was also substantiated by SIMS depth profiling studies.

  11. Non-destructive determination of ultra-thin GaN cap layer thickness in AlGaN/GaN HEMT structure by angle resolved x-ray photoelectron spectroscopy (ARXPS

    Directory of Open Access Journals (Sweden)

    Anshu Goyal

    2018-02-01

    Full Text Available Angle resolved X-ray photoelectron spectroscopy (ARXPS and secondary ion mass spectrometry (SIMS investigations have been carried out to characterize the GaN cap layer in AlGaN/GaN HEMT structure. The paper discusses the qualitative (presence or absence of a cap layer and quantitative (cap layer thickness characterization of cap layer in HEMT structure non-destructively using ARXPS measurements in conjunction with the theoretical modeling. Further the relative sensitive factor (RSF=σGaσAl for Ga to Al ratio was estimated to be 0.963 and was used in the quantification of GaN cap layer thickness. Our results show that Al/Ga intensity ratio varies with the emission angle in the presence of GaN cap layer and otherwise remains constant. Also, the modeling of this intensity ratio gives its thickness. The finding of ARXPS was also substantiated by SIMS depth profiling studies.

  12. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    Science.gov (United States)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  13. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  14. High-power blue laser diodes with indium tin oxide cladding on semipolar (202{sup ¯}1{sup ¯}) GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pourhashemi, A., E-mail: pourhashemi@engr.ucsb.edu; Farrell, R. M.; Cohen, D. A.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2015-03-16

    We demonstrate a high power blue laser diode (LD) using indium tin oxide as a cladding layer on semipolar oriented GaN. These devices show peak output powers and external quantum efficiencies comparable to state-of-the-art commercial c-plane devices. Ridge waveguide LDs were fabricated on (202{sup ¯}1{sup ¯}) oriented GaN substrates using InGaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 451 nm at room temperature, an output power of 2.52 W and an external quantum efficiency of 39% were measured from a single facet under a pulsed injection current of 2.34 A. The measured differential quantum efficiency was 50%.

  15. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  16. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  17. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  19. Vertical GaN Devices for Power Electronics in Extreme Environments

    Science.gov (United States)

    2016-03-31

    Vertical GaN Devices for Power Electronics in Extreme Environments Isik C. Kizilyalli (1), Robert J. Kaplar (2), O. Aktas (1), A. M. Armstrong (2...electronics applications. In this paper vertical p-n diodes and transistors fabricated on pseudo bulk low defect density (104 to 106 cm-2) GaN substrates are...discussed. Homoepitaxial MOCVD growth of GaN on its native substrate and being able to control doping has allowed the realization of vertical

  20. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  1. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  3. Characterization of vertical GaN p–n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    International Nuclear Information System (INIS)

    Kizilyalli, I C; Aktas, O

    2015-01-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p–n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (10 4 to 10 6 cm −2 ) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 10 15 cm −3 . This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A  ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p–n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p–n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p–n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p–n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T −3/2 , consistent with a phonon scattering model. Also

  4. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  5. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  6. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  7. Molten Salt-Based Growth of Bulk GaN and InN for Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Waldrip, Karen Elizabeth [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Power Sources Technology Dept.; Tsao, Jeffrey Yeenien [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Energy Sciences Dept.; Kerley, Thomas M. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Materials Sciences Dept.

    2006-09-01

    An atmospheric pressure approach to growth of bulk group III-nitrides is outlined. Native III-nitride substrates for optoelectronic and high power, high frequency electronics are desirable to enhance performance and reliability of these devices; currently, these materials are available in research quantities only for GaN, and are unavailable in the case of InN. The thermodynamics and kinetics of the reactions associated with traditional crystal growth techniques place these activities on the extreme edges of experimental physics. The technique described herein relies on the production of the nitride precursor (N3-) by chemical and/or electrochemical methods in a molten halide salt. This nitride ion is then reacted with group III metals in such a manner as to form the bulk nitride material. The work performed during the period of funding (July 2004-September 2005) focused on the initial measurement of the solubility of GaN in molten LiCl as a function of temperature, the construction of electrochemical cells, the modification of a commercial glove box (required for handling very hygroscopic LiCl), and on securing intellectual property for the technique.

  8. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  9. Fabrication and Characterization of Vertical Gallium Nitride Power Schottky Diodes on Bulk GaN Substrates FY2016

    Science.gov (United States)

    2016-12-01

    ARL-TR-7913 ● DEC 2016 US Army Research Laboratory Fabrication and Characterization of Vertical Gallium Nitride Power Schottky...TR-7913 ● DEC 2016 US Army Research Laboratory Fabrication and Characterization of Vertical Gallium Nitride Power Schottky Diodes on Bulk...Fabrication and Characterization of Vertical Gallium Nitride Power Schottky Diodes on Bulk GaN Substrates FY2016 5a. CONTRACT NUMBER 5b. GRANT NUMBER

  10. Control of Alq3 wetting layer thickness via substrate surface functionalization.

    Science.gov (United States)

    Tsoi, Shufen; Szeto, Bryan; Fleischauer, Michael D; Veinot, Jonathan G C; Brett, Michael J

    2007-06-05

    The effects of substrate surface energy and vapor deposition rate on the initial growth of porous columnar tris(8-hydroxyquinoline)aluminum (Alq3) nanostructures were investigated. Alq3 nanostructures thermally evaporated onto as-supplied Si substrates bearing an oxide were observed to form a solid wetting layer, likely caused by an interfacial energy mismatch between the substrate and Alq3. Wetting layer thickness control is important for potential optoelectronic applications. A dramatic decrease in wetting layer thickness was achieved by depositing Alq3 onto alkyltrichlorosilane-derivatized Si/oxide substrates. Similar effects were noted with increasing deposition rates. These two effects enable tailoring of the wetting layer thickness.

  11. Nitride-based quantum structures and devices on modified GaN substrates

    International Nuclear Information System (INIS)

    Perlin, Piotr; Leszczynski, Mike; Grzegory, Izabella; Franssen, Gijs; Targowski, Grzegorz; Krysko, Marcin; Nowak, Grzegorz; Litwin-Staszewska, Elzbieta; Piotrzkowski, Ryszard; Lucznik, Bolek; Suski, Tadek; Szeszko, Justyna; Czernecki, R.; Grzanka, Szymon; Jakiela, Rafal; Albrecht, Martin

    2009-01-01

    We have studied the properties of InGaN layers and quantum wells grown on gallium nitride substrates with intentional surface misorientation with respect to its crystalline c-axis. Misorientation varied in the range from 0 up to 2 degree. The indium content was changed by using the different growth temperature (between 750 C and 820 C) during metalorganic vapor phase epitaxy. With increasing misorientation angle the average indium content decreased significantly. This effect was accompanied by the strong increase of the emission line bandwidth suggesting more pronounced indium segregation. The results of cathodoluminescence measurements show that these effects correspond to different number of atomic steps/terraces existing on the surface of gallium nitride substrate. Very interesting result is also demonstrated concerning p-type GaN layers. With increasing misorientation, the free hole density drastically increases above 10 18 cm -3 . This improvement in p-type doping is not related to the increased Mg concentration but to the reduction in the compensating donor density. Using this advantage we demonstrate nitride light emitters with improved electrical properties. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  13. GaN and ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Soekmen, Uensal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, Technische Universitaet Braunschweig, Braunschweig (Germany); Laehnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-10-15

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self-organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  14. Polarity analysis of GaN nanorods by photo-assisted Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiandong; Neumann, Richard; Wang, Xue; Li, Shunfeng; Fuendling, Soenke; Merzsch, Stephan; Al-Suleiman, Mohamed A.M.; Soekmen, Uensal; Wehmann, Hergo-H.; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany)

    2011-07-15

    Polarity dependence (N-polar (000-1) and Ga-polar (0001)) of surface photovoltage of epitaxially grown, vertically aligned GaN nanorods has been investigated by photo-assisted Kelvin probe force microscopy (KPFM). Commercial GaN substrates with known polarities are taken as reference samples. The polarity of GaN substrates can be well distinguished by the change in surface photovoltage upon UV illumination in air ambient. These different behaviors of Ga- and N-polar surfaces are attributed to the polarity-related surface-bound charges and photochemical reactivity. GaN nanorods were grown on patterned SiO{sub 2}/sapphire templates by metal-organic vapor phase epitaxy (MOVPE). In order to analyze the bottom surface of the grown GaN nanorods, a technique known from high power electronics and joining techniques is applied to remove the substrate. The top and bottom surfaces of the GaN nanorods are identified to be N-polar and Ga-polar according to the KPFM results, respectively. Our experiments demonstrate that KPFM is a simple and suitable method capable to identify the polarity of GaN nanorods. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Breakdown mechanisms in AlGaN/GaN high electron mobility transistors with different GaN channel thickness values

    International Nuclear Information System (INIS)

    Ma Xiao-Hua; Zhang Ya-Man; Chen Wei-Wei; Wang Xin-Hua; Yuan Ting-Ting; Pang Lei; Liu Xin-Yu

    2015-01-01

    In this paper, the off-state breakdown characteristics of two different AlGaN/GaN high electron mobility transistors (HEMTs), featuring a 50-nm and a 150-nm GaN thick channel layer, respectively, are compared. The HEMT with a thick channel exhibits a little larger pinch-off drain current but significantly enhanced off-state breakdown voltage (BV off ). Device simulation indicates that thickening the channel increases the drain-induced barrier lowering (DIBL) but reduces the lateral electric field in the channel and buffer underneath the gate. The increase of BV off in the thick channel device is due to the reduction of the electric field. These results demonstrate that it is necessary to select an appropriate channel thickness to balance DIBL and BV off in AlGaN/GaN HEMTs. (paper)

  16. The Formation and Characterization of GaN Hexagonal Pyramids

    Science.gov (United States)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  17. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  18. Thickness Measurement of a Film on a Substrate by Low-Frequency Ultrasound

    Institute of Scientific and Technical Information of China (English)

    LI Ming-Xuan; WANG Xiao-Min; MAO Jie

    2004-01-01

    @@ We describe a new simple technique for the low-frequency ultrasonic thickness measurement of an air-backed soft thin layer attached on a hard substrate of finite thickness through the frequency-shifts of the substrate resonances by the substrate-side insonification. A plane compressive wave impinging normally on the substrate surface from a liquid is studied. Low frequency here means an interrogating acoustical wave frequency of less than half of coating to the substrate. Equations for the frequency-shifts are derived and solved by the Newton iterative method and the Taylor expansion method, respectively, indicating satisfactory agreement within the range of interest of thickness ratio of the thin layer to the substrate for a polymer-aluminium structure. An experimental setup is constructed to verify the validity of the technique.

  19. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on γ-LiAlO2 substrates

    International Nuclear Information System (INIS)

    Mauder, Christof

    2011-01-01

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO 2 ) substrates. The limited thermal and chemical stability of the LiAlO 2 substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO 2 . This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO 2 allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 μm. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of ∝ 20 nm in a 50 x 50 μm 2 scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x 0.5 μm 2 scan) is found, which is also an indication for

  20. CW operation of high-power blue laser diodes with polished facets on semi-polar ( 20 2 ¯ 1 ¯ ) GaN substrates

    KAUST Repository

    Pourhashemi, A.

    2016-10-11

    Continuous wave (CW) operation of high-power blue laser diodes (LDs) with polished facets on semi-polar (202̅1̅) gallium nitride (GaN) substrates is demonstrated. Ridge waveguide LDs were fabricated using indium GaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 452 nm, the peak two-facet CW output power from an LD with uncoated facets was 1.71 W at a current of 3 A, corresponding to an optical power density of 32.04 MW/cm2 on each facet. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high-power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high-power CW semi-polar LDs.

  1. CW operation of high-power blue laser diodes with polished facets on semi-polar ( 20 2 ¯ 1 ¯ ) GaN substrates

    KAUST Repository

    Pourhashemi, A.; Farrell, R.M.; Cohen, D.A.; Becerra, D.L.; DenBaars, S.P.; Nakamura, S.

    2016-01-01

    Continuous wave (CW) operation of high-power blue laser diodes (LDs) with polished facets on semi-polar (202̅1̅) gallium nitride (GaN) substrates is demonstrated. Ridge waveguide LDs were fabricated using indium GaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 452 nm, the peak two-facet CW output power from an LD with uncoated facets was 1.71 W at a current of 3 A, corresponding to an optical power density of 32.04 MW/cm2 on each facet. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high-power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high-power CW semi-polar LDs.

  2. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  3. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  4. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  5. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  6. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  7. Ultra-low leakage and high breakdown Schottky diodes fabricated on free-standing GaN substrate

    International Nuclear Information System (INIS)

    Wang, Yaqi; Alur, Siddharth; Sharma, Yogesh; Tong, Fei; Thapa, Resham; Gartland, Patrick; Issacs-Smith, Tamara; Ahyi, Claude; Williams, John; Park, Minseo; Johnson, Mark; Paskova, Tanya; Preble, Edward A; Evans, Keith R

    2011-01-01

    Vertical Schottky diodes were fabricated on the bulk GaN substrate with decreasing impurity concentration from N-face to Ga-face. An array of circular Pt Schottky contacts and a full backside Ti/Al/Ni/Au ohmic contact were prepared on the Ga-face and the N-face of the n-GaN substrate, respectively. The Schottky diode exhibits a minimum specific on-state resistance of 1.3 mΩ cm 2 and a maximum breakdown voltage of 600 V, resulting in a figure-of- merit of 275 MW cm −2 . An ultra-low reverse leakage current density of 3.7 × 10 −4 A cm −2 at reverse bias of 400 V was observed. Temperature-dependent I–V measurements were also carried out to study the forward and reverse transportation mechanisms. (fast track communication)

  8. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  9. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  10. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    )6H SiC substrates have been studied by high resolution X-ray diffraction at both symmetrical and asymmetrical reflections. An inhomogeneous Al distribution was found in the film at the substrate interface. The Al fluctuations disappear at a film thickness of 100 nm. In the second part of the Chapter the X-ray reflectivity technique has been utilized for the characterization of AlGaN/GaN superlattices. The fundamental parameters of the superlattices, i.e. period and individual layer thicknesses could be determined for ideally flat surface. (orig.)

  11. Effect of Feed Substrate Thickness on the Bandwidth and Radiation Characteristics of an Aperture-Coupled Microstrip Antenna with a High Permittivity Feed Substrate

    Directory of Open Access Journals (Sweden)

    Jae-Hyun Kim

    2018-04-01

    Full Text Available The impedance bandwidth and radiation characteristics of an aperture-coupled microstrip line-fed patch antenna (ACMPA with a high permittivity (ɛr = 10 feed substrate suitable for integration with a monolithic microwave integrated circuit (MMIC are investigated for various feed substrate thicknesses through an experiment and computer simulation. The impedance bandwidth of an ACMPA with a high permittivity feed substrate increases as the feed substrate thickness decreases. Furthermore, the front-to-back ratio of an ACMPA with a high permittivity feed substrate increases and the cross-polarization level decreases as the feed substrate thickness decreases. As the impedance bandwidth of an ACMPA with a high permittivity feed substrate increases and its radiation characteristics improve as the feed substrate thickness decreases, the ACMPA configuration becomes suitable for integration with an MMIC.

  12. Step-flow growth mode instability of N-polar GaN under N-excess

    International Nuclear Information System (INIS)

    Chèze, C.; Sawicka, M.; Siekacz, M.; Łucznik, B.; Boćkowski, M.; Skierbiszewski, C.; Turski, H.; Cywiński, G.; Smalc-Koziorowska, J.; Weyher, J. L.; Kryśko, M.

    2013-01-01

    GaN layers were grown on N-polar GaN substrates by plasma-assisted molecular beam epitaxy under different III/V ratios. Ga-rich conditions assure step-flow growth with atomically flat surface covered by doubly-bunched steps, as for Ga-polar GaN. Growth under N-excess however leads to an unstable step-flow morphology. Particularly, for substrates slightly miscut towards , interlacing fingers are covered by atomic steps pinned on both sides by small hexagonal pits. In contrast, a three-dimensional island morphology is observed on the Ga-polar equivalent sample. We attribute this result to lower diffusion barriers on N-polar compared to Ga-polar GaN under N-rich conditions

  13. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  14. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  15. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  16. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  17. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  18. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  19. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  20. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro; Tomabechi, Shuichi; Nakamura, Norikazu [Fujitsu Laboratories Ltd., 10-1 Morinosato-Wakamiya, Atsugi, Kanagawa 243-0197 (Japan)

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, and a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.

  1. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Li, Z.J. [Academia Sinica, Beijing, BJ (China). Inst. of Physics; Northwestern Polytechnical Univ., Xian, SN (China). Dept. of Materials Science and Engineering; Chen, X.L.; Tu, Q.Y.; Yang, Z.; Xu, Y.P.; Hu, B.Q. [Academia Sinica, Beijing, BJ (China). Inst. of Physics; Li, H.J. [Northwestern Polytechnical Univ., Xian, SN (China). Dept. of Materials Science and Engineering

    2001-05-01

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials. (orig.)

  2. Low modulation bias InGaN-based integrated EA-modulator-laser on semipolar GaN substrate

    KAUST Repository

    Shen, Chao

    2015-10-06

    In summary, we demonstrated the monolithic integration of electroabsorption modulator with laser diode and measured DC and AC modulation characteristics of the device, which is grown on (2021̅) plane GaN substrate. By alternating the modulation voltage at −3.5 V and 0 V, we achieve the laser output power of < 1.5 mW to > 9 mW, respectively, leading to ∼8.1 dB On/Off ratio. Our results clearly show that a low power consumption modulator can be achieved with semipolar EA-modulator compared to that of the c-plane devices.

  3. Low modulation bias InGaN-based integrated EA-modulator-laser on semipolar GaN substrate

    KAUST Repository

    Shen, Chao; Leonard, John; Pourhashemi, Arash; Oubei, Hassan M.; Alias, Mohd Sharizal; Ng, Tien Khee; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.; Alyamani, Ahmed Y.; Eldesouki, Munir M.; Ooi, Boon S.

    2015-01-01

    In summary, we demonstrated the monolithic integration of electroabsorption modulator with laser diode and measured DC and AC modulation characteristics of the device, which is grown on (2021̅) plane GaN substrate. By alternating the modulation voltage at −3.5 V and 0 V, we achieve the laser output power of < 1.5 mW to > 9 mW, respectively, leading to ∼8.1 dB On/Off ratio. Our results clearly show that a low power consumption modulator can be achieved with semipolar EA-modulator compared to that of the c-plane devices.

  4. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  5. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  6. Conductivity based on selective etch for GaN devices and applications thereof

    Science.gov (United States)

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  7. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  8. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  9. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  10. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  11. Influence of substrate and film thickness on polymer LIPSS formation

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Jing; Nogales, Aurora; Ezquerra, Tiberio A. [Instituto de Estructura de la Materia (IEM-CSIC), Serrano 121, Madrid 28006 (Spain); Rebollar, Esther, E-mail: e.rebollar@csic.es [Instituto de Química Física Rocasolano (IQFR-CSIC), Serrano 119, Madrid 28006 (Spain)

    2017-02-01

    Highlights: • The estimation of temperature upon pulse accumulation shows that a small positive offset is caused by each individual pulse. • Number of pulses needed for LIPSS formation in PS thin films depends on polymer thickness. • Thermal conductivity and diffusivity of supporting substrate influence the onset for LIPSS formation and their quality. • Quality of LIPSS is affected by the substrate optical properties. - Abstract: Here we focus on the influence of both, substrate and film thickness on polymer Laser Induced Periodic Surface Structures (LIPSS) formation in polymer films. For this aim a morphological description of ripples structures generated on spin-coated polystyrene (PS) films by a linearly polarized laser beam with a wavelength of 266 nm is presented. The influence of different parameters on the quality and characteristics of the formed laser-induced periodic surface structures (LIPSS) was investigated. We found that well-ordered LIPSS are formed either on PS films thinner than 200 nm or thicker than 400 nm supported on silicon substrates as well as on thicker free standing films. However less-ordered ripples are formed on silicon supported films with intermediate thicknesses in the range of 200–380 nm. The effect of the thermal and optical properties of the substrate on the quality of LIPSS was analyzed. Differences observed in the fluence and number of pulses needed for the onset of surface morphological modifications is explained considering two main effects which are: (1) The temperature increase on polymer surface induced by the action of cumulative laser irradiation and (2) The differences in thermal conductivity between the polymer and the substrate which strongly affect the heat dissipation generated by irradiation.

  12. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on {gamma}-LiAlO{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mauder, Christof

    2011-12-20

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO{sub 2}) substrates. The limited thermal and chemical stability of the LiAlO{sub 2} substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO{sub 2}. This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO{sub 2} allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 {mu}m. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of {proportional_to} 20 nm in a 50 x 50 {mu}m{sup 2} scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x

  13. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  14. Study on the structural, optical, and electrical properties of the yellow light-emitting diode grown on free-standing (0001) GaN substrate

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, GaN-based yellow light-emitting diodes (LEDs) were homoepitaxially grown on free-standing (0001) GaN substrates by metal-organic chemical vapor deposition. X-ray diffraction (XRD), photoluminescence (PL), and electroluminescence (EL) measurements were conducted to investigate the structural, optical, and electrical properties of the yellow LED. The XRD measurement results showed that the InGaN/GaN multiple quantum wells (MQWs) in the LED structure have good periodicity because the distinct MQWs related higher order satellite peaks can be clearly observed from the profile of 2θ-ω XRD scan. The low temperature (10 K) and room temperature PL measurement results yield an internal quantum efficiency of 16% for the yellow LED. The EL spectra of the yellow LED present well Gaussian distribution with relatively low linewidth (47-55 nm), indicating the homogeneous In-content in the InGaN quantum well layers in the yellow LED structure. It is believed that this work will aid in the future development of GaN on GaN LEDs with long emission wavelength.

  15. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  16. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  17. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  18. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  19. Barrier characteristics of Pt/Ru Schottky contacts on n-type GaN ...

    Indian Academy of Sciences (India)

    Pt/Ru Schottky rectifiers; n-type GaN; temperature–dependent electrical properties; inhomogeneous barrier heights .... a 2 μm thick Si-doped GaN films which were grown by .... ted values of ap using (9) for two Gaussian distributions of bar-.

  20. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  1. Growth of gallium nitride based devices on silicon(001) substrates by metalorganic vapor phase epitaxy; Wachstum von Galliumnitrid-basierten Bauelementen auf Silizium(001)-Substraten mittels metallorganischer Gasphasenepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Reiher, Fabian

    2009-02-25

    The main topic of this thesis is to investigate GaN-based layer systems grown by metalorganic vapor phase epitaxy on Si(001) substrates. A temperature shift up to 45 K is measured for a complete device structure on a 2-inch silicon substrate. By using a 40 nm thin LT-AlN-seed layer (680 C), the GaN crystallites on Si(001) substrates are almost oriented with their GaN(10 anti 12)-planes parallel to the Si(001)-plane. A four-fold azimuthal symmetry occurs for these layers, with the GaN[10 anti 11]-direction is aligned parallel to one of the four equivalent left angle 110 right angle -directions, respectively. However, a mono-crystalline and fully coalesced GaN-layer with this crystallographic orientation could not yet been obtained. If a deposition temperature of more than 1100 C is used for the AlN-seed layer, solely the GaN[0001]- growth direction of crystallites occurs in the main GaN layer on Si(001) substrates. These c-axis oriented GaN columns feature two opposite azimuthal alignments that are rotated by 90 with respect to each other and with GaN[11 anti 20] parallel Si[110] and GaN[10 anti 10] parallel Si[110], respectively. By using 4 off-oriented substrates towards the Si[110]-direction, one certain azimuthal texture component can be selected. The critical value of the miscut angle corresponds to theoretical calculations predicting the occurrence of atomic double steps on the Si(001) surface. The achieved crystallographic quality of the GaN layers on Si(001) is characterized by having a tilt of FWHM=0.27 and a twist of FWHM=0.8 of the crystallites, determined by X-ray diffraction. A completely crack-free, up to 2.5 {mu}m thick, and mono-crystalline GaN-template can be realized on Si(001), integrating 4 or 5 LT-AlN-interlayers in the GaN buffer structure. Based on this structure, the first successful implementation of an (InGaN/GaN)-LED on Si(001) is achieved. Furthermore, the possible fabrication of GaN-based FET-structures is demonstrated with a fully

  2. Crystal Structures of GaN Nanodots by Nitrogen Plasma Treatment on Ga Metal Droplets

    Directory of Open Access Journals (Sweden)

    Yang-Zhe Su

    2018-06-01

    Full Text Available Gallium nitride (GaN is one of important functional materials for optoelectronics and electronics. GaN exists both in equilibrium wurtzite and metastable zinc-blende structural phases. The zinc-blende GaN has superior electronic and optical properties over wurtzite one. In this report, GaN nanodots can be fabricated by Ga metal droplets in ultra-high vacuum and then nitridation by nitrogen plasma. The size, shape, density, and crystal structure of GaN nanodots can be characterized by transmission electron microscopy. The growth parameters, such as pre-nitridation treatment on Si surface, substrate temperature, and plasma nitridation time, affect the crystal structure of GaN nanodots. Higher thermal energy could provide the driving force for the phase transformation of GaN nanodots from zinc-blende to wurtzite structures. Metastable zinc-blende GaN nanodots can be synthesized by the surface modification of Si (111 by nitrogen plasma, i.e., the pre-nitridation treatment is done at a lower growth temperature. This is because the pre-nitridation process can provide a nitrogen-terminal surface for the following Ga droplet formation and a nitrogen-rich condition for the formation of GaN nanodots during droplet epitaxy. The pre-nitridation of Si substrates, the formation of a thin SiNx layer, could inhibit the phase transformation of GaN nanodots from zinc-blende to wurtzite phases. The pre-nitridation treatment also affects the dot size, density, and surface roughness of samples.

  3. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  4. Realistic reflectance spectrum of thin films covering a transparent optically thick substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cesaria, M., E-mail: maura.cesaria@le.infn.it; Caricato, A. P.; Martino, M. [Department of Mathematics and Physics “Ennio De Giorgi,” University of Salento, Via Arnesano, I-73100 Lecce (Italy)

    2014-07-21

    A spectrophotometric strategy is presented and discussed for calculating realistically the reflectance spectrum of an absorbing film deposited over a thick transparent or semi-transparent substrate. The developed route exploits simple mathematics, has wide range of applicability (high-to-weak absorption regions and thick-to-ultrathin films), rules out numerical and curve-fitting procedures as well as model-functions, inherently accounts for the non-measurable contribution of the film-substrate interface as well as substrate backside, and describes the film reflectance spectrum as determined by the experimental situation (deposition approach and parameters). The reliability of the method is tested on films of a well-known material (indium tin oxide) by deliberately changing film thickness and structural quality through doping. Results are found consistent with usual information yielded by reflectance, its inherent relationship with scattering processes and contributions to the measured total reflectance.

  5. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  6. Size dictated thermal conductivity of GaN

    Science.gov (United States)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  7. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A., E-mail: rao28@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom)

    2015-08-24

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.

  8. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    International Nuclear Information System (INIS)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A.

    2015-01-01

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness

  9. Effects of flexible substrate thickness on Al-induced crystallization of amorphous Ge thin films

    Energy Technology Data Exchange (ETDEWEB)

    Oya, Naoki [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Toko, Kaoru, E-mail: toko@bk.tsukuba.ac.jp [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, Noriyuki; Yoshizawa, Noriko [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan); Suemasu, Takashi [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2015-05-29

    Amorphous germanium (a-Ge) thin films were directly crystallized on flexible plastic substrates at 325 °C using Al-induced crystallization. The thickness of the plastic substrate strongly influenced the crystal quality of the resulting polycrystalline Ge layers. Using a thicker substrate lowered the stress on the a-Ge layer during annealing, which increased the grain size and fraction of (111)-oriented grains within the Ge layer. Employing a 125-μm-thick substrate led to 95% (111)-oriented Ge with grains having an average size of 100 μm. Transmission electron microscopy demonstrated that the Ge grains had a low-defect density. Production of high-quality Ge films on plastic substrates allows for the possibility for developing Ge-based electronic and optical devices on inexpensive flexible substrates. - Highlights: • Polycrystalline Ge thin films are directly formed on flexible plastic substrates. • Al-induced crystallization allows the low-temperature growth (325 °C) of amorphous Ge. • The substrate bending during annealing strongly influences the crystal quality of poly-Ge. • A thick substrate (125 μm) leads to 95% (111)-oriented Ge with grains 100 μm in size.

  10. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  11. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  12. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  13. Theoretical investigation of electronic, magnetic and optical properties of Fe doped GaN thin films

    International Nuclear Information System (INIS)

    Salmani, E.; Mounkachi, O.; Ez-Zahraouy, H.; Benyoussef, A.; Hamedoun, M.; Hlil, E.K.

    2013-01-01

    Highlights: •Magnetic and optical properties Fe-doped GaN thin films are studied using DFT. •The band gaps of GaN thin films are larger than the one of the bulk. •The layer thickness and acceptor defect can switch the magnetic ordering. -- Abstract: Using first principles calculations based on spin-polarized density functional theory, the magnetic and optical properties of GaN and Fe-doped GaN thin films with and without acceptor defect is studied. The band structure calculations show that the band gaps of GaN thin films with 2, 4 and 6 layers are larger than the one of the bulk with wurtzite structure and decreases with increasing the film thickness. In Fe doped GaN thin films, we show that layer of thickness and acceptor defect can switch the magnetic ordering from disorder local moment (DLM) to ferromagnetic (FM) order. Without acceptor defect Fe doped GaN exhibits spin glass phase in 4 layers form and ferromagnetic state for 2 layers form of the thin films, while it exhibits ferromagnetic phase with acceptor defect such as vacancies defect for 2 and 4 layers. In the FM ordering, the thin films is half-metallic and is therefore ideal for spin application. The different energy between ferromagnetic state and disorder local moment state was evaluated. Moreover, the optical absorption spectra obtained by ab initio calculations confirm the ferromagnetic stability based on the charge state of magnetic impurities

  14. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  15. Nonlinear characterization of GaN HEMT

    International Nuclear Information System (INIS)

    Chen Chi; Hao Yue; Yang Ling; Quan Si; Ma Xiaohua; Zhang Jincheng

    2010-01-01

    DC I-V output, small signal and an extensive large signal characterization (load-pull measurements) of a GaN HEMT on a SiC substrate with different gate widths of 100 μm and 1 mm have been carried out. From the small signal data, it has been found that the cutoff frequencies increase with gate width varying from 100 μm to 1mm, owing to the reduced contribution of the parasitic effect. The devices investigated with different gate widths are enough to work in the C band and X band. The large signal measurements include the load-pull measurements and power sweep measurements at the C band (5.5 GHz) and X band (8 GHz). When biasing the gate voltage in class AB and selecting the source impedance, the optimum load impedances seen from the device for output power and PAE were localized in the load-pull map. The results of a power sweep at an 8 GHz biased various drain voltage demonstrate that a GaN HEMT on a SiC substrate has good thermal conductivity and a high breakdown voltage, and the CW power density of 10.16 W/mm was obtained. From the results of the power sweep measurement at 5.5 GHz with different gate widths, the actual scaling rules and heat effect on the large periphery device were analyzed, although the effects are not serious. The measurement results and analyses prove that a GaN HEMT on a SiC substrate is an ideal candidate for high-power amplifier design.

  16. Integrated GaN photonic circuits on silicon (100) for second harmonic generation

    OpenAIRE

    Xiong, Chi; Pernice, Wolfram; Ryu, Kevin K.; Schuck, Carsten; Fong, King Y.; Palacios, Tomas; Tang, Hong X.

    2014-01-01

    We demonstrate second order optical nonlinearity in a silicon architecture through heterogeneous integration of single-crystalline gallium nitride (GaN) on silicon (100) substrates. By engineering GaN microrings for dual resonance around 1560 nm and 780 nm, we achieve efficient, tunable second harmonic generation at 780 nm. The \\{chi}(2) nonlinear susceptibility is measured to be as high as 16 plus minus 7 pm/V. Because GaN has a wideband transparency window covering ultraviolet, visible and ...

  17. The importance of structural inhomogeneity in GaN thin films

    Science.gov (United States)

    Liliental-Weber, Z.; Reis, Roberto dos; Weyher, Jan L.; Staszczak, Grzegorz; Jakieła, Rafał

    2016-12-01

    This paper describes two types of MOCVD-grown n-type GaN layers (Samples A and B) with similar carrier concentration but behaved differently under galvanic photo-etching. In order to understand this behavior, Transmission Electron Microscopy (TEM) for cross-section and plan-view samples, Secondary Ion Mass Spectroscopy (SIMS) and photoluminescence (PL) techniques were applied. SIMS studies showed that Si, C and O are approximately at the same concentration in both samples, but Sample B also contained Fe and Mg. Both GaN samples were grown on sapphire substrate with Ga growth polarity, which was confirmed by Convergent Beam Electron Diffraction (CBED). Despite a smaller layer thickness in Sample B, the density of edge dislocations is almost one order of magnitude lower than in Sample A. In addition, planar defects formed in this sample in the transition area between the undoped buffer and Si doped layers resulted in a substantial decrease in the density of screw dislocations at the sample surface. These planar defects most probably gave rise to the PL lines observed at 3.42 eV and 3.32 eV. The new PL lines that only appeared in Sample B might be related to Mg impurities found in this sample. There were no detectable gettering of these impurities at dislocations using different diffraction conditions. However, Fe rich platelets were found only in Sample B due to the presence of Fe as well as hexagonal features, similar to defects reported earlier in highly Mg-doped GaN. These structural and chemical non-uniformities between the two GaN samples can explain their different etching behaviors. This paper demonstrates that samples with similar carrier concentrations do not necessarily ensure similar structural and optical properties and that additional material characterization are needed to ensure that devices built on such samples have similar performance.

  18. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  19. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    Science.gov (United States)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  20. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  1. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi

    2017-12-19

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  2. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2017-01-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  3. Self-regulating and diameter-selective growth of GaN nanowires

    International Nuclear Information System (INIS)

    Kuo, C-K; Hsu, C-W; Wu, C-T; Lan, Z-H; Mou, C-Y; Chen, C-C; Yang, Y-J; Chen, L-C; Chen, K-H

    2006-01-01

    We report diameter-selective growth of GaN nanowires (NWs) by using mono-dispersed Au nanoparticles (NPs) on a ligand-modified Si substrate. The thiol-terminal silane was found to be effective in producing well-dispersed Au NPs in low density on Si substrates so that the agglomeration of Au NPs during growth could be avoided. The resultant GaN NWs exhibited a narrow diameter distribution and their mean diameter was always larger than, while keeping a deterministic relation with, the size of the Au NPs from which they were grown. A self-regulating steady growth model is proposed to account for the size-control process

  4. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  5. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  6. First-principle-based full-dispersion Monte Carlo simulation of the anisotropic phonon transport in the wurtzite GaN thin film

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ruikang; Hu, Run, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn; Luo, Xiaobing, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn [State Key Laboratory of Coal Combustion and Thermal Packaging Laboratory, School of Energy and Power Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-04-14

    In this study, we developed a first-principle-based full-dispersion Monte Carlo simulation method to study the anisotropic phonon transport in wurtzite GaN thin film. The input data of thermal properties in MC simulations were calculated based on the first-principle method. The anisotropy of thermal conductivity in bulk wurtzite GaN is found to be strengthened by isotopic scatterings and reduced temperature, and the anisotropy reaches 40.08% for natural bulk GaN at 100 K. With the GaN thin film thickness decreasing, the anisotropy of the out-of-plane thermal conductivity is heavily reduced due to both the ballistic transport and the less importance of the low-frequency phonons with anisotropic group velocities. On the contrary, it is observed that the in-plane thermal conductivity anisotropy of the GaN thin film is strengthened by reducing the film thickness. And the anisotropy reaches 35.63% when the natural GaN thin film thickness reduces to 50 nm at 300 K with the degree of specularity being zero. The anisotropy is also improved by increasing the surface roughness of the GaN thin film.

  7. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    Science.gov (United States)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  8. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    Science.gov (United States)

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  9. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. GaN Initiative for Grid Applications (GIGA)

    Energy Technology Data Exchange (ETDEWEB)

    Turner, George [MIT Lincoln Lab., Lexington, MA (United States)

    2015-07-03

    For nearly 4 ½ years, MIT Lincoln Laboratory (MIT/LL) led a very successful, DoE-funded team effort to develop GaN-on-Si materials and devices, targeting high-voltage (>1 kV), high-power, cost-effective electronics for grid applications. This effort, called the GaN Initiative for Grid Applications (GIGA) program, was initially made up of MIT/LL, the MIT campus group of Prof. Tomas Palacios (MIT), and the industrial partner M/A Com Technology Solutions (MTS). Later in the program a 4th team member was added (IQE MA) to provide commercial-scale GaN-on-Si epitaxial materials. A basic premise of the GIGA program was that power electronics, for ubiquitous utilization -even for grid applications - should be closer in cost structure to more conventional Si-based power electronics. For a number of reasons, more established GaN-on-SiC or even SiC-based power electronics are not likely to reach theses cost structures, even in higher manufacturing volumes. An additional premise of the GIGA program was that the technical focus would be on materials and devices suitable for operating at voltages > 1 kV, even though there is also significant commercial interest in developing lower voltage (< 1 kV), cost effective GaN-on-Si devices for higher volume applications, like consumer products. Remarkable technical progress was made during the course of this program. Advances in materials included the growth of high-quality, crack-free epitaxial GaN layers on large-diameter Si substrates with thicknesses up to ~5 μm, overcoming significant challenges in lattice mismatch and thermal expansion differences between Si and GaN in the actual epitaxial growth process. Such thick epilayers are crucial for high voltage operation of lateral geometry devices such as Schottky barrier (SB) diodes and high electron mobility transistors (HEMTs). New “Normally-Off” device architectures were demonstrated – for safe operation of power electronics circuits. The trade-offs between lateral and

  11. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  12. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.; Muhammad, Mufasila M.; Sivan, Madhavi; Bonca, Spencer; Roqan, Iman S.; Dolgaleva, Ksenia

    2017-01-01

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  14. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.

    2017-12-19

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  15. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  16. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Gallium nitride vertical power devices on foreign substrates: a review and outlook

    Science.gov (United States)

    Zhang, Yuhao; Dadgar, Armin; Palacios, Tomás

    2018-07-01

    Vertical gallium nitride (GaN) power devices have attracted increased attention due to their superior high-voltage and high-current capacity as well as easier thermal management than lateral GaN high electron mobility transistors. Vertical GaN devices are promising candidates for next-generation power electronics in electric vehicles, data centers, smart grids and renewable energy process. The use of low-cost foreign substrates such as silicon (Si) substrates, instead of the expensive free-standing GaN substrates, could greatly trim material cost and enable large-diameter wafer processing while maintaining high device performance. This review illustrates recent progress in material epitaxy, device design, device physics and processing technologies for the development of vertical GaN power devices on low-cost foreign substrates. Although the device technologies are still at the early stage of development, state-of-the-art vertical GaN-on-Si power diodes have already shown superior Baliga’s figure of merit than commercial SiC and Si power devices at the voltage classes beyond 600 V. Furthermore, we unveil the design space of vertical GaN power devices on native and different foreign substrates, from the analysis of the impact of dislocation and defects on device performance. We conclude by identifying the application space, current challenges and exciting research opportunities in this very dynamic research field.

  18. Exciton emission from bare and hybrid plasmonic GaN nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  19. Performance of a Planar Leaky-Wave Slit Antenna for Different Values of Substrate Thickness

    Directory of Open Access Journals (Sweden)

    Niamat Hussain

    2017-10-01

    Full Text Available This paper presents the performance of a planar, low-profile, and wide-gain-bandwidth leaky-wave slit antenna in different thickness values of high-permittivity gallium arsenide substrates at terahertz frequencies. The proposed antenna designs consisted of a periodic array of 5 × 5 metallic square patches and a planar feeding structure. The patch array was printed on the top side of the substrate, and the feeding structure, which is an open-ended leaky-wave slot line, was etched on the bottom side of the substrate. The antenna performed as a Fabry-Perot cavity antenna at high thickness levels (H = 160 μm and H = 80 μm, thus exhibiting high gain but a narrow gain bandwidth. At low thickness levels (H = 40 μm and H = 20 μm, it performed as a metasurface antenna and showed wide-gain-bandwidth characteristics with a low gain value. Aside from the advantage of achieving useful characteristics for different antennas by just changing the substrate thickness, the proposed antenna design exhibited a low profile, easy integration into circuit boards, and excellent low-cost mass production suitability.

  20. Influence of ceramic dental crown coating substrate thickness ratio on strain energy release rate

    Science.gov (United States)

    Khasnulhadi, K.; Daud, R.; Mat, F.; Noor, S. N. F. M.; Basaruddin, K. S.; Sulaiman, M. H.

    2017-10-01

    This paper presents the analysis of coating substrate thickness ratio effect on the crown coating fracture behaviour. The bi-layer material is examined under four point bending with pre-crack at the bottom of the core material by using finite element. Three different coating thickness of core/substrate was tested which is 1:1, 1:2 and 2:1. The fracture parameters are analysed based on bilayer and homogenous elastic interaction. The result shows that the ratio thickness of core/veneer provided a significant effect on energy release rate.

  1. Algan/Gan Hemt By Magnetron Sputtering System

    Science.gov (United States)

    Garcia Perez, Roman

    In this thesis, the growth of the semiconductor materials AlGaN and GaN is achieved by magnetron sputtering for the fabrication of High Electron Mobility Transistors (HEMTs). The study of the deposited nitrides is conducted by spectroscopy, diffraction, and submicron scale microscope methods. The preparation of the materials is performed using different parameters in terms of power, pressure, temperature, gas, and time. Silicon (Si) and Sapphire (Al2O3) wafers are used as substrates. The chemical composition and surface topography of the samples are analyzed to calculate the materials atomic percentages and to observe the devices surface. The instruments used for the semiconductors characterization are X-ray Photoelectron Spectroscopy (XPS), X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), and Atomic Force Microscope (AFM). The project focused its attention on the reduction of impurities during the deposition, the controlled thicknesses of the thin-films, the atomic configuration of the alloy AlxGa1-xN, and the uniformity of the surfaces.

  2. Analysis of reaction between c+a and -c+a dislocations in GaN layer grown on 4-inch Si(111) substrate with AlGaN/AlN strained layer superlattice by transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Sugawara, Yoshihiro; Ishikawa, Yukari, E-mail: yukari@jfcc.or.jp [Japan Fine Ceramics Center, Atsuta, Nagoya, 456-8587 (Japan); Watanabe, Arata [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Miyoshi, Makoto; Egawa, Takashi [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technoloy, Nagoya, 466-8555 (Japan)

    2016-04-15

    The behavior of dislocations in a GaN layer grown on a 4-inch Si(111) substrate with an AlGaN/AlN strained layer superlattice using horizontal metal-organic chemical vapor deposition was observed by transmission electron microscopy. Cross-sectional observation indicated that a drastic decrease in the dislocation density occurred in the GaN layer. The reaction of a dislocation (b=1/3[-211-3]) and anothor dislocation (b =1/3[-2113]) to form one dislocation (b =2/3[-2110]) in the GaN layer was clarified by plan-view observation using weak-beam dark-field and large-angle convergent-beam diffraction methods.

  3. In-situ measurement of the strain relaxation of GaN nanograins during X-ray irradiation

    International Nuclear Information System (INIS)

    Choe, Hyeokmin; Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo

    2008-01-01

    GaN nanograins were grown on a c-plane sapphire substrate and their strain relaxation due to X-ray irradiation was investigated in-situ by utilizing synchrotron xray scattering. The GaN nanograins were constantly exposed to the synchrotron X-ray and θ-2θ scans through the (002) Bragg peak of GaN were repeatedly carried out during the irradiation. The Bragg peak of the compressively strained GaN nanograins gradually shifted toward higher angle, which implies that the GaN nanograins in compressive strain experienced strain relaxation during X-ray irradiation. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  5. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Kuwano, Noriyuki [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Miyake, Hideto; Hiramatsu, Kazumasa [Department of Electrical and Electronic Engineering, Mie University, Tsu, Mie 514-8507 (Japan); Amano, Hiroshi [Graduate School of Engineering, Akasaki Research Center, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Akasaki, Isamu [Faculty of Science and Technology, Meijo University, 1-501 Shiogamaguchi, Tempaku, Nagoya 468-8502 (Japan)

    2011-05-15

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphology of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al{sub 0.28}Ga{sub 0.72}N layer by the metal-organic vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphology of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of ''climb motion''. Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in analysis of thin-layer growth through the behavior of TDs (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Growth and characterization of thick cBN coatings on silicon and tool substrates

    International Nuclear Information System (INIS)

    Bewilogua, K.; Keunecke, M.; Weigel, K.; Wiemann, E.

    2004-01-01

    Recently some research groups have achieved progress in the deposition of cubic boron nitride (cBN) coatings with a thickness of 2 μm and more, which is necessary for cutting tool applications. In our laboratory, thick cBN coatings were sputter deposited on silicon substrates using a boron carbide target. Following a boron carbide interlayer (few 100 nm thick), a gradient layer with continuously increasing nitrogen content was prepared. After the cBN nucleation, the process parameters were modified for the cBN film growth to a thickness of more than 2 μm. However, the transfer of this technology to technically relevant substrates, like cemented carbide cutting inserts, required some further process modifications. At first, a titanium interlayer had to be deposited followed by a more than 1-μm-thick boron carbide layer. The next steps were identical to those on silicon substrates. The total coating thickness was in the range of 3 μm with a 0.5- to nearly 1-μm-thick cBN top layer. In spite of the enormous intrinsic stress, both the coatings on silicon and on cemented carbide exhibited a good adhesion and a prolonged stability in humid air. Oxidation experiments revealed a stability of the coating system on cemented carbide up to 700 deg. C and higher. Coated cutting inserts were tested in turning operations with different metallic workpiece materials. The test results will be compared to those of well-established cutting materials, like polycrystalline cubic boron nitride (PCBN) and oxide ceramics, considering the wear of coated tools

  7. The critical oxide thickness for Pb-free reflow soldering on Cu substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chung, C. Key [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei, 10617, Taiwan (China); Assembly Test Global Materials, Intel Microelectronics Asia Ltd, B1, No. 205, Tun-Hwa North Road, 10595 Taipei, Taiwan (China); Chen, Y.J.; Li, C.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei, 10617, Taiwan (China); Kao, C.R., E-mail: crkao@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei, 10617, Taiwan (China)

    2012-06-01

    Oxidation is an undesirable effect of reflow soldering. Non-wetting occurs when the oxide layer grows above the critical thickness. Characterizing the critical oxide thickness for soldering is challenging due to oxide's nano-scale thickness and irregular topographic surface. In this paper, the critical copper oxide thickness was characterized by Time-of-Flight Secondary Ion Mass Spectrometry, Scanning Electron Microscopy, Energy-Dispersive X-ray spectroscopy, and Transmission Electron Microscopy. Copper substrates were coated with an Organic-Solderable-Preservative (OSP) layer and baked at 150 Degree-Sign C and 85% Relative Humidity for different amounts of time. The onset of the non-wetting phenomenon occurred when the oxide thickness reached 18 {+-} 5 nm. As the oxide grew beyond this critical thickness, the percentage of non-wetting solder joint increased exponentially. The growth of the oxide thickness followed a parabolic rate law. The rate constant of oxidation was 0.6 Multiplication-Sign 10{sup -15} cm{sup 2} min{sup -1}. Oxidation resulted from interdiffusion of copper and oxygen atoms through the OSP and oxide layers. The oxidation mechanism will be presented and discussed. - Highlights: Black-Right-Pointing-Pointer Critical oxide thickness for Pb free solder on Cu substrate is 18 {+-} 5 nm. Black-Right-Pointing-Pointer Above the critical oxide, non-wet solder joint increases exponentially. Black-Right-Pointing-Pointer A maximum 13-nm oxide thickness is suggested for good solder joint. Black-Right-Pointing-Pointer Initial growth of oxide thickness is logarithmic and then parabolic after 12 nm. Black-Right-Pointing-Pointer Thick oxide (360-560 nm) is formed as pores shorten the oxidation path.

  8. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  9. A compensating point defect in carbon-doped GaN substrates studied with electron paramagnetic resonance spectroscopy

    Science.gov (United States)

    Willoughby, W. R.; Zvanut, M. E.; Paudel, Subash; Iwinska, M.; Sochacki, T.; Bockowski, M.

    2018-04-01

    Electron paramagnetic resonance (EPR) spectroscopy was used to investigate a type of point defect present in 1019 cm-3 carbon-doped GaN substrates grown by hydride vapor phase epitaxy. A broad, isotropic resonance at g ˜ 1.987 was observed at 3.5 K, and the EPR intensity increased with illumination at energies greater than 2.75 eV and decreased with photon energies greater than 0.95 eV. The latter is consistent with a deep level of 0.95 eV above the valence band maximum and implies that the associated defect likely participates in donor compensation. The ionization energy for this defect is close to the predicted value for the (-/0) transition level of CN and transition levels associated with Ga vacancies such as VGa and VGa-ON-2H.

  10. Atomic structures and mechanical properties of single-crystal GaN nanotubes

    International Nuclear Information System (INIS)

    Xu, B.; Lu, A.J.; Pan, B.C.; Yu, Q.X.

    2005-01-01

    An approach is proposed to theoretically construct a realistic single-crystal GaN nanotube at atomic scale. The generated atomic structures of the single-crystal GaN nanotubes match the structural aspects from experiment very well. Our energetic calculations show that a single-crystal GaN nanotube with [100]-oriented lateral facets is more stable than that with [110]-oriented lateral facets, when they have around the same wall thickness. For a specified orientation of the lateral facets on the single-crystal GaN nanotubes, the energetic stabilities of the tubes obey a P rule, in which P is the ratio of the number of four-coordinated atoms to the number of three-coordinated atoms. Furthermore, the Young's modulus of the considered GaN nanotubes decrease with increasing the ratio of the number of bulk atoms to the number of surface atoms in each type of tube. Our calculations and analysis demonstrate that the surface effect of a single-crystal nanotube enhances its Young's modulus significantly

  11. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  12. Effect of Substrate Permittivity and Thickness on Performance of Single-Layer, Wideband, U-Slot Antennas on Microwave Substrates

    National Research Council Canada - National Science Library

    Natarajan, V; Chatterjee, D

    2004-01-01

    This paper presents effects of substrate permittivity and thickness on the performance characteristics like impedance bandwidth, radiation efficiency and gain of a single-layer, wideband, U-slot antenna...

  13. Growth of GaN on sapphire via low-temperature deposited buffer layer and realization of p-type GaN by Mg doping followed by low-energy electron beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Amano, Hiroshi [Department of Electrical Engineering and Computer Science, Venture Business Laboratory, Akasaki Research Center, Nagoya University (Japan)

    2015-06-15

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid to late 1980s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed. (copyright 2015 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    Science.gov (United States)

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Defect concentration in nitrogen-doped graphene grown on Cu substrate: A thickness effect

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Dhananjay K., E-mail: dhananjay@ua.pt [Department of Physics & CICECO – Aveiro Institute of Materials, University of Aveiro, 3810-193 Aveiro (Portugal); Department of Mechanical Engineering & Centre for Mechanical Technology & Automation, University of Aveiro, 3810-193 Aveiro (Portugal); Fateixa, Sara [Department of Chemistry & CICECO – Aveiro Institute of Materials, University of Aveiro, 3810-193 Aveiro (Portugal); Hortigüela, María J. [Department of Mechanical Engineering & Centre for Mechanical Technology & Automation, University of Aveiro, 3810-193 Aveiro (Portugal); Vidyasagar, Reddithota [Department of Physics & CICECO – Aveiro Institute of Materials, University of Aveiro, 3810-193 Aveiro (Portugal); Otero-Irurueta, Gonzalo [Department of Mechanical Engineering & Centre for Mechanical Technology & Automation, University of Aveiro, 3810-193 Aveiro (Portugal); Nogueira, Helena I.S. [Department of Chemistry & CICECO – Aveiro Institute of Materials, University of Aveiro, 3810-193 Aveiro (Portugal); Singh, Manoj Kumar [Department of Mechanical Engineering & Centre for Mechanical Technology & Automation, University of Aveiro, 3810-193 Aveiro (Portugal); Kholkin, Andrei, E-mail: kholkin@ua.pt [Department of Physics & CICECO – Aveiro Institute of Materials, University of Aveiro, 3810-193 Aveiro (Portugal); School of Natural Sciences and Mathematics, Ural Federal University, 620000 Ekaterinburg (Russian Federation)

    2017-05-15

    Tuning the band-gap of graphene is a current need for real device applications. Copper (Cu) as a substrate plays a crucial role in graphene deposition. Here we report the fabrication of in-situ nitrogen (N) doped graphene via chemical vapor deposition (CVD) technique and the effect of Cu substrate thickness on the growth mechanism. The ratio of intensities of G and D peaks was used to evaluate the defect concentration based on local activation model associated with the distortion of the crystal lattice due to incorporation of nitrogen atoms into graphene lattice. The results suggest that Cu substrate of 20 µm in thickness exhibits higher defect density (1.86×10{sup 12} cm{sup −2}) as compared to both 10 and 25 µm thick substrates (1.23×10{sup 12} cm{sup −2} and 3.09×10{sup 11} cm{sup −2}, respectively). Furthermore, High Resolution -X-ray Photoelectron Spectroscopy (HR-XPS) precisely affirms ~0.4 at% of nitrogen intercalations in graphene. Our results show that the substitutional type of nitrogen doping dominates over the pyridinic configuration. In addition, X-ray diffraction (XRD) shows all the XRD peaks associated with carbon. However, the peak at ~24° is suppressed by the substrate peaks (Cu). These results suggest that nitrogen atoms can be efficiently incorporated into the graphene using thinner copper substrates, rather than the standard 25 µm ones. This is important for tailoring the properties by graphene required for microelectronic applications.

  16. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  17. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  18. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    Science.gov (United States)

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  19. Structure, surface morphology and electrical properties of evaporated Ni thin films: Effect of substrates, thickness and Cu underlayer

    International Nuclear Information System (INIS)

    Hemmous, M.; Layadi, A.; Guittoum, A.; Souami, N.; Mebarki, M.; Menni, N.

    2014-01-01

    Series of Ni thin films have been deposited by thermal evaporation onto glass, Si(111), Cu, mica and Al 2 O 3 substrates with and without a Cu underlayer. The Ni thicknesses, t, are in the 4 to 163 nm range. The Cu underlayer has also been evaporated with a Cu thickness equal to 27, 52 and 90 nm. The effects of substrate, the Ni thickness and the Cu underlayer on the structural and electrical properties of Ni are investigated. Rutherford Backscattering Spectroscopy was used to probe the Ni/Substrate and Ni–Cu underlayer interfaces and to measure both Ni and Cu thicknesses. The texture, the strain and the grain size values were derived from X-ray diffraction experiments. The surface morphology is studied by means of a Scanning Electron Microscope. The electrical resistivity is measured by the four point probe. The Ni films grow with the <111> texture on all substrates. The Ni grain sizes D increase with increasing thickness for the glass, Si and mica substrates and decrease for the Cu one. The strain ε is positive for low thickness, decreases in magnitude and becomes negative as t increases. With the Cu underlayer, the growth mode goes through two phases: first, the stress (grain size) increases (decreases) up to a critical thickness t Cr , then stress is relieved and grain size increases. All these results will be discussed and correlated. - Highlights: • The structural and electrical properties of evaporated Ni thin films are studied. • The effect of thickness, substrates and Cu underlayer is investigated. • Texture, grain size, strain and surface morphology are discussed. • Growth modes are described as a function of Ni thickness

  20. The 2018 GaN power electronics roadmap

    Science.gov (United States)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  1. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. GaN nano-membrane for optoelectronic and electronic device applications

    KAUST Repository

    Ooi, Boon S.

    2014-01-01

    The ~25nm thick threading dislocation free GaN nanomembrane was prepared using ultraviolet electroless chemical etching method offering the possibility of flexible integration of (Al,In,Ga)N optoelectronic and electronic devices.

  3. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  4. Defect analysis in GaN films of HEMT structure by cross-sectional cathodoluminescence

    Science.gov (United States)

    Isobe, Yasuhiro; Hung, Hung; Oasa, Kohei; Ono, Tasuku; Onizawa, Takashi; Yoshioka, Akira; Takada, Yoshiharu; Saito, Yasunobu; Sugiyama, Naoharu; Tsuda, Kunio; Sugiyama, Toru; Mizushima, Ichiro

    2017-06-01

    Defect analysis of GaN films in high electron mobility transistor (HEMT) structures by cross-sectional cathodoluminescence (X-CL) is demonstrated as a useful technique for improving the current collapse of GaN-HEMT devices, and the relationship between crystal quality and device characteristics is also investigated. The crystal quality of intrinsic-GaN (i-GaN) and carbon-doped GaN produced clearly different peak intensities of blue luminescence (BL), yellow luminescence (YL), and band-edge emission (BE), which is independently detected by X-CL. Current collapse in GaN-HEMT devices is found to be determined by the BL/BE and YL/BE ratios at the top of the i-GaN layer, which is close to the channel. Moreover, the i-GaN thickness required in order to minimize the BL/BE and YL/BE ratios and the thickness dependency of GaN for minimizing the BL/BE and YL/BE ratios depending on the growth conditions can be evaluated by X-CL. However, there is no correlation between current collapse in GaN-HEMT devices and the YL/BE ratio by conventional photoluminescence because HEMT devices consist of multiple GaN layers and the YL signal is detected from the carbon-doped GaN layer. Thus, the X-CL analysis method is a useful technique for device design in order to suppress current collapse.

  5. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  6. The Peculiarities of Strain Relaxation in GaN/AlN Superlattices Grown on Vicinal GaN (0001) Substrate: Comparative XRD and AFM Study.

    Science.gov (United States)

    Kuchuk, Andrian V; Kryvyi, Serhii; Lytvyn, Petro M; Li, Shibin; Kladko, Vasyl P; Ware, Morgan E; Mazur, Yuriy I; Safryuk, Nadiia V; Stanchu, Hryhorii V; Belyaev, Alexander E; Salamo, Gregory J

    2016-12-01

    Superlattices (SLs) consisting of symmetric layers of GaN and AlN have been investigated. Detailed X-ray diffraction and reflectivity measurements demonstrate that the relaxation of built-up strain in the films generally increases with an increasing number of repetitions; however, an apparent relaxation for subcritical thickness SLs is explained through the accumulation of Nagai tilt at each interface of the SL. Additional atomic force microscopy measurements reveal surface pit densities which appear to correlate with the amount of residual strain in the films along with the appearance of cracks for SLs which have exceeded the critical thickness for plastic relaxation. These results indicate a total SL thickness beyond which growth may be limited for the formation of high-quality coherent crystal structures; however, they may indicate a growth window for the reduction of threading dislocations by controlled relaxation of the epilayers.

  7. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  8. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  9. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  10. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    Science.gov (United States)

    Usami, Shigeyoshi; Ando, Yuto; Tanaka, Atsushi; Nagamatsu, Kentaro; Deki, Manato; Kushimoto, Maki; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi; Sugawara, Yoshihiro; Yao, Yong-Zhao; Ishikawa, Yukari

    2018-04-01

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that 1c pure screw dislocations are related to the reverse leakage in vertical p-n diodes.

  11. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  12. Gallium nitride on gallium oxide substrate for integrated nonlinear optics

    KAUST Repository

    Awan, Kashif M.; Dolgaleva, Ksenia; Mumthaz Muhammed, Mufasila; Roqan, Iman S.

    2017-01-01

    Gallium Nitride (GaN), being a direct bandgap semiconductor with a wide bandgap and high thermal stability, is attractive for optoelectronic and electronic applications. Furthermore, due to its high optical nonlinearity — the characteristic of all 111-V semiconductors — GaN is also expected to be a suitable candidate for integrated nonlinear photonic circuits for a plethora of apphcations, ranging from on-chip wavelength conversion to quantum computing. Although GaN devices are in commercial production, it still suffers from lack of a suitable substrate material to reduce structural defects like high densities of threading dislocations (TDs), stacking faults, and grain boundaries. These defects significandy deteriorate the optical quality of the epi-grown GaN layer, since they act as non-radiative recombination centers. Recent studies have shown that GaN grown on (−201) β-Gallium Oxide (Ga2O3) has superior optical quality due to a better lattice matching as compared to GaN grown on Sapphire (Al2O3) [1-3]. In this work, we report on the fabrication of GaN waveguides on GaiOj substrate and their optical characterization to assess their feasibihty for efficient four-wave mixing (FWM).

  13. Gallium nitride on gallium oxide substrate for integrated nonlinear optics

    KAUST Repository

    Awan, Kashif M.

    2017-11-22

    Gallium Nitride (GaN), being a direct bandgap semiconductor with a wide bandgap and high thermal stability, is attractive for optoelectronic and electronic applications. Furthermore, due to its high optical nonlinearity — the characteristic of all 111-V semiconductors — GaN is also expected to be a suitable candidate for integrated nonlinear photonic circuits for a plethora of apphcations, ranging from on-chip wavelength conversion to quantum computing. Although GaN devices are in commercial production, it still suffers from lack of a suitable substrate material to reduce structural defects like high densities of threading dislocations (TDs), stacking faults, and grain boundaries. These defects significandy deteriorate the optical quality of the epi-grown GaN layer, since they act as non-radiative recombination centers. Recent studies have shown that GaN grown on (−201) β-Gallium Oxide (Ga2O3) has superior optical quality due to a better lattice matching as compared to GaN grown on Sapphire (Al2O3) [1-3]. In this work, we report on the fabrication of GaN waveguides on GaiOj substrate and their optical characterization to assess their feasibihty for efficient four-wave mixing (FWM).

  14. Dark-field image contrast in transmission scanning electron microscopy: Effects of substrate thickness and detector collection angle

    Energy Technology Data Exchange (ETDEWEB)

    Woehl, Taylor, E-mail: tjwoehl@umd.edu; Keller, Robert

    2016-12-15

    An annular dark field (ADF) detector was placed beneath a specimen in a field emission scanning electron microscope operated at 30 kV to calibrate detector response to incident beam current, and to create transmission images of gold nanoparticles on silicon nitride (SiN) substrates of various thicknesses. Based on the linear response of the ADF detector diodes to beam current, we developed a method that allowed for direct determination of the percentage of that beam current forward scattered to the ADF detector from the sample, i.e. the transmitted electron (TE) yield. Collection angles for the ADF detector region were defined using a masking aperture above the detector and were systematically varied by changing the sample to detector distance. We found the contrast of the nanoparticles, relative to the SiN substrate, decreased monotonically with decreasing inner exclusion angle and increasing substrate thickness. We also performed Monte Carlo electron scattering simulations, which showed quantitative agreement with experimental contrast associated with the nanoparticles. Together, the experiments and Monte Carlo simulations revealed that the decrease in contrast with decreasing inner exclusion angle was due to a rapid increase in the TE yield of the low atomic number substrate. Nanoparticles imaged at low inner exclusion angles (<150 mrad) and on thick substrates (>50 nm) showed low image contrast in their centers surrounded by a bright high-contrast halo on their edges. This complex image contrast was predicted by Monte Carlo simulations, which we interpreted in terms of mixing of the nominally bright field (BF) and ADF electron signals. Our systematic investigation of inner exclusion angle and substrate thickness effects on ADF t-SEM imaging provides fundamental understanding of the contrast mechanisms for image formation, which in turn suggest practical limitations and optimal imaging conditions for different substrate thicknesses. - Highlights: • Developed a

  15. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  16. In situ measurement of low-Z material coating thickness on high Z substrate for tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Mueller, D., E-mail: dmueller@pppl.gov; Roquemore, A. L.; Jaworski, M.; Skinner, C. H.; Miller, J.; Creely, A. [Princeton Plasma Physics Laboratory, Princeton, New Jersey 08543 (United States); Raman, P.; Ruzic, D. [Department of Nuclear, Plasma, and Radiological Engineering, Center for Plasma Material Interaction, University of Illinois, Urbana, Illinois 61801 (United States)

    2014-11-15

    Rutherford backscattering of energetic particles can be used to determine the thickness of a coating of a low-Z material over a heavier substrate. Simulations indicate that 5 MeV alpha particles from an {sup 241}Am source can be used to measure the thickness of a Li coating on Mo tiles between 0.5 and 15 μm thick. Using a 0.1 mCi source, a thickness measurement can be accomplished in 2 h of counting. This technique could be used to measure any thin, low-Z material coating (up to 1 mg/cm{sup 2} thick) on a high-Z substrate, such as Be on W, B on Mo, or Li on Mo. By inserting a source and detector on a moveable probe, this technique could be used to provide an in situ measurement of the thickness of Li coating on NSTX-U Mo tiles. A test stand with an alpha source and an annular solid-state detector was used to investigate the measurable range of low-Z material thicknesses on Mo tiles.

  17. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  18. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  19. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    Science.gov (United States)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  20. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  1. Reaction products between Bi-Sr-Ca-Cu-oxide thick films and alumina substrates

    International Nuclear Information System (INIS)

    Alarco, J.A.; Ilushechkin, A.; Yamashita, T.; Bhargava, A.; Barry, J.; Mackinnon, I.D.R.

    1997-01-01

    The structure and composition of reaction products between Bi-Sr-Ca-Cu-oxide (BSCCO) thick films and alumina substrates have been characterized using a combination of electron diffraction, scanning electron microscopy and energy dispersive X-ray spectrometry (EDX). Sr and Ca are found to be the most reactive cations with alumina. Sr 4 Al 6 O 12 SO 4 is formed between the alumina substrates and BSCCO thick films prepared from paste with composition close to Bi-2212 (and Bi-2212+10 wt.% Ag). For paste with composition close to Bi(Pb)-2223 +20 wt.% Ag, a new phase with f.c.c. structure, lattice parameter about a=24.5 A and approximate composition Al 3 Sr 2 CaBi 2 CuO x has been identified in the interface region. Understanding and control of these reactions is essential for growth of high quality BSCCO thick films on alumina. (orig.)

  2. General Space-Confined On-Substrate Fabrication of Thickness-Adjustable Hybrid Perovskite Single-Crystalline Thin Films.

    Science.gov (United States)

    Chen, Yao-Xuan; Ge, Qian-Qing; Shi, Yang; Liu, Jie; Xue, Ding-Jiang; Ma, Jing-Yuan; Ding, Jie; Yan, Hui-Juan; Hu, Jin-Song; Wan, Li-Jun

    2016-12-21

    Organic-inorganic hybrid perovskite single-crystalline thin films (SCTFs) are promising for enhancing photoelectric device performance due to high carrier mobility, long diffusion length, and carrier lifetime. However, bulk perovskite single crystals available today are not suitable for practical device application due to the unfavorable thickness. Herein, we report a facile space-confined solution-processed strategy to on-substrate grow various hybrid perovskite SCTFs in a size of submillimeter with adjustable thicknesses from nano- to micrometers. These SCTFs exhibit photoelectric properties comparable to bulk single crystals with low defect density and good air stability. The clear thickness-dependent colors allow fast visual selection of SCTFs with a suitable thickness for specific device application. The present substrate-independent growth of perovskite SCTFs opens up opportunities for on-chip fabrication of diverse high-performance devices.

  3. Optical and Structural Characterizations of GaN Nano structures

    International Nuclear Information System (INIS)

    Shekari, L.; Abu Hassan, H.; Thahab, S.M.

    2011-01-01

    We have grown wurtzite GaN nano wires (NWs) on polished silicon (Si) either with or without Au as catalyst, using commercial GaN powder by thermal evaporation in an atmosphere of argon (Ar) gas. Structural and optical characterizations were performed using high resolution X-ray diffraction (HR-XRD), scanning electron microscopy (SEM), photoluminescence (PL) and energy-dispersive X-ray spectroscopy (EDX) spectroscopy. Results indicate that the nano wires are of single-crystal hexagonal GaN and the nano wires on Si with Au catalyst are more oriented than those without Au catalyst; and using catalyst make the NWs grow much faster and quite well-ordered. The compositional quality of the grown nano wires on the substrates are mostly same, however the nano wires on the Au coated silicon are of low density, while the nano wires on the Si are of high density. (author)

  4. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  5. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  6. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  7. Influence of a deep-level-defect band formed in a heavily Mg-doped GaN contact layer on the Ni/Au contact to p-GaN

    International Nuclear Information System (INIS)

    Li Xiao-Jing; Zhao De-Gang; Jiang De-Sheng; Chen Ping; Zhu Jian-Jun; Liu Zong-Shun; Yang Jing; He Xiao-Guang; Yang Hui; Zhang Li-Qun; Zhang Shu-Ming; Le Ling-Cong; Liu Jian-Ping

    2015-01-01

    The influence of a deep-level-defect (DLD) band formed in a heavily Mg-doped GaN contact layer on the performance of Ni/Au contact to p-GaN is investigated. The thin heavily Mg-doped GaN (p ++ -GaN) contact layer with DLD band can effectively improve the performance of Ni/Au ohmic contact to p-GaN. The temperature-dependent I–V measurement shows that the variable-range hopping (VRH) transportation through the DLD band plays a dominant role in the ohmic contact. The thickness and Mg/Ga flow ratio of p ++ -GaN contact layer have a significant effect on ohmic contact by controlling the Mg impurity doping and the formation of a proper DLD band. When the thickness of the p ++ -GaN contact layer is 25 nm thick and the Mg/Ga flow rate ratio is 10.29%, an ohmic contact with low specific contact resistivity of 6.97× 10 −4 Ω·cm 2 is achieved. (paper)

  8. Cracking of GaN on sapphire from etch-process-induced nonuniformity in residual thermal stress

    International Nuclear Information System (INIS)

    Lacroix, Yves; Chung, Sung-Hoon; Sakai, Shiro

    2001-01-01

    An experiment was performed to explain the appearance of cracks along mesa structures during the processing of GaN device layers grown on sapphire substrates. Micro-Raman spectroscopy was used to measure the position-dependent stress in the GaN layer. We show evidence that the stress at the interface with the substrate may be larger along the mesa structures than that of the as-grown layer, and that this increase in stress can be enough to induce cracks along mesa structures during processing. We report on the formation of cracks that propagate guided by the nonuniformity of the stress induced by the formation of mesa structures in the GaN layer, independent of crystal direction. The understanding of cracking mechanisms has implications in GaN-based device structures that require heteroepitaxial growth of layers with different lattice size and thermal expansion coefficients. [copyright] 2001 American Institute of Physics

  9. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  10. Fabrication of Fully Inkjet-Printed Vias and SIW Structures on Thick Polymer Substrates

    KAUST Repository

    Kim, Sangkil; Shamim, Atif; Georgiadis, Apostolos; Aubert, Herve; Tentzeris, Manos M.

    2016-01-01

    In this paper, a novel fully inkjet-printed via fabrication technology and various inkjet-printed substrate-integrated waveguide (SIW) structures on thick polymer substrates are presented. The electrical properties of polymethyl methacrylate (PMMA) are thoroughly studied up to 8 GHz utilizing the T-resonator method, and inkjet-printable silver nanoparticle ink on PMMA is characterized. A long via fabrication process up to 1 mm utilizing inkjet-printing technology is demonstrated, and its characteristics are presented for the first time. The inkjet-printed vias on 0.8-mm-thick substrate have a resistance of ∼ 0.2~ Ω . An equivalent circuit model of the inkjet-printed stepped vias is also discussed. An inkjet-printed microstrip-to-SIW interconnect and an SIW cavity resonator utilizing the proposed inkjet-printed via fabrication process are also presented. The design of the components and the fabrication steps are discussed, and the measured performances over the microwave frequency range of the prototypes are presented.

  11. Fabrication of Fully Inkjet-Printed Vias and SIW Structures on Thick Polymer Substrates

    KAUST Repository

    Kim, Sangkil

    2016-02-11

    In this paper, a novel fully inkjet-printed via fabrication technology and various inkjet-printed substrate-integrated waveguide (SIW) structures on thick polymer substrates are presented. The electrical properties of polymethyl methacrylate (PMMA) are thoroughly studied up to 8 GHz utilizing the T-resonator method, and inkjet-printable silver nanoparticle ink on PMMA is characterized. A long via fabrication process up to 1 mm utilizing inkjet-printing technology is demonstrated, and its characteristics are presented for the first time. The inkjet-printed vias on 0.8-mm-thick substrate have a resistance of ∼ 0.2~ Ω . An equivalent circuit model of the inkjet-printed stepped vias is also discussed. An inkjet-printed microstrip-to-SIW interconnect and an SIW cavity resonator utilizing the proposed inkjet-printed via fabrication process are also presented. The design of the components and the fabrication steps are discussed, and the measured performances over the microwave frequency range of the prototypes are presented.

  12. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  13. Synthesis and characteristics of sword-like GaN nanorods clusters through ammoniating Ga2O3 thin films

    International Nuclear Information System (INIS)

    Xue Chengshane; Tian Deheng; Zhuang Huizhao; Zhang Xiaokai; Wu Yuxin; Liu Yi'an; He Jianting; Ai Yujie

    2006-01-01

    Sword-like GaN nanorods have been successfully synthesized by ammoniating Ga 2 O 3 thin films deposited on Si substrate by magnetron sputtering. The GaN nanorods have been characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and selected area electron diffraction (SAED). SEM images show that sword-like GaN nanorods take on radial structure. The XRD and SAED analyses have identified that the nanorods are pure hexagonal GaN with single crystalline wurtzite structure. The HRTEM images indicate that the nanorods are well crystallized and nearly free from defects

  14. Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching

    International Nuclear Information System (INIS)

    Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.

    2015-01-01

    Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer

  15. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  16. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery

    International Nuclear Information System (INIS)

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-01-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10 15 cm −3 , by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. - Highlights: • Ni-63 is employed as the pure beta radioisotope source. • The Schottky junction betavoltaic battery is based on the wide-band gap semiconductor GaN. • The total energy deposition of incident beta particles in GaN was simulated by the Monte Carlo method. • A Fe-doped compensation technique is suggested to increase the energy conversion efficiency

  17. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  18. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  19. Synthesis and characterization of silicon-doped polycrystalline GaN ...

    Indian Academy of Sciences (India)

    Silicon-doped polycrystalline GaN films were successfully deposited at temperatures ranging from 300 to 623 K on fused silica and silicon substrates by radio frequency (r.f.) magnetron sputtering at a system pressure of ~ 5 Pa. The films were characterized by optical as well as microstructural measurements. The optical ...

  20. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    Science.gov (United States)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  1. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  2. Stopping Power and Energy Straggling of Channeled He-Ions in GaN

    International Nuclear Information System (INIS)

    Turos, A.; Ratajczak, R.; Pagowska, K.; Nowicki, L.; Stonert, A.; Caban, P.

    2011-01-01

    GaN epitaxial layers are usually grown on sapphire substrates. To avoid disastrous effect of the large lattice mismatch a thin polycrystalline nucleation layer is grown at 500 o C followed by the deposition of thick GaN template at much higher temperature. Remnants of the nucleation layer were visualized by transmission electron microscopy as defect agglomeration at the GaN/sapphire interface and provide a very useful depth marker for the measurement of channeled ions stopping power. Random and aligned spectra of He ions incident at energies ranging from 1.7 to 3.7 MeV have been measured and evaluated using the Monte Carlo simulation code McChasy. Impact parameter dependent stopping power has been calculated for channeling direction and its parameters have been adjusted according to experimental data. For virgin, i.e. as grown, samples, the ratio of channeled to random stopping power is constant and amounts to 0.7 in the energy range studied. Defects produced by ion implantation largely influence the stopping power. For channeled ions the variety of possible trajectories leads to different energy loss at a given depth, thus resulting in much larger energy straggling than that for the random path. Beam energy distributions at different depths have been calculated using the McChasy code. They are significantly broader than those predicted by the Bohr formula for random direction. (author)

  3. Growth of high quality GaN epilayer on AlInN/GaN/AlInN/GaN multilayer buffer and its device characteristics

    International Nuclear Information System (INIS)

    Lee, Suk-Hun; Lee, Hyun-Hwi; Jung, Jong-Jae; Moon, Young-Bu; Kim, Tae Hoon; Baek, Jong Hyeob; Yu, Young Moon

    2004-01-01

    The role of AlInN 1st /GaN/AlInN 2nd /GaN multi-layer buffer (MLB) on the growth of the high quality GaN epilayers was demonstrated by atomic force microscope (AFM), X-ray diffraction (XRD), photoluminescence, and Hall measurement. The surface morphology and crystalline quality of GaN epilayers were considerably dependent on AlInN layers thicknesses rather than those of GaN inter layers. With optimal thickness of 2 nd AlInN layer, the pit density of GaN epilayers was substantially reduced. Also, the RMS roughness of the well ordered terraces generated on the GaN surface was 1.8 A at 5 x 5 μm 2 . The omega-rocking width of GaN(0002) Bragg peak and Hall mobility of GaN epilayers grown on AlInN 1st /GaN/AlInN 2nd /GaN MLB were 190 arcsec and 500 cm 2 /Vs, while those values of GaN epilayers on single GaN buffer layer were 250 arcsec and 250 cm 2 /Vs, respectively. Especially, the light output power and operating voltage of the fabricated light emitting diodes with this new buffer layer was about 5 mW and 3.1 V (dominant luminous wavelength ∝460 nm) at 20 mA, respectively. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Investigation and comparison of GaN nanowire nucleation and growth by the catalyst-assisted and self-induced approaches

    Energy Technology Data Exchange (ETDEWEB)

    Cheze, Caroline

    2010-03-04

    This work focuses on the nucleation and growth mechanisms of GaN nanowires (NWs) by molecular beam epitaxy (MBE). The two main novelties of this study are the intensive employment of in-situ techniques and the direct comparison of selfinduced and catalyst-induced NWs. On silicon substrates, GaN NWs form in MBE without the use of any external catalyst seed. On sapphire, in contrast, NWs grow under identical conditions only in the presence of Ni seeds. NW nucleation was studied in situ by reflection high-energy electron diffraction (RHEED) in correlation with line-of-sight quadrupole mass spectrometry (QMS). The latter technique allows to monitor the incorporated amount of Ga. For the catalyst-assisted approach, three nucleation stages were identified: first incorporation of Ga into the Ni seeds, second transformation of the seed crystal structure due to Ga accumulation, and last GaN growth under the seeds. The crystalline structure of the seeds during the first two stages is in accord with the Ni-Ga binary phase diagram and evidenced that only Ga incorporates into the Ni particles. GaN forms only after the Ga concentration is larger than the one of Ni. The observation of diffraction patterns generated by the Ni-Ga seed particles during the whole nucleation evidences the solid state of the seeds. Moreover, the QMS study showed that it is not Ga incorporation into Ni but GaN nucleation itself that limits the growth processes. For the self-induced NWs, QMS and RHEED investigations indicate very similar nucleation processes on Si(001) and Si(111) and two nucleation stages were identified. Transmission electron microscopy on samples grown on Si(001) revealed that the first stage is characterized by the competition between the nucleation of crystalline Si{sub x}N{sub y} and GaN. During this stage, the Si surface strongly roughens by the formation of pits and Si mounds. At the same time, very few GaN islands nucleate. During the second stage, the amorphization of the Si

  5. Free-standing GaN grating couplers and rib waveguide for planar photonics at telecommunication wavelength

    Science.gov (United States)

    Liu, Qifa; Wang, Wei

    2018-01-01

    Gallium Nitride (GaN) free-standing planar photonic device at telecommunication wavelength based on GaN-on-silicon platform was presented. The free-standing structure was realized by particular double-side fabrication process, which combining GaN front patterning, Si substrate back releasing and GaN slab etching. The actual device parameters were identified via the physical characterizations employing scanning electron microscope (SEM), atomic force microscope (AFM) and reflectance spectra testing. High coupling efficiency and good light confinement properties of the gratings and rib waveguide at telecommunication wavelength range were verified by finite element method (FEM) simulation. This work illustrates the potential of new GaN photonic structure which will enable new functions for planar photonics in communication and sensing applications, and is favorable for the realization of integrated optical circuit.

  6. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  7. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    Science.gov (United States)

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  8. Electron beam and optical depth profiling of quasibulk GaN

    International Nuclear Information System (INIS)

    Chernyak, L.; Osinsky, A.; Nootz, G.; Schulte, A.; Jasinski, J.; Benamara, M.; Liliental-Weber, Z.; Look, D. C.; Molnar, R. J.

    2000-01-01

    Electron beam and optical depth profiling of thick (5.5--64 μm) quasibulk n-type GaN samples, grown by hydride vapor-phase epitaxy, were carried out using electron beam induced current (EBIC), microphotoluminescence (PL), and transmission electron microscopy (TEM). The minority carrier diffusion length, L, was found to increase linearly from 0.25 μm, at a distance of about 5 μm from the GaN/sapphire interface, to 0.63 μm at the GaN surface, for a 36-μm-thick sample. The increase in L was accompanied by a corresponding increase in PL band-to-band radiative transition intensity as a function of distance from the GaN/sapphire interface. We attribute the latter changes in PL intensity and minority carrier diffusion length to a reduced carrier mobility and lifetime at the interface, due to scattering at threading dislocations. The results of EBIC and PL measurements are in good agreement with the values for dislocation density obtained using TEM

  9. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  11. Effect of thickness and cold substrate on transport properties of thermally evaporated CdTe thin films

    International Nuclear Information System (INIS)

    El-Mongy, A.Abd; Hashem, H.M.; Ramadan, A.A.

    2005-01-01

    The correlation between the structural characteristics (stoichiometry and crystallite size) of CdTe films and their electronic transport properties were the aims of the present study to bring attention to the dual importance of grain size and conversion of the semiconductivity type with changing film thickness. Two main parameters were considered: the substrate temperature and film thickness. Transport properties were influenced by grain boundaries as well as by native doping. Optical measurements showed two main direct transitions at energies: E 1 ∼1.55 eV (fundamental gap) and E 2 ∼2.49 eV (due to valence band splitting). Both transitions were found to be thickness dependent with a marked change at a film thickness of about 300 nm. In the case of low substrate temperature, the scaling relation between resistivity and grain size showed a deviation from linear behavior at a size of 20 nm and the transmission coefficient is reduced. Also, the deposition on cold substrate enhanced both dark and photoconductivity for films of thickness ≥300 nm. It is also proved that the carrier transport was affected by the transmission coef-ficient for carriers to pass a single grain boundary as well as the number of grain boundaries per mean free path. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Effect of thickness and cold substrate on transport properties of thermally evaporated CdTe thin films

    Energy Technology Data Exchange (ETDEWEB)

    El-Mongy, A.Abd; Hashem, H.M.; Ramadan, A.A. [Physics Department, Faculty of Science, Helwan University, Helwan, Cairo (Egypt)

    2005-08-01

    The correlation between the structural characteristics (stoichiometry and crystallite size) of CdTe films and their electronic transport properties were the aims of the present study to bring attention to the dual importance of grain size and conversion of the semiconductivity type with changing film thickness. Two main parameters were considered: the substrate temperature and film thickness. Transport properties were influenced by grain boundaries as well as by native doping. Optical measurements showed two main direct transitions at energies: E{sub 1} {approx}1.55 eV (fundamental gap) and E{sub 2}{approx}2.49 eV (due to valence band splitting). Both transitions were found to be thickness dependent with a marked change at a film thickness of about 300 nm. In the case of low substrate temperature, the scaling relation between resistivity and grain size showed a deviation from linear behavior at a size of 20 nm and the transmission coefficient is reduced. Also, the deposition on cold substrate enhanced both dark and photoconductivity for films of thickness {>=}300 nm. It is also proved that the carrier transport was affected by the transmission coef-ficient for carriers to pass a single grain boundary as well as the number of grain boundaries per mean free path. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Thickness measurement of soft thin films on periodically patterned magnetic substrates by phase difference magnetic force microscopy.

    Science.gov (United States)

    Passeri, D; Dong, C; Angeloni, L; Pantanella, F; Natalizi, T; Berlutti, F; Marianecci, C; Ciccarello, F; Rossi, M

    2014-01-01

    The need for accurate measurement of the thickness of soft thin films is continuously encouraging the development of techniques suitable for this purpose. We propose a method through which the thickness of the film is deduced from the quantitative measurement of the contrast in the phase images of the sample surface acquired by magnetic force microscopy, provided that the film is deposited on a periodically patterned magnetic substrate. The technique is demonstrated by means of magnetic substrates obtained from standard floppy disks. Colonies of Staphylococcus aureus adherent to such substrates were used to obtain soft layers with limited lateral (a few microns) and vertical (hundreds of nanometers) size. The technique is described and its specific merits, limitations and potentialities in terms of accuracy and measurable thickness range are discussed. These parameters depend on the characteristics of the sensing tip/cantilever as well as of the substrates, the latter in terms of spatial period and homogeneity of the magnetic domains. In particular, with the substrates used in this work we evaluated an uncertainty of about 10%, a limit of detection of 50-100 nm and an upper detection limit (maximum measurable thickness) of 1 μm, all obtained with standard lift height values (50-100 nm). Nonetheless, these parameters can be easily optimized by selecting/realizing substrates with suitable spacing and homogeneity of the magnetic domains. For example, the upper detection limit can be increased up to 25-50 μm while the limit of detection can be reduced to a few tens of nanometers or a few nanometers. © 2013 Elsevier B.V. All rights reserved.

  14. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  15. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1−xN Buffer Layer

    Directory of Open Access Journals (Sweden)

    Chang-Ju Lee

    2017-07-01

    Full Text Available The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded AlxGa−xN buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded AlxGa1−xN buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10−2 A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  16. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    Science.gov (United States)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  17. Effect of substrate thickness on ejection of phenylalanine molecules adsorbed on free-standing graphene bombarded by 10 keV C{sub 60}

    Energy Technology Data Exchange (ETDEWEB)

    Golunski, M. [Institute of Physics, Jagiellonian University, ul. Lojasiewicza 11, 30-348 Krakow (Poland); Verkhoturov, S.V.; Verkhoturov, D.S.; Schweikert, E.A. [Department of Chemistry, Texas A& M University, College Station, TX 77840 (United States); Postawa, Z., E-mail: zbigniew.postawa@uj.edu.pl [Institute of Physics, Jagiellonian University, ul. Lojasiewicza 11, 30-348 Krakow (Poland)

    2017-02-15

    Highlights: • Substrate thickness has a prominent effect on the molecular ejection mechanism. • Collisions with projectile atoms leads to molecular ejection at thin substrates. • Interactions with deforming graphene sheet ejects molecules from thicker substrates. • Probability of fragmentation process decreases with the graphene substrate thickness. - Abstract: Molecular dynamics computer simulations have been employed to investigate the effect of substrate thickness on the ejection mechanism of phenylalanine molecules deposited on free-standing graphene. The system is bombarded from the graphene side by 10 keV C{sub 60} projectiles at normal incidence and the ejected particles are collected both in transmission and reflection directions. It has been found that the ejection mechanism depends on the substrate thickness. At thin substrates mostly organic fragments are ejected by direct collisions between projectile atoms and adsorbed molecules. At thicker substrates interaction between deforming topmost graphene sheet and adsorbed molecules becomes more important. As this process is gentle and directionally correlated, it leads predominantly to ejection of intact molecules. The implications of the results to a novel analytical approach in Secondary Ion Mass Spectrometry based on ultrathin free-standing graphene substrates and a transmission geometry are discussed.

  18. A comparative study on MOVPE InN grown on Ga- and N-polarity bulk GaN

    International Nuclear Information System (INIS)

    Wang, W.J.; Miwa, H.; Hashimoto, A.; Yamamoto, A.

    2006-01-01

    The influence of substrate polarity on the growth of InN film by MOVPE was investigated using bulk GaN as a substrate. Single-crystalline In- and N-polarity InN films were obtained on Ga- and N-polarity GaN substrate, respectively. Significant difference of the morphologies between the In- and N-polarity InN films was found. For the In-polarity InN film, the morphology was similar to that grown on sapphire substrate. The film surface was consisted of grains with small facets. In contrast, for the N-polarity InN film, the surface was consisted of large hexagonal shape crystal grains with flat surface. The grain size was about 2 μm in diameter on the average, and two-dimensional growth was enhanced obviously for each crystal grain. The influence of the growth temperature on the morphology, polarity, and optical property was also investigated. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  20. Effect of film thickness, type of buffer layer, and substrate temperature on the morphology of dicyanovinyl-substituted sexithiophene films

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A., E-mail: alexander.levin@iapp.de [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Levichkova, Marieta [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Heliatek GmbH, 01187 Dresden (Germany); Hildebrandt, Dirk; Klisch, Marina; Weiss, Andre [Heliatek GmbH, 01187 Dresden (Germany); Wynands, David; Elschner, Chris [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Pfeiffer, Martin [Heliatek GmbH, 01187 Dresden (Germany); Leo, Karl; Riede, Moritz [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2012-01-31

    The influence of film thickness, type of buffer underlayer, and deposition substrate temperature on the crystal structure, microstructure, and morphology of the films of dicyanovinyl-substituted sexithiophene with four butyl-chains (DCV6T-Bu{sub 4}) is investigated by means of X-ray diffraction (XRD) and X-ray reflectivity methods. A neat Si wafer or a Si wafer covered by a 15 nm buffer underlayer of fullerene C{sub 60} or 9,9-Bis[4-(N,N-bis-biphenyl-4-yl-amino)phenyl]-9H-fluorene (BPAPF) is used as a substrate. The crystalline nature and ordered molecular arrangement of the films are recorded down to 6 nm film thickness. By using substrates heated up to 90 Degree-Sign C during the film deposition, the size of the DCV6T-Bu{sub 4} crystallites in direction perpendicular to the film surface increases up to value of the film thickness. With increasing deposition substrate temperature or film thickness, the DCV6T-Bu{sub 4} film relaxes, resulting in reducing the interplane distances closer to the bulk values. For the films of the same thickness deposited at the same substrate temperature, the DCV6T-Bu{sub 4} film relaxes for growth on Si to BPAPF to C{sub 60}. Thicker films grown at heated substrates are characterized by smaller density, higher roughness and crystallinity and better molecular ordering. A thin (up to about 6 nm-thick) intermediate layer with linear density-gradient is formed at the C{sub 60}/DCV6T-Bu{sub 4} interface for the films with buffer C{sub 60} layer. The XRD pattern of the DCV6T-Bu{sub 4} powder is indexed using triclinic unit cell parameters.

  1. Growth and characterization of Fe nanostructures on GaN

    International Nuclear Information System (INIS)

    Honda, Yuya; Hayakawa, Satoko; Hasegawa, Shigehiko; Asahi, Hajime

    2009-01-01

    We have investigated the growth of Fe nanostructures on GaN(0 0 0 1) substrates at room temperature using reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and superconducting quantum interference device magnetometer. Initially, a ring RHEED pattern appears, indicating the growth of polycrystalline α-Fe. At around 0.5 nm deposition, the surface displays a transmission pattern from α-Fe films with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[1 -1 1]//GaN[1 1 -2 0] (Kurdjumov-Sachs (KS) orientational relationship). Further deposition to 1 nm results in the appearance of a new spot pattern together with the pattern from domains with the KS orientation relationship. The newly observed pattern shows that Fe layers are formed with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[0 0 1]//GaN[1 1 -2 0] (Nishiyama-Wasserman (NW) orientational relationship). From STM images for Fe layers with the KS and NW orientational relationships, it can be seen that Fe layers with the KS relationship consist of round-shaped Fe nanodots with below 7 nm in average diameter. These nanodots coalesce to form nanodots elongating along the Fe[1 0 0] direction, and they have the KS orientational relationship. Elongated Fe nanodots with the NW relationship show ferromagnetism while round-shaped Fe nanodots with the KS relationship show super-paramagnetic behavior. We will discuss their magnetic properties in connection with the change in crystalline configurations of nanodots.

  2. Structural and morphological properties of GaN buffer layers grown by ammonia molecular beam epitaxy on SiC substrates for AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Corrion, A. L.; Poblenz, C.; Wu, F.; Speck, J. S.

    2008-01-01

    The impact of growth conditions on the surface morphology and structural properties of ammonia molecular beam epitaxy GaN buffers layers on SiC substrates was investigated. The threading dislocation (TD) density was found to decrease with decreasing NH 3 :Ga flux ratio, which corresponded to an increase in surface roughness and reduction in residual compressive lattice mismatch stress. Furthermore, the dislocation density and compressive stress decreased for increasing buffer thickness. TD inclination was proposed to account for these observations. Optimized surface morphologies were realized at high NH 3 :Ga flux ratios and were characterized by monolayer-high steps, spiral hillocks, and pyramidal mounds, with rms roughness of ∼1.0 nm over 2x2 μm 2 atomic force microscopy images. Smooth surface morphologies were realized over a large range of growth temperatures and fluxes, and growth rates of up to 1 μm/h were achieved. TD densities in the buffers as low as 3x10 9 cm -2 were demonstrated. These buffers were highly insulating and were used in recently reported AlGaN/GaN HEMTs with power densities of >11 W/mm at 4 and 10 GHz

  3. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  4. Study of GaN nanorods converted from β-Ga2O3

    Science.gov (United States)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  5. Defect structure in m-plane GaN grown on LiAlO{sub 2} using metalorganic and hydride vapour phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Richter, Eberhard; Knauer, Arne; Brunner, Frank; Weyers, Markus [FBH Berlin (Germany); Mogliatenko, Anna; Neumann, Wolfgang [AG Kristallographie, Institut fuer Physik, HU Berlin (Germany); Kneissl, Michael [FBH Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2008-07-01

    The FWHM of symmetric (10 anti 10) XRD rocking curves of m-plane GaN grown on LiAlO{sub 2} is anisotropic. By investigating the microstructure with transmission electron microscopy (TEM) we identified basal plane stacking faults (BSF) and stacking mismatch boundaries (SMB) in the GaN layers. BSFs are aligned in-plane along the a-direction and therefore cause an anisotropic broadening of the FWHM{sub (10 anti 10)} with incidence along [0001]. SMBs have no preferential direction and hence result in an isotropic broadening of the FWHM{sub (10 anti 10)}. We observed that this anisotropy can be reduced by lowering the MOVPE growth temperature. We propose that the lowering of the growth temperature leads to a reduction of BSFs which is accompanied by an increase in SMBs. The MOVPE grown layers were used as templates for the growth of 200 {mu}m thick m-plane GaN layers by HVPE. During HVPE growth the LiAlO{sub 2} substrate thermally decomposed and peeled off after cool-down. On the surface a network of cracks not being aligned to crystallographic directions was found. The layers were not transparent probably due to metallic Ga inclusions and exhibited an asymmetric bow according to the lattice anisotropy of the (100) LiAlO{sub 2} surface.

  6. Heteroepitaxial Patterned Growth of Vertically Aligned and Periodically Distributed ZnO Nanowires on GaN Using Laser Interference Ablation

    KAUST Repository

    Yuan, Dajun

    2010-08-23

    A simple two-step method of fabricating vertically aligned and periodically distributed ZnO nanowires on gallium nitride (GaN) substrates is described. The method combines laser interference ablation (LIA) and low temperature hydrothermal decomposition. The ZnO nanowires grow heteroepitaxially on unablated regions of GaN over areas spanning 1 cm2, with a high degree of control over size, orientation, uniformity, and periodicity. High resolution transmission electron microscopy and scanning electron microscopy are utilized to study the structural characteristics of the LIA-patterned GaN substrate in detail. These studies reveal the possible mechanism for the preferential, site-selective growth of the ZnO nanowires. The method demonstrates high application potential for wafer-scale integration into sensor arrays, piezoelectric devices, and optoelectronic devices. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Measurement of the thickness of a target deposited in a substrate

    International Nuclear Information System (INIS)

    Martinez Q, E.; Aguilera, E.F.

    1990-12-01

    Being based on the Elastic scattering and in the Energy losses that suffer a projectile to the interacting with the matter, a method that allows to determine the thickness of a target deposited in a more heavy substrate is presented. The obtained results are consistent with that waited and the derived errors of the method are small. The used technique allows to reduce in considerable form the systematic errors coming from the calibration of the equipment. It is considered that this method is applicable in an interval of thickness quite wide and for many materials since it is only necessary to choose the projectile type and the energy of the same one appropriately. (Author)

  8. Structure guided GANs

    Science.gov (United States)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  9. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    Science.gov (United States)

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  10. Selective heteroepitaxy on deeply grooved substrate: A route to low cost semipolar GaN platforms of bulk quality

    Energy Technology Data Exchange (ETDEWEB)

    Tendille, Florian, E-mail: florian.tendille@crhea.cnrs.fr; Vennéguès, Philippe; De Mierry, Philippe [CRHEA - CNRS (Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications), Rue Bernard Gregory, Parc de Sophia Antipolis, 06560 Valbonne (France); Martin, Denis; Grandjean, Nicolas [Institute of Physics, EPFL, CH-1015 Lausanne (Switzerland)

    2016-08-22

    Semipolar GaN crystal stripes larger than 100 μm with dislocation densities below 5 × 10{sup 6} cm{sup −2} are achieved using a low cost fabrication process. An original sapphire patterning procedure is proposed, enabling selective growth of semipolar oriented GaN stripes while confining the defects to specific areas. Radiative and non-radiative crystalline defects are investigated by cathodoluminescence and can be correlated to the development of crystal microstructure during the growth process. A dislocation reduction mechanism, supported by transmission electron microscopy, is proposed. This method represents a step forward toward low-cost quasi-bulk semipolar GaN epitaxial platforms with an excellent structural quality which will allow for even more efficient III-nitride based devices.

  11. X-ray determination of strain in ion implanted GaN

    International Nuclear Information System (INIS)

    Qadri, S.B.; Molnar, B.; Yousuf, M.; Carosella, C.A.

    2002-01-01

    The out-of-plane c, and in-plane a, lattice parameters of wurtzite gallium nitride (GaN) films, grown on the [0 0 0 1] basal plane of sapphire have been determined and the impact of ion implantation having dose between 5x10 13 and 5x10 15 cm -2 investigated. The thickness of the GaN layers was in the 1-3.5 μm range. The overall effect of the (0 0 0 1) GaN growth on (0 0 0 1) sapphire is biaxial compression in wurtzite α-GaN. Earlier X-ray studies have indicated that the films of GaN grow either purely in α-GaN phase or in α-GaN phase with a cubic β-GaN component. In contrast, our high-resolution X-ray diffraction (XRD) measurement revealed two isostructural polymorphs of α-GaN phases having different lattice parameters. Influence of ion implantation is to increase the values of lattice parameters a and c and could be rationalized in terms of an increase in the defects. At doses above 5x10 15 cm -2 , XRD analysis indicates the existence of an amorphous layer preventing the determination of a and c accurately

  12. Substrate dependence of TM-polarized light emission characteristics of BAlGaN/AlN quantum wells

    Science.gov (United States)

    Park, Seoung-Hwan; Ahn, Doyeol

    2018-06-01

    To study the substrate dependence of light emission characteristics of transverse-magnetic (TM)-polarized light emitted from BAlGaN/AlN quantum wells (QWs) grown on GaN and AlN substrates were investigated theoretically. It is found that the topmost valence subband for QW structures grown on AlN substrate, is heavy hole state (HH1) while that for QW structures grown on GaN substrate is crystal-field split off light hole state (CL1), irrespective of the boron content. Since TM-polarized light emission is associated with the light hole state, the TM-polarized emission peak of BAlGaN/AlN QW structures grown on GaN substrate is expected to be much larger than that of the QW structure grown on AlN substrate. Also, both QW structures show that the spontaneous emission peak of BAlGaN/AlN QW structures would be improved with the inclusion of the boron. However, it rapidly begins to decrease when the boron content exceeds a critical value.

  13. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  14. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  15. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  16. ALD grown nanostructured ZnO thin films: Effect of substrate temperature on thickness and energy band gap

    Directory of Open Access Journals (Sweden)

    Javed Iqbal

    2016-10-01

    Full Text Available Nanostructured ZnO thin films with high transparency have been grown on glass substrate by atomic layer deposition at various temperatures ranging from 100 °C to 300 °C. Efforts have been made to observe the effect of substrate temperature on the thickness of the deposited thin films and its consequences on the energy band gap. A remarkably high growth rate of 0.56 nm per cycle at a substrate temperature of 200 °C for ZnO thin films have been achieved. This is the maximum growth rate for ALD deposited ZnO thin films ever reported so far to the best of our knowledge. The studies of field emission scanning electron microscopy and X-ray diffractometry patterns confirm the deposition of uniform and high quality nanosturtured ZnO thin films which have a polycrystalline nature with preferential orientation along (100 plane. The thickness of the films deposited at different substrate temperatures was measured by ellipsometry and surface profiling system while the UV–visible and photoluminescence spectroscopy studies have been used to evaluate the optical properties of the respective thin films. It has been observed that the thickness of the thin film depends on the substrate temperatures which ultimately affect the optical and structural parameters of the thin films.

  17. The GaN trench gate MOSFET with floating islands: High breakdown voltage and improved BFOM

    Science.gov (United States)

    Shen, Lingyan; Müller, Stephan; Cheng, Xinhong; Zhang, Dongliang; Zheng, Li; Xu, Dawei; Yu, Yuehui; Meissner, Elke; Erlbacher, Tobias

    2018-02-01

    A novel GaN trench gate (TG) MOSFET with P-type floating islands (FLI) in drift region, which can suppress the electric field peak at bottom of gate trench during the blocking state and prevent premature breakdown in gate oxide, is proposed and investigated by TCAD simulations. The influence of thickness, position, doping concentration and length of the FLI on breakdown voltage (BV) and specific on-resistance (Ron_sp) is studied, providing useful guidelines for design of this new type of device. Using optimized parameters for the FLI, GaN FLI TG-MOSFET obtains a BV as high as 2464 V with a Ron_sp of 3.0 mΩ cm2. Compared to the conventional GaN TG-MOSFET with the same structure parameters, the Baliga figure of merit (BFOM) is enhanced by 150%, getting closer to theoretical limit for GaN devices.

  18. Site-selective spectroscopy of Er in GaN

    International Nuclear Information System (INIS)

    Dierolf, V.; Sandmann, C.; Zavada, J.; Chow, P.; Hertog, B.

    2004-01-01

    We investigated different Er 3+ defect sites found in Er-doped GaN layers by site-selective combined excitation-emission spectroscopy and studied the role of these sites in different direct and multistep excitation schemes. The layers were grown by molecular beam epitaxy and were 200 nm thick. Two majority sites were found along with several minority sites. The sites strongly differ in excitation and energy transfer efficiencies as well as branching ratios during relaxation. For this reason, relative emission intensities from these sites depend strongly on emission and excitation. The sites were identified for several transitions and a comprehensive list of energy levels has been compiled. One of the minority sites appears strongly under ultraviolet excitation above the GaN band gap suggesting that this site is an excellent trap for excitation energy of electron-hole pairs

  19. GaN CVD Reactions: Hydrogen and Ammonia Decomposition and the Desorption of Gallium

    International Nuclear Information System (INIS)

    Bartram, Michael E.; Creighton, J. Randall

    1999-01-01

    Isotopic labeling experiments have revealed correlations between hydrogen reactions, Ga desorption, and ammonia decomposition in GaN CVD. Low energy electron diffraction (LEED) and temperature programmed desorption (TPD) were used to demonstrate that hydrogen atoms are available on the surface for reaction after exposing GaN(0001) to deuterium at elevated temperatures. Hydrogen reactions also lowered the temperature for Ga desorption significantly. Ammonia did not decompose on the surface before hydrogen exposure. However, after hydrogen reactions altered the surface, N 15 H 3 did undergo both reversible and irreversible decomposition. This also resulted in the desorption of N 2 of mixed isotopes below the onset of GaN sublimation, This suggests that the driving force of the high nitrogen-nitrogen bond strength (226 kcal/mol) can lead to the removal of nitrogen from the substrate when the surface is nitrogen rich. Overall, these findings indicate that hydrogen can influence G-aN CVD significantly, being a common factor in the reactivity of the surface, the desorption of Ga, and the decomposition of ammonia

  20. Mosaic Structure Characterization of the AlInN Layer Grown on Sapphire Substrate

    Directory of Open Access Journals (Sweden)

    Engin Arslan

    2014-01-01

    Full Text Available The 150 nm thick, (0001 orientated wurtzite-phase Al1−xInxN epitaxial layers were grown by metal organic chemical vapor deposition on GaN (2.3 µm template/(0001 sapphire substrate. The indium (x concentration of the Al1−xInxN epitaxial layers was changed as 0.04, 0.18, 0.20, 0.47, and 0.48. The Indium content (x, lattice parameters, and strain values in the AlInN layers were calculated from the reciprocal lattice mapping around symmetric (0002 and asymmetric (10–15 reflection of the AlInN and GaN layers. The mosaic structure characteristics of the AlInN layers, such as lateral and vertical coherence lengths, tilt and twist angle, heterogeneous strain, and dislocation densities (edge and screw type dislocations of the AlInN epilayers, were investigated by using high-resolution X-ray diffraction measurements and with a combination of Williamson-Hall plot and the fitting of twist angles.

  1. The thickness design of unintentionally doped GaN interlayer matched with background doping level for InGaN-based laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Chen, P.; Zhao, D. G., E-mail: dgzhao@red.semi.ac.cn; Jiang, D. S.; Zhu, J. J.; Liu, Z. S.; Yang, J.; Li, X.; Le, L. C.; He, X. G.; Liu, W.; Li, X. J.; Liang, F. [State Key Laboratory of Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, B. S.; Yang, H. [Key Laboratory of Nano-devices and Applications of CAS, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Zhang, Y. T.; Du, G. T. [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130023 (China)

    2016-03-15

    In order to reduce the internal optical loss of InGaN laser diodes, an unintentionally doped GaN (u-GaN) interlayer is inserted between InGaN/GaN multiple quantum well active region and Al{sub 0.2}Ga{sub 0.8}N electron blocking layer. The thickness design of u-GaN interlayer matching up with background doping level for improving laser performance is studied. It is found that a suitably chosen u-GaN interlayer can well modulate the optical absorption loss and optical confinement factor. However, if the value of background doping concentration of u-GaN interlayer is too large, the output light power may decrease. The analysis of energy band diagram of a LD structure with 100 nm u-GaN interlayer shows that the width of n-side depletion region decreases when the background concentration increases, and may become even too small to cover whole MQW, resulting in a serious decrease of the output light power. It means that a suitable interlayer thickness design matching with the background doping level of u-GaN interlayer is significant for InGaN-based laser diodes.

  2. The thickness design of unintentionally doped GaN interlayer matched with background doping level for InGaN-based laser diodes

    Directory of Open Access Journals (Sweden)

    P. Chen

    2016-03-01

    Full Text Available In order to reduce the internal optical loss of InGaN laser diodes, an unintentionally doped GaN (u-GaN interlayer is inserted between InGaN/GaN multiple quantum well active region and Al0.2Ga0.8N electron blocking layer. The thickness design of u-GaN interlayer matching up with background doping level for improving laser performance is studied. It is found that a suitably chosen u-GaN interlayer can well modulate the optical absorption loss and optical confinement factor. However, if the value of background doping concentration of u-GaN interlayer is too large, the output light power may decrease. The analysis of energy band diagram of a LD structure with 100 nm u-GaN interlayer shows that the width of n-side depletion region decreases when the background concentration increases, and may become even too small to cover whole MQW, resulting in a serious decrease of the output light power. It means that a suitable interlayer thickness design matching with the background doping level of u-GaN interlayer is significant for InGaN-based laser diodes.

  3. Investigations on 40 MeV Li3+ ions irradiated GaN epilayers

    International Nuclear Information System (INIS)

    Suresh Kumar, V.; Kumar, J.; Kanjilal, D.; Asokan, K.; Mohanty, T.; Tripathi, A.; Rossi, Francisca; Zappettini, A.; Lazzarani, L.; Ferrari, C.

    2008-01-01

    The Metal Organic Chemical Vapour Deposition (MOCVD) grown n-type Gallium nitride (GaN) layers on sapphire (0 0 0 1) substrates have been irradiated at low and room temperatures with 40 MeV Li 3+ ions at the fluence of 1 x 10 13 ions cm -2 . Irradiated samples were characterised by using X-ray diffraction (XRD), photoluminescence (PL), Raman spectroscopy and atomic force microscopy (AFM). XRD results show that the formation of Ga 2 O 3 has been observed upon irradiation. This is due to interface mixing of GaN/Al 2 O 3 , at both temperatures. Also the GaN (0 0 0 2) peak splits into two at low temperature irradiation. PL measurements show a yellow emission band shift towards blue band side upon irradiation at 77 K. Raman studies indicate that the lattice disorder is high at room temperature irradiation compared to low temperature irradiation. AFM images indicate the increasing surface roughness after ion irradiation at room temperature when compared to pristine GaN and low temperature irradiated GaN. These observations are discussed in detail with the use of complementary techniques

  4. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  5. GaN への Mg イオン注入によるp 型層形成の検討

    OpenAIRE

    西城, 祐亮

    2016-01-01

    A selective area doping technology is required for making high performance GaN devices. Usually,ion implantation is used as a method of the selective area doping, but formation of the p-type conductive layer by ion implantation has been difficult for GaN. Mg-ion implanted layers in n--GaN on a high quality free-standing GaN substrate show p-type conduction after high temperature annealing at 1230°C,but Implanted layer consisted of uniform p-type crystalline area and localized crystal defect...

  6. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  7. Realization of hexagonal barium ferrite thick films on Si substrates using a screen printing technique

    International Nuclear Information System (INIS)

    Chen Yajie; Smith, Ian; Geiler, Anton L; Vittoria, Carmine; Harris, Vincent G; Zagorodnii, Volodymyr; Celinski, Zbigniew

    2008-01-01

    Hexagonal barium ferrite thick films (50-200 μm) have been deposited on Si and Al 2 O 3 /Si substrates using a screen printing technique. X-ray diffractometry, scanning electron microscopy and magnetometry were used to characterize and correlate the ferrite films' microstructure and magnetic properties. The experiments indicated that an Al 2 O 3 underlayer was effective in preventing silicon diffusion into the barium ferrite films during a final sintering treatment at temperatures above 1100 deg. C. A two-stage sintering process allowed a reasonable tradeoff between mechanical and magnetic properties. This work reveals the feasibility of fabrication of thick ferrite films on large substrates (up to 25 mm in diameter) for future planar microwave devices compatible with semiconductor integrated circuits processing

  8. Thickness dependent properties of CMR Manganite thin films on lattice mismatched substrates: Distinguishing Strain and Interface Effects

    Science.gov (United States)

    Davidson, Anthony, III; Kolagani, Rajeswari; Bacharova, Ellisaveta; Yong, Grace; Smolyaninova, Vera; Schaefer, David; Mundle, Rajeh

    2007-03-01

    Epitaxial thin films of CMR manganite materials have been known to show thickness dependent electrical and magnetic properties on lattice mismatched substrates. Below a critical thickness, insulator-metal transition is suppressed. These effects have been largely attributed to the role of bi-axial lattice mismatch strain. Our recent results of epitaxial thin films of La0.67Ca0.33MnO3 (LCMO) on two substrates with varying degrees of compressive lattice mismatch indicate that, in addition to the effect of lattice mismatch strain, the thickness dependence of the properties are influenced by other factors possibly related to the nature of the film substrate interface and defects such as twin boundaries. We have compared the properties of LCMO films on (100) oriented LaAlO3 and (001) oriented NdCaAlO4 both of which induce compressive bi-axial strain. Interestingly, the suppression of the insulator-metal transition is less in films on NCAO which has a larger lattice mismatch. We will present results correlating the electrical and magneto transport properties with the structure and morphology of the films.

  9. GaN-based light-emitting diodes on various substrates: a critical review.

    Science.gov (United States)

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  10. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  11. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  12. Taevo Gans / Ene Ammer

    Index Scriptorium Estoniae

    Ammer, Ene

    1998-01-01

    Sisearhitekt Taevo Gansist. Tudengipõlvest, selle aja projektidest, sõpruskonnast, tandemist Summatavet & Gans, Venemaa tellimustest, kaastöölistest. Üksinda Hommilkumaal vene tarbekunsti näitusega 1974. a. 1988. a. loodud perefirmast "GaDis" (omanikud Taevo, Helle Gans, Riia Oja), mis nõustab ka "Wermot" mööbli osas. "GaDise" sisekujundusprojektidest, millega Taevo ja Helle Gans tegelevad üheskoos

  13. Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN

    Science.gov (United States)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-08-01

    Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing (CMP) of GaN were deeply investigated in this paper. Working as an ideal homogeneous substrate material in LED industry, GaN ought to be equipped with a smooth and flat surface. Taking the strong chemical stability of GaN into account, photocatalytic oxidation technology was adopted in GaN CMP process to realize efficient removal. It was found that, because of the improved reaction rate of photocatalytic oxidation, GaN material removal rate (MRR) increases by a certain extent with catalyst concentration increasing. Cross single line analysis on the surface after polishing by Phase Shift MicroXAM-3D was carried out to prove the better removal effect with higher catalyst concentration. Ultraviolet intensity field in H2O2-SiO2-based polishing system was established and simulated, revealing the variation trend of ultraviolet intensity around the outlet of the slurry. It could be concluded that, owing to the higher planarization efficiency and lower energy damage, the UV lamp of 125 W is the most appropriate lamp in this system. Based on the analysis, defects removal model of this work was proposed to describe the effects of higher catalyst concentration and higher power of UV lamp.

  14. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    Science.gov (United States)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  15. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    Science.gov (United States)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  16. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  17. Field plated 0.15 μm GaN HEMTs for millimeter-wave application

    International Nuclear Information System (INIS)

    Ren Chunjiang; Li Zhonghui; Yu Xuming; Wang Quanhui; Wang Wen; Chen Tangsheng; Zhang Bin

    2013-01-01

    SiN dielectrically-defined 0.15 μm field plated GaN HEMTs for millimeter-wave application have been presented. The AlGaN/GaN hetero-structure epitaxial material for HEMTs fabrication was grown on a 3-inch SiC substrate with an Fe doped GaN buffer layer by metal-organic chemical deposition. Electron beam lithography was used to define both the gate footprint and the cap of the gate with an integrated field plate. Gate recessing was performed to control the threshold voltage of the devices. The fabricated GaN HEMTs exhibited a unit current gain cut-off frequency of 39 GHz and a maximum frequency of oscillation of 63 GHz. Load-pull measurements carried out at 35 GHz showed a power density of 4 W/mm with associated power gain and power added efficiency of 5.3 dB and 35%, respectively, for a 0.15 mm gate width device operated at a 24 V drain bias. The developed 0.15 μm gate length GaN HEMT technology is suitable for Ka band applications and is ready for millimeter-wave power MMICs development. (semiconductor devices)

  18. MOVPE and characterization of GaN-based structures on alternative substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dikme, Y.

    2006-06-20

    This study involves growth experiments of GaN-based layer structures on silicon (Si), lithium aluminate (LiAlO{sub 2}) and the composite substrate SiCOI. Substrate specific preparation and growth procedures were developed. Because of the different lattice constants and thermal expansion coefficients between GaN and the substrate materials and because of the high depositions temperatures (>1000 C) complex interlayers are required to create a crossover from the substrate to the GaN layer and to prevent substrate/layer bowing and cracks developing in the epitaxial layers. Crystallographic, thermal and electronic properties of these materials were investigated and the developed layers were used as buffer layers for electronic and opto electronic devices. On Si AlN/GaN distributed Bragg reflectors (DBR), InGaN/GaN multiple quantum well (MQW) and AlGaN/GaN HEMT (high electron mobility transistor) were demonstrated. The transistor structures showed high power densities, which were comparable to industrially fabricated devices. As well as the reflection of a certain wavelength region, the DBR layers additionally showed positive influence on succeeding GaN top layer optical properties. For the first time laser emission of an optically pumped InGaN/GaN MQW on Si was demonstrated with low excitation density and a high operating temperature. GaN-based structures were deposited on LiAlO2 in the m-plane crystal orientation; that do not exhibit polarization mechanisms in growth direction. For the deposition of coalesced GaN films a seal-coating of the LiAlO{sub 2} surface was developed and finally LED structures were grown on these substrates. For the first time electroluminescence of LED structures on LiAlO{sub 2} was achieved. The growth on the composite substrate SiCOI was initiated with an HT AlN layer and it was demonstrated that SiCOI is comparable to a bulk SiC substrate for the GaN-based epitaxy. The developed and investigated layer structure served as buffer for the

  19. Influence of growth temperature and temperature ramps on deep level defect incorporation in m-plane GaN

    International Nuclear Information System (INIS)

    Armstrong, A. M.; Kelchner, K.; Nakamura, S.; DenBaars, S. P.; Speck, J. S.

    2013-01-01

    The dependence of deep level defect incorporation in m-plane GaN films grown by metal-organic chemical vapor deposition on bulk m-plane GaN substrates as a function of growth temperature (T g ) and T g ramping method was investigated using deep level optical spectroscopy. Understanding the influence of T g on GaN deep level incorporation is important for InGaN/GaN multi-quantum well (MQW) light emitting diodes (LEDs) and laser diodes (LDs) because GaN quantum barrier (QB) layers are grown much colder than thin film GaN to accommodate InGaN QW growth. Deep level spectra of low T g (800 °C) GaN films grown under QB conditions were compared to deep level spectra of high T g (1150 °C) GaN. Reducing T g , increased the defect density significantly (>50×) through introduction of emergent deep level defects at 2.09 eV and 2.9 eV below the conduction band minimum. However, optimizing growth conditions during the temperature ramp when transitioning from high to low T g substantially reduced the density of these emergent deep levels by approximately 40%. The results suggest that it is important to consider the potential for non-radiative recombination in QBs of LED or LD active regions, and tailoring the transition from high T g GaN growth to active layer growth can mitigate such non-radiative channels

  20. Growth and characterization of GaN nanostructures under various ammoniating time with fabricated Schottky gas sensor based on Si substrate

    Science.gov (United States)

    Abdullah, Q. N.; Ahmed, A. R.; Ali, A. M.; Yam, F. K.; Hassan, Z.; Bououdina, M.; Almessiere, M. A.

    2018-05-01

    This paper presents the investigation of the influence of the ammoniating time of GaN nanowires (NWs) on the crystalline structure, surface morphology, and optical characteristics. Morphological analysis indicates the growth of good quality and high density of NWs with diameters around 50 nm and lengths up to tens of microns after ammoniating for 30 min. Structural analysis shows that GaN NWs have a typical hexagonal wurtzite crystal structure. Raman spectroscopy confirms the formation of GaN compound with the presence of compressive stress. Photoluminescence (PL) measurements revealed two band emissions, an UV and a broad visible emission. Hydrogen sensor was subsequently fabricated by depositing Pt Schottky contact onto GaN NWs film. The sensor response was measured at various H2 concentrations ranged from 200 up to 1200 ppm at room temperature. It was found that the response increases significantly for low H2 concentration (200-300 ppm) to reach about 50% then increases smoothly to reach 60% at 1200 ppm. The as-fabricated sensor possesses higher performances as compared to similar devices reported in the literature.

  1. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  2. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  3. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  4. New Crystal-Growth Methods for Producing Lattice-Matched Substrates for High-Temperature Superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Boatner, L.A.

    2008-06-24

    This effort addressed the technical problem of identifying and growing, on a commercial scale, suitable single-crystal substrates for the subsequent deposition of epitaxial thin films of high temperature semiconductors such as GaN/AlN. The lack of suitable lattice-matched substrate materials was one of the major problem areas in the development of semiconducting devices for use at elevated temperatures as well as practical opto-electronic devices based on Al- and GaN technology. Such lattice-matched substrates are necessary in order to reduce or eliminate high concentrations of defects and dislocations in GaN/AlN and related epitaxial thin films. This effort concentrated, in particular, on the growth of single crystals of ZnO for substrate applications and it built on previous ORNL experience in the chemical vapor transport growth of large single crystals of zinc oxide. This combined expertise in the substrate growth area was further complemented by the ability of G. Eres and his collaborators to deposit thin films of GaN on the subject substrates and the overall ORNL capability for characterizing the quality of such films. The research effort consisted of research on the growth of two candidate substrate materials in conjunction with concurrent research on the growth and characterization of GaN films, i.e. the effort combined bulk crystal growth capabilities in the area of substrate production at both ORNL and the industrial partner, Commercial Crystal Growth Laboratories (CCL), Naples, Florida, with the novel thin-film deposition techniques previously developed in the ORNL SSD.

  5. Optimisation and characterisation of tungsten thick coatings on copper based alloy substrates

    Science.gov (United States)

    Riccardi, B.; Montanari, R.; Casadei, M.; Costanza, G.; Filacchioni, G.; Moriani, A.

    2006-06-01

    Tungsten is a promising armour material for plasma facing components of nuclear fusion reactors because of its low sputter rate and favourable thermo-mechanical properties. Among all the techniques able to realise W armours, plasma spray looks particularly attractive owing to its simplicity and low cost. The present work concerns the optimisation of spraying parameters aimed at 4-5 mm thick W coating on copper-chromium-zirconium (Cu,Cr,Zr) alloy substrates. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. The work performed has demonstrated the feasibility of thick W coatings on flat and curved geometries. These coatings appear as a reliable armour for medium heat flux plasma facing component.

  6. Optimisation and characterisation of tungsten thick coatings on copper based alloy substrates

    International Nuclear Information System (INIS)

    Riccardi, B.; Montanari, R.; Casadei, M.; Costanza, G.; Filacchioni, G.; Moriani, A.

    2006-01-01

    Tungsten is a promising armour material for plasma facing components of nuclear fusion reactors because of its low sputter rate and favourable thermo-mechanical properties. Among all the techniques able to realise W armours, plasma spray looks particularly attractive owing to its simplicity and low cost. The present work concerns the optimisation of spraying parameters aimed at 4-5 mm thick W coating on copper-chromium-zirconium (Cu,Cr,Zr) alloy substrates. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. The work performed has demonstrated the feasibility of thick W coatings on flat and curved geometries. These coatings appear as a reliable armour for medium heat flux plasma facing component

  7. Optimisation and characterisation of tungsten thick coatings on copper based alloy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Riccardi, B. [Associazione Euratom-ENEA sulla Fusione, CR Frascati, P.B. 65 00044 Frascati, Roma (Italy)]. E-mail: riccardi@frascati.enea.it; Montanari, R. [Dipartimento di Ingegneria Meccanica, Universita di Roma, Tor Vergata, 00133 Roma (Italy); Casadei, M. [Centro Sviluppo Materiali, 00100 Roma (Italy); Costanza, G. [Dipartimento di Ingegneria Meccanica, Universita di Roma, Tor Vergata, 00133 Roma (Italy); Filacchioni, G. [ENEA CR Casaccia, I-00060 S. M. di Galeria, Roma (Italy); Moriani, A. [Associazione Euratom-ENEA sulla Fusione, CR Frascati, P.B. 65 00044 Frascati, Roma (Italy)

    2006-06-30

    Tungsten is a promising armour material for plasma facing components of nuclear fusion reactors because of its low sputter rate and favourable thermo-mechanical properties. Among all the techniques able to realise W armours, plasma spray looks particularly attractive owing to its simplicity and low cost. The present work concerns the optimisation of spraying parameters aimed at 4-5 mm thick W coating on copper-chromium-zirconium (Cu,Cr,Zr) alloy substrates. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. The work performed has demonstrated the feasibility of thick W coatings on flat and curved geometries. These coatings appear as a reliable armour for medium heat flux plasma facing component.

  8. Thick epitaxial CdTe films grown by close space sublimation on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Q; Haliday, D P; Tanner, B K; Brinkman, A W [Department of Physics, University of Durham. Science Site, Durham, DH1 3LE (United Kingdom); Cantwell, B J; Mullins, J T; Basu, A [Durham Scientific Crystals Ltd., NetPark, Thomas Wright Way, Sedgefield, County Durham, TS21 3FD (United Kingdom)], E-mail: Q.Z.Jiang@durham.ac.uk

    2009-01-07

    This paper reports, for the first time, the successful growth of 200 {mu}m thick CdTe films on mis-oriented Ge(1 0 0) substrates by a cost-effective optimized close space sublimation method. It is found that, as the thickness increases to a few hundred micrometres, subgrains are formed probably as a result of the large density of dislocations and strain within the initial interfacial layers. The films are of high quality (x-ray rocking curve width {approx}100 arcsec) and high resistance ({approx}10{sup 9} {omega} cm), and are thus candidates for x-ray and {gamma}-ray detectors. (fast track communication)

  9. Magnetic resonance studies of the Mg acceptor in thick free-standing and thin-film GaN

    Science.gov (United States)

    Zvanut, Mary Ellen

    Mg, the only effective p-type dopant for the nitrides, substitutes for Ga and forms an acceptor with a defect level of about 0.16 eV. The magnetic resonance of such a center should be highly anisotropic, yet early work employing both optically detected magnetic resonance (ODMR) and electron paramagnetic resonance (EPR) spectroscopies revealed a defect with a nearly isotropic g-tensor. The results were attributed to crystal fields caused by compensation and/or strain typical of the heteroepitaxially grown films. The theory was supported by observation of the expected highly anisotropic ODMR signature in homoepitaxially grown films in which dislocation-induced non-uniform strain and compensation are reduced. The talk will review EPR measurements of thin films and describe new work which takes advantage of the recently available thick free-standing GaN:Mg substrates grown by hydride vapor phase epitaxy (HVPE) and high nitrogen pressure solution growth (HNPS). Interestingly, the films and HVPE substrates exhibit characteristically different types of EPR signals, and no EPR response could be induced in the HNPS substrates, with or without illumination. In the heteroepitaxial films, a curious angular dependent line-shape is observed in addition to the nearly isotropic g-tensor characteristic of the Mg-related acceptor. On the other hand, the free-standing HVPE crystals reveal a clear signature of a highly anisotropic shallow acceptor center. Comparison with SIMS measurements implies a direct relation to the Mg impurity, and frequency-dependent EPR studies demonstrate the influence of the anisotropic crystal fields. Overall, the measurements of the thick free-standing crystals show that the Mg acceptor is strongly affected by the local environment. The ODMR was performed by Evan Glaser, NRL and the free-standing Mg-doped HVPE crystals were grown by Jacob Leach, Kyma Tech. The work at UAB is supported by NSF Grant No. DMR-1308446.

  10. Super high-power AlGaInN-based laser diodes with a single broad-area stripe emitter fabricated on a GaN substrate

    Energy Technology Data Exchange (ETDEWEB)

    Goto, Shu; Ohta, Makoto; Yabuki, Yoshifumi; Hoshina, Yukio; Hashizu, Toshihiro; Ikeda, Masao [Development Center, Sony Shiroishi Semiconductor, Inc., 3-53-2 Shiratori, Shiroishi, Miyagi, 989-0734 (Japan); Naganuma, Kaori; Tamamura, Koshi [Core Technology Development Group, Micro Systems Network Company, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi Kanagawa, 243-0041 (Japan)

    2003-11-01

    AlGaInN-based blue-violet laser diodes with a single broad-area stripe emitter were successfully fabricated on GaN substrates. Three stripe widths were examined; 10, 50, and 100 {mu}m, and the maximum light output power of 0.94 W under cw operation at 20 C was achieved for the sample with a stripe width of 10 {mu}m. A super high-power laser diode array was fabricated using 11 of these high-performance laser chips, with a resultant output power of 6.1 W under cw operation at 20 C. This result represents the highest reported output power for blue-violet laser diodes. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Structure and corrosion behavior of sputter deposited cerium oxide based coatings with various thickness on Al 2024-T3 alloy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yuanyuan [College of Materials Science and Engineering, Chongqing University, Chongqing 400045 (China); Materials Research Center, Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Huang, Jiamu, E-mail: huangjiamu@cqu.edu.cn [College of Materials Science and Engineering, Chongqing University, Chongqing 400045 (China); Claypool, James B.; Castano, Carlos E. [Materials Research Center, Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O’Keefe, Matthew J., E-mail: mjokeefe@mst.edu [Materials Research Center, Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States)

    2015-11-15

    Highlights: • Crystalline CeO{sub 2} coatings are deposited on Al 2024-T3 alloys by magnetron sputtering. • The crystal size and internal stress both increased with the thickness of CeO{sub 2} coating. • The ∼210 nm thick coating has the highest adhesion strength to the Al alloy substrate. • The ∼900 nm thick coating increased the corrosion resistance two orders of magnitude. • CeO{sub 2} coatings provide good cathodic inhibition for Al alloys by acting as physical barriers. - Abstract: Cerium oxide based coatings from ∼100 to ∼1400 nm in thickness were deposited onto Al 2024-T3 alloy substrates by magnetron sputtering of a 99.99% pure CeO{sub 2} target. The crystallite size of CeO{sub 2} coatings increased from 15 nm to 46 nm as the coating thickness increased from ∼100 nm to ∼1400 nm. The inhomogeneous lattice strain increased from 0.36% to 0.91% for the ∼100 nm to ∼900 nm thick coatings and slightly decreased to 0.89% for the ∼1400 nm thick coating. The highest adhesion strength to Al alloy substrates was for the ∼210 nm thick coating, due to a continuous film coverage and low internal stress. Electrochemical measurements indicated that sputter deposited crystalline CeO{sub 2} coatings acted as physical barriers that provide good cathodic inhibition for Al alloys in saline solution. The ∼900 nm thick CeO{sub 2} coated sample had the best corrosion performance that increased the corrosion resistance by two orders magnitude and lowered the cathodic current density 30 times compared to bare Al 2024-T3 substrates. The reduced defects and exposed surface, along with suppressed charge mobility, likely accounts for the improved corrosion performance as coating thickness increased from ∼100 nm to ∼900 nm. The corrosion performance decreased for ∼1400 nm thick coatings due in part to an increase in coating defects and porosity along with a decrease in adhesion strength.

  12. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    International Nuclear Information System (INIS)

    Park, Taesoon; Kim, Dongsik

    2015-01-01

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10 −4 Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively

  13. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Taesoon; Kim, Dongsik, E-mail: dskim87@postech.ac.kr

    2015-03-02

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10{sup −4} Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively.

  14. Effect of self-heating on electrical characteristics of AlGaN/ GaN HEMT on Si (111) substrate

    Science.gov (United States)

    Nigam, Adarsh; Bhat, Thirumaleshwara N.; Rajamani, Saravanan; Dolmanan, Surani Bin; Tripathy, Sudhiranjan; Kumar, Mahesh

    2017-08-01

    In order to study the effect of self-heating of AlGaN/ GaN high electron mobility transistors (HEMTs) characteristics fabricated on Si(111) substrate, simulations of 2DEG temperature on different drain voltages have been carried out by Sentaurus TCAD simulator tool. Prior to the electrical direct-current (DC) characteristics studies, structural properties of the HEMT structures were examined by scanning transmission electron microscopy. The comparative analysis of simulation and experimental data provided sheet carrier concentration, mobility, surface traps, electron density at 2DEG by considering factors such as high field saturation, tunneling and recombination models. Mobility, surface trap concentration and contact resistance were obtained by TCAD simulation and found out to be ˜1270cm2/Vs, ˜2×1013 cm-2 and ˜0.2 Ω.mm, respectively, which are in agreement with the experimental results. Consequently, simulated current-voltage characteristics of HEMTs are in good agreement with experimental results. The present simulator tool can be used to design new device structures for III-nitride technology.

  15. Effect of self-heating on electrical characteristics of AlGaN/ GaN HEMT on Si (111 substrate

    Directory of Open Access Journals (Sweden)

    Adarsh Nigam

    2017-08-01

    Full Text Available In order to study the effect of self-heating of AlGaN/ GaN high electron mobility transistors (HEMTs characteristics fabricated on Si(111 substrate, simulations of 2DEG temperature on different drain voltages have been carried out by Sentaurus TCAD simulator tool. Prior to the electrical direct-current (DC characteristics studies, structural properties of the HEMT structures were examined by scanning transmission electron microscopy. The comparative analysis of simulation and experimental data provided sheet carrier concentration, mobility, surface traps, electron density at 2DEG by considering factors such as high field saturation, tunneling and recombination models. Mobility, surface trap concentration and contact resistance were obtained by TCAD simulation and found out to be ∼1270cm2/Vs, ∼2×1013 cm-2 and ∼0.2 Ω.mm, respectively, which are in agreement with the experimental results. Consequently, simulated current-voltage characteristics of HEMTs are in good agreement with experimental results. The present simulator tool can be used to design new device structures for III-nitride technology.

  16. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    Science.gov (United States)

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  17. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  18. Polarization of stacking fault related luminescence in GaN nanorods

    Directory of Open Access Journals (Sweden)

    G. Pozina

    2017-01-01

    Full Text Available Linear polarization properties of light emission are presented for GaN nanorods (NRs grown along [0001] direction on Si(111 substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL measured at low temperature for a single NR demonstrated an excitonic line at ∼3.48 eV and the stacking faults (SFs related transition at ∼3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  19. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  20. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  1. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  2. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  3. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  4. Thickness-controlled direct growth of nanographene and nanographite film on non-catalytic substrates

    Science.gov (United States)

    Du, Lei; Yang, Liu; Hu, Zhiting; Zhang, Jiazhen; Huang, Chunlai; Sun, Liaoxin; Wang, Lin; Wei, Dacheng; Chen, Gang; Lu, Wei

    2018-05-01

    Metal-catalyzed chemical vapor deposition (CVD) has been broadly employed for large-scale production of high-quality graphene. However, a following transfer process to targeted substrates is needed, which is incompatible with current silicon technology. We here report a new CVD approach to form nanographene and nanographite films with accurate thickness control directly on non-catalytic substrates such as silicon dioxide and quartz at 800 °C. The growth time is as short as a few seconds. The approach includes using 9-bis(diethylamino)silylanthracene as the carbon source and an atomic layer deposition (ALD) controlling system. The structure of the formed nanographene and nanographite films were characterized using atomic force microscopy, high resolution transmission electron microscopy, Raman scattering, and x-ray photoemission spectroscopy. The nanographite film exhibits a transmittance higher than 80% at 550 nm and a sheet electrical resistance of 2000 ohms per square at room temperature. A negative temperature-dependence of the resistance of the nanographite film is also observed. Moreover, the thickness of the films can be precisely controlled via the deposition cycles using an ALD system, which promotes great application potential for optoelectronic and thermoelectronic-devices.

  5. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  7. Synthesis, optical properties and residual strain effect of GaN nanowires generated via metal-assisted photochemical electroless etching

    KAUST Repository

    Najar, Adel

    2017-04-18

    Herein, we report on the studies of GaN nanowires (GaN NWs) prepared via a metal-assisted photochemical electroless etching method with Pt as the catalyst. It has been found that etching time greatly influences the growth of GaN NWs. The density and the length of nanowires increased with longer etching time, and excellent substrate coverage was observed. The average nanowire width and length are around 35 nm and 10 μm, respectively. Transmission electron microscopy (TEM) shows a single-crystalline wurtzite structure and is confirmed by X-ray measurements. The synthesis mechanism of GaN NWs using the metal-assisted photochemical electroless etching method was presented. Photoluminescence (PL) measurements of GaN NWs show red-shift PL peaks compared to the as-grown sample associated with the relaxation of compressive stress. Furthermore, a shift of the E2 peak to the lower frequency in the Raman spectra for the samples etched for a longer time confirms such a stress relaxation. Based on Raman measurements, the compressive stress σxx and the residual strain εxx were evaluated to be 0.23 GPa and 2.6 × 10−4, respectively. GaN NW synthesis using a low cost method might be used for the fabrication of power optoelectronic devices and gas sensors.

  8. High Quality, Low Cost Bulk Gallium Nitride Substrates Grown by the Electrochemical Solution Growth Method

    Energy Technology Data Exchange (ETDEWEB)

    Seacrist, Michael [SunEdison Inc., St. Peters, MO (United States)

    2017-08-15

    The objective of this project was to develop the Electrochemical Solution Growth (ESG) method conceived / patented at Sandia National Laboratory into a commercially viable bulk gallium nitride (GaN) growth process that can be scaled to low cost, high quality, and large area GaN wafer substrate manufacturing. The goal was to advance the ESG growth technology by demonstrating rotating seed growth at the lab scale and then transitioning process to prototype commercial system, while validating the GaN material and electronic / optical device quality. The desired outcome of the project is a prototype commercial process for US-based manufacturing of high quality, large area, and lower cost GaN substrates that can drive widespread deployment of energy efficient GaN-based power electronic and optical devices. In year 1 of the project (Sept 2012 – Dec 2013) the overall objective was to demonstrate crystalline GaN growth > 100um on a GaN seed crystal. The development plan included tasks to demonstrate and implement a method for purifying reagent grade salts, develop the reactor 1 process for rotating seed Electrochemical Solution Growth (ESG) of GaN, grow and characterize ESG GaN films, develop a fluid flow and reaction chemistry model for GaN film growth, and design / build an improved growth reactor capable of scaling to 50mm seed diameter. The first year’s project objectives were met in some task areas including salt purification, film characterization, modeling, and reactor 2 design / fabrication. However, the key project objective of the growth of a crystalline GaN film on the seed template was not achieved. Amorphous film growth on the order of a few tenths of a micron has been detected with a film composition including Ga and N, plus several other impurities originating from the process solution and hardware. The presence of these impurities, particularly the oxygen, has inhibited the demonstration of crystalline GaN film growth on the seed template. However, the

  9. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  10. Z L GAN

    Indian Academy of Sciences (India)

    Z L GAN. Articles written in Sadhana. Volume 43 Issue 4 April 2018 pp 59. Effect of scale size, orientation type and dispensing method on void formation in the CUF encapsulation of BGA · AIZAT ABAS FEI CHONG NG Z L GAN M H H ISHAK M Z ABDULLAH GEAN YUEN CHONG · More Details Abstract Fulltext PDF.

  11. Selective formation of GaN-based nanorod heterostructures on soda-lime glass substrates by a local heating method.

    Science.gov (United States)

    Hong, Young Joon; Kim, Yong-Jin; Jeon, Jong-Myeong; Kim, Miyoung; Choi, Jun Hee; Baik, Chan Wook; Kim, Sun Il; Park, Sung Soo; Kim, Jong Min; Yi, Gyu-Chul

    2011-05-20

    We report on the fabrication of high-quality GaN on soda-lime glass substrates, heretofore precluded by both the intolerance of soda-lime glass to the high temperatures required for III-nitride growth and the lack of an epitaxial relationship with amorphous glass. The difficulties were circumvented by heteroepitaxial coating of GaN on ZnO nanorods via a local microheating method. Metal-organic chemical vapor deposition of ZnO nanorods and GaN layers using the microheater arrays produced high-quality GaN/ZnO coaxial nanorod heterostructures at only the desired regions on the soda-lime glass substrates. High-resolution transmission electron microscopy examination of the coaxial nanorod heterostructures indicated the formation of an abrupt, semicoherent interface. Photoluminescence and cathodoluminescence spectroscopy was also applied to confirm the high optical quality of the coaxial nanorod heterostructures. Mg-doped GaN/ZnO coaxial nanorod heterostructure arrays, whose GaN shell layers were grown with various different magnesocene flow rates, were further investigated by using photoluminescence spectroscopy for the p-type doping characteristics. The suggested method for fabrication of III-nitrides on glass substrates signifies potentials for low-cost and large-size optoelectronic device applications.

  12. Selective formation of GaN-based nanorod heterostructures on soda-lime glass substrates by a local heating method

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Young Joon; Kim, Yong-Jin [Department of Materials Science and Engineering, POSTECH, Pohang, Gyeongbuk 790-784 (Korea, Republic of); Jeon, Jong-Myeong; Kim, Miyoung; Choi, Jun Hee [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Baik, Chan Wook; Kim, Sun Il; Park, Sung Soo; Kim, Jong Min [Frontier Research Laboratory, Samsung Advanced Institute of Technology, PO Box 111, Kiheung 446-712 (Korea, Republic of); Yi, Gyu-Chul, E-mail: joonie.choi@samsung.com, E-mail: gcyi@snu.ac.kr [National Creative Research Initiative Center for Semiconductor Nanorods, Department of Physics and Astronomy, Seoul National University, Seoul 151-747 (Korea, Republic of)

    2011-05-20

    We report on the fabrication of high-quality GaN on soda-lime glass substrates, heretofore precluded by both the intolerance of soda-lime glass to the high temperatures required for III-nitride growth and the lack of an epitaxial relationship with amorphous glass. The difficulties were circumvented by heteroepitaxial coating of GaN on ZnO nanorods via a local microheating method. Metal-organic chemical vapor deposition of ZnO nanorods and GaN layers using the microheater arrays produced high-quality GaN/ZnO coaxial nanorod heterostructures at only the desired regions on the soda-lime glass substrates. High-resolution transmission electron microscopy examination of the coaxial nanorod heterostructures indicated the formation of an abrupt, semicoherent interface. Photoluminescence and cathodoluminescence spectroscopy was also applied to confirm the high optical quality of the coaxial nanorod heterostructures. Mg-doped GaN/ZnO coaxial nanorod heterostructure arrays, whose GaN shell layers were grown with various different magnesocene flow rates, were further investigated by using photoluminescence spectroscopy for the p-type doping characteristics. The suggested method for fabrication of III-nitrides on glass substrates signifies potentials for low-cost and large-size optoelectronic device applications.

  13. Characterization of 380nm UV-LEDs grown on free-standing GaN by atmospheric-pressure metal-organic chemical vapor deposition

    Science.gov (United States)

    Shieh, C. Y.; Li, Z. Y.; Kuo, H. C.; Chang, J. Y.; Chi, G. C.

    2014-03-01

    We reported the defects and optical characterizations of the ultraviolet light-emitting diodes grown on free-standing GaN substrate (FS-GaN) and sapphire. Cross-sectional transmission electron microscopy (TEM) images showed that the total defect densities of grown UV LEDs on FS-GaN and sapphire including edge, screw and mixed type were 3.6×106 cm-2 and 5.5×108 cm-2. When substrate of UV LEDs was changed from sapphire to FS-GaN, it can be clearly found that the crystallography of GaN epilayers was drastically different from that GaN epilayers on sapphire. Besides, the microstructures or indium clustering can be not observed at UV LEDs on FS-GaN from TEM measurement. The internal quantum efficiency of UVLEDs on FS-GaN and sapphire were 34.8 % and 39.4 % respectively, which attributed to indium clustering in multi-layers quantum wells (MQWs) of UV LEDs on sapphire. The relationship between indiumclustering and efficiency droop were investigated by temperature-dependent electroluminescence (TDEL) measurements.

  14. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    Science.gov (United States)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  15. The effects of substrate layer thickness on piezoelectric vibration energy harvesting with a bimorph type cantilever

    Science.gov (United States)

    Palosaari, Jaakko; Leinonen, Mikko; Juuti, Jari; Jantunen, Heli

    2018-06-01

    In this research four piezoelectric bimorph type cantilevers for energy harvesting were manufactured, measured and analyzed to study the effects of substrate layer thickness on energy harvesting efficiency and durability under different accelerations. The cantilevers had the same dimensions of the piezoelectric ceramic components, but had different thicknesses of the steel substrate (no steel, 30 μm, 50 μm and 75 μm). The cantilevers were tuned to the same resonance frequency with different sizes of tip mass (2.13 g, 3.84 g, 4.17 g and 5.08 g). The energy harvester voltage outputs were then measured across an electrical load near to the resonance frequency (∼40 Hz) with sinusoidal vibrations under different accelerations. The stress exhibited by the four cantilevers was compared and analyzed and their durability was tested with accelerations up to 2.5 g-forces.

  16. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  17. Fabrication and Characterization of Micro-membrane GaN Light Emitting Diodes

    KAUST Repository

    Liao, Hsien-Yu

    2015-05-01

    Developing etching of GaN material system is the key to device fabrications. In this thesis, we report on the fabrication of high throughput lift-off of InGaN/GaN based micro-membrane light emitting diode (LED) from sapphire substrate using UV-assisted photoelectroless chemical (PEsC) etching. Unlike existing bandgap selective etching based on unconventional sacrificial layer, the current hydrofluoric acid based wet etching process enables the selective etching of undoped GaN layer already incorporated in standard commercial LED structures, thus attaining the leverage on high performance device design, and facile wet process technology. The lift-off micro-membrane LED showed 16% alleviated quantum efficiency droop under 200 mA/cm2 current injection, demonstrating the advantage of LED epitaxy exfoliation from the lattice-mismatched sapphire substrate. The origin of the performance improvement was investigated based on non-destructive characterization methods. Photoluminescence (PL) characterization showed a 7nm peak emission wavelength shift in the micro-membrane LED compared to the GaN-on-Sapphire LED. The Raman spectroscopy measurements correlate well with the PL observation that a 0.86 GPa relaxed compressive biaxial strain was achieved after the lift-off process. The micro-membrane LED technology enables further heterogeneous integration for forming pixelated red, green, blue (RGB) display on flexible and transparent substrate. The development of discrete and membrane LEDs using nano-fiber paper as the current spreading layer was also explored for such integration.

  18. Growth of GaN single crystals by a Ca- and Ba-added Na flux method

    Science.gov (United States)

    Ukegawa, H.; Konishi, Y.; Fujimori, T.; Miyoshi, N.; Imade, M.; Yoshimura, M.; Kitaoka, Y.; Sasaki, T.; Mori, Y.

    2011-02-01

    GaN substrates are desirable for fabricating ultra-violet LEDs and LDs, and high-power and high-frequency transistors. High-quality GaN single crystals can be obtained by using Na flux method, but the growth habit of bulk crystals must be controlled. In this study, we investigated the effects of additives (Ca, Ba) on the growth habit and impurity concentration in the crystals. The aspect ratio (c/a) of the crystals was increased by increasing the amount of additives, showing that the growth habit could be changed from the pyramidal shape to the prism shape. Ba concentration was below the detection limit (1x1015 atoms/cm3).

  19. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  1. Determination of the indium content and layer thicknesses in InGaN/GaN quantum wells by x-ray scattering

    International Nuclear Information System (INIS)

    Vickers, M.E.; Kappers, M.J.; Smeeton, T.M.; Thrush, E.J.; Barnard, J.S.; Humphreys, C.J.

    2003-01-01

    We have determined the indium content and the layer thicknesses in an InGaN epilayer and InGaN/GaN quantum well structures by high-resolution x-ray diffraction (XRD) using the (002) reflection. The thickness of the total repeat (an InGaN well plus a GaN barrier) in the superlattice is easily determined from the spacing between the satellite peaks in an omega/2theta scan. Measurement of the individual thickness of InGaN and GaN layers and the indium content is less straightforward, since for multilayer structures the peak positions are influenced by both the indium content and the thickness ratio of the GaN to the InGaN layer. Thus, several different models may give reasonable fits to data collected over a limited range (about 1 deg. omega/2theta either side of the (002)) showing only lower-order (-3 to +3) satellite peaks. Whenever possible, we have collected data over a wide range (about 4 deg. omega/2theta) and determined the thickness ratio by examination of the relative intensities of weak higher-order satellite peaks (-7 to +5). An alternative but less sensitive method is to use relative intensities from x-ray reflectivity measurements to give the thickness ratio. Once the thickness of both the InGaN and GaN layers has been established, the InGaN composition can be determined from the peak positions. If the quality of the samples is poor, because of inhomogeneities or wide diffuse interfaces, there are insufficient data to characterize the structures. There is good agreement between the composition of the epilayer as determined by XRD and secondary ion mass spectroscopy and good agreement between x-ray and electron microscopy results for the quantum well structures. We find no variation from Vegard's rule for In contents less than 0.20. This article shows that structural parameters of high-quality InGaN/GaN superlattices with 10 and 5 repeats can be determined reliably by x-ray techniques: The InGaN and GaN thicknesses to ±1 Aa and the In content to ±0.01

  2. Photo-assisted local oxidation of GaN using an atomic force microscope

    International Nuclear Information System (INIS)

    Hwang, J S; Hu, Z S; Lu, T Y; Chen, L W; Chen, S W; Lin, T Y; Hsiao, C-L; Chen, K-H; Chen, L-C

    2006-01-01

    This paper introduces a photo-assisted atomic force microscope (AFM) local oxidation technique which is capable of producing highly smooth oxide patterns with heights reaching several tens of nanometres on both n- and p-types of GaN (and in principle on most semiconductors) without the use of chemicals. The novel methodology relies on UV illumination of the surface of the substrate during conventional AFM local oxidation. A low 1.2 V threshold voltage for n-type GaN was obtained, which can be explained by UV photo-generation of excess electron-hole pairs in the substrate near the junction, thereby reducing the electric field required to drive carrier flow through the tip-sample Schottky barrier. It was demonstrated that the presence or absence of light alone was sufficient to switch the growth of the oxide on or off. The photo-assisted AFM oxidation technique is of immediate interest to the semiconductor industry for the fabrication of GaN-based complementary metal-oxide-semiconductor devices and nanodevices, improves chances for AFM-type data storage, and presents new degrees of freedom for process control technique

  3. The effect of silver thickness on the enhancement of polymer based SERS substrates

    International Nuclear Information System (INIS)

    Schneidewind, H; Weber, K; Zeisberger, M; Hübner, U; Dellith, A; Cialla-May, D; Mattheis, R; Popp, J

    2014-01-01

    We investigated silver-covered polymer based nanogratings as substrates for surface-enhanced Raman spectroscopy (SERS), in particular with respect to the thickness of the plasmonically active silver film. In order to obtain accurate geometrical input data for the simulation process, we inspected cross sections of the gratings prepared by breaking at cryogenic temperature. We noticed a strong dependence of the simulation results on geometrical variations of the structures. Measurements revealed that an increasing silver film thickness on top of the nanogratings leads to a blue shift of the plasmonic resonance, as predicted by numerical simulations, as well as to an increased field enhancement for an excitation at 488 nm. We found a clear deviation of the experimental data compared to the simulated results for very thin silver films due to an island-like growth at a silver thickness below 20 nm. In order to investigate the SERS activity. we carried out measurements with crystal violet as a model analyte at an excitation wavelength of 488 nm. The SERS enhancement increases up to a silver thickness of about 30 nm, whereas it remains nearly constant for thicker silver films. (paper)

  4. Synthetic Strategies and Applications of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Guoquan Suo

    2014-01-01

    Full Text Available GaN is an important III-V semiconductor material with a direct band gap of 3.4 eV at 300 K. The wide direct band gap makes GaN an attractive material for various applications. GaN nanowires have demonstrated significant potential as fundamental building blocks for nanoelectronic and nanophotonic devices and also offer substantial promise for integrated nanosystems. In this paper, we provide a comprehensive review on the general synthetic strategies, characterizations, and applications of GaN nanowires. We first summarize several growth techniques of GaN nanowires. Subsequently, we discuss mechanisms involved to generate GaN nanowires from different synthetic schemes and conditions. Then we review some characterization methods of GaN nanowires. Finally, several kinds of main applications of GaN nanowires are discussed.

  5. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  6. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    Science.gov (United States)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  7. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  8. Implantation induced electrical isolation of sulphur doped GaN xAs1-x layers

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The study of III-N-V semiconductor alloys, especially GaN x As 1-x has been increasing in the last few years. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications, including long wavelength optoelectronic devices and high efficiency solar cells. We report on the effects of sulphur doping implants on the achieved electrical isolation in GaN x As 1-x layers using proton bombardment. Sulphur ions were implanted in MOCVD-grown GaN x As 1-x layers (1.4 μm thick with nominal x = 1%) with multiple energies creating approximately uniform doping profiles in the range of about 1 x 10 18 -5 x 10 19 cm -3 . Several proton implants were performed in order to find the threshold dose (minimum dose to achieve maximum sheet resistivity) for the electrical isolation of n-type GaN x As 1-x layers. Results show that the sheet resistance of n-type layers can be increased by about five orders of magnitude by proton implantation and the threshold dose to convert a conductive layer to a highly resistive one depends on the original free carrier concentration. The study of annealing temperature dependence of sheet resistivity in proton-isolated GaN x As 1-x layers shows that the electrical isolation can be preserved up to 450 and 500 deg. C when the implantation is performed at RT and 77 K with threshold dose, respectively. These results for n-type GaN x As 1-x layers are novel and have ramifications for device engineers

  9. In-situ TEM study of domain switching in GaN thin films

    Science.gov (United States)

    Wang, Baoming; Wang, Tun; Haque, Aman; Snure, Michael; Heller, Eric; Glavin, Nicholas

    2017-09-01

    Microstructural response of gallium nitride (GaN) films, grown by metal-organic chemical vapor deposition, was studied as a function of applied electrical field. In-situ transmission electron microscopy showed sudden change in the electron diffraction pattern reflecting domain switching at around 20 V bias, applied perpendicular to the polarization direction. No such switching was observed for thicker films or for the field applied along the polarization direction. This anomalous behavior is explained by the nanoscale size effects on the piezoelectric coefficients of GaN, which can be 2-3 times larger than the bulk value. As a result, a large amount of internal energy can be imparted in 100 nm thick films to induce domain switching at relatively lower voltages to induce such events at the bulk scale.

  10. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  11. Experimental investigation into the effect of substrate clamping on the piezoelectric behaviour of thick-film PZT elements

    Energy Technology Data Exchange (ETDEWEB)

    Torah, R N; Beeby, S P; White, N M [Department of Electronics and Computer Science, University of Southampton, SO17 1BJ (United Kingdom)

    2004-04-07

    This paper details an experimental investigation of the clamping effect associated with thick-film piezoelectric elements printed on a substrate. The clamping effect reduces the measured piezoelectric coefficient, d{sub 33}, of the film. This reduction is due to the influence of the d{sub 31} component in the film when a deformation of the structure occurs, by either the direct or indirect piezoelectric effect. Theoretical analysis shows a reduction in the measured d{sub 33} of 62%, i.e. a standard bulk lead zirconate titanate (PZT)-5H sample with a manufacturer specified d{sub 33} of 593pC/N would fall to 227.8pC/N. To confirm this effect, the d{sub 33} coefficients of five thin bulk PZT-5H samples of 220 {mu}m thickness were measured before and after their attachment to a metallized 96% alumina substrate. The experimental results show a reduction in d{sub 33} of 74% from 529pC/N to 139pC/N. The theoretical analysis was then applied to existing University of Southampton thick-film devices. It is estimated that the measured d{sub 33} value of 131pC/N of the thick-film devices is the equivalent of an unconstrained d{sub 33} of 345pC/N.

  12. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    Science.gov (United States)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  13. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  14. Improving the Efficiency Enhancement of Photonic Crystal Based InGaN Solar Cell by Using a GaN Cap Layer

    Directory of Open Access Journals (Sweden)

    T. F. Gundogdu

    2014-01-01

    Full Text Available We studied a high indium content (0.8 InGaN based solar cell design where the active InGaN layer is sandwiched between a GaN cap layer and a GaN spacer layer. The incorporation of the sacrificial cap layer allows for the etching of the front surface without removing the active InGaN resulting in a 50% enhancement of the short-circuit current density for a 15 nm-thick InGaN layer.

  15. Resonant Raman and FTIR spectra of carbon doped GaN

    Science.gov (United States)

    Ito, S.; Kobayashi, H.; Araki, K.; Suzuki, K.; Sawaki, N.; Yamashita, K.; Honda, Y.; Amano, H.

    2015-03-01

    Intentionally carbon (C) doped (0 0 0 1)GaN was grown using C2H2 on a sapphire substrate by metalorganic vapor phase epitaxy. Optical spectra of the heavily doped samples were investigated at room temperature. In Raman spectra excited by the 325 nm line of a He-Cd laser, multiple LO phonon scattering signals up to 7th order were observed, and the A1(LO) phonon energy was determined to be 737.5 cm-1 (91.45 meV). In infrared reflectance spectra, on the other hand, a local vibration mode was found at 777.5 cm-1, which is attributed to a Ga-C bond in the GaN matrix suggesting that the C sits on an N site (CN). In spite of the strong suggestion of CN, the samples did not show p-type conduction. Possible origin of the carrier compensation is discussed in relation to the enhancement of defect related yellow luminescence in the photoluminescence spectra.

  16. Reduction of basal plane defects in (11-22) semipolar InGaN/GaN MQWs fabricated on patterned (113) Si substrates by introducing AlGaN barrier layers

    Energy Technology Data Exchange (ETDEWEB)

    Uesugi, Kenjiro; Hikosaka, Toshiki; Ono, Hiroshi; Sakano, Tatsunori; Nunoue, Shinya [Corporate Research and Development Center, Toshiba Corporation, Kawasaki (Japan)

    2017-08-15

    GaN grown on nonpolar or semipolar faces have been widely developed as a promising material for the next generation optical and electronic devices. In this work, (11-22) semipolar InGaN/GaN MQWs were grown on patterned (113) Si substrates and fabricated into thin-film-type flip-chip LEDs. From CL and TEM measurement, generation of basal plane defects (BPDs) around MQWs and Strain-relaxation layers (SRLs) has been observed. The relationship between MQW structures and formation of BPDs has been investigated. By optimizing MQW structures, light output power and external quantum efficiency have been improved with thick InGaN well layers and GaN barrier layers. Introducing AlGaN barrier layers has enabled further reduction of BPDs in MQWs and, as a result, an enhancement of EQE has been achieved. The maximum EQE value of the sample with AlGaN barrier layers was 12.9%.This result indicates that the reduction of BPDs is an effective approach for obtaining the high-efficiency semipolar LEDs on Si substrates. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. In situ variations of the scintillation characteristics in GaN and CdS layers under irradiation by 1.6 MeV protons

    Energy Technology Data Exchange (ETDEWEB)

    Gaubas, E., E-mail: eugenijus.gaubas@ff.vu.lt; Ceponis, T.; Pavlov, J.; Tekorius, A.

    2015-12-15

    Evolution of the non-radiative and radiative recombination in GaN and CdS 2.5–20 μm thick layers has been examined by the in situ measurements of the 1.6 MeV proton induced luminescence and laser excited photoconductivity characteristics. The introduction rate of radiation defects has been evaluated by the comparative analysis of the laser and proton beam induced luminescence for the examined GaN and CdS layers.

  18. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  19. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Ting; Araki, Tsutomu; Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens; Chen, Li-Chyong; Chen, Kuei-Hsien; Nanishi, Yasushi

    2013-01-01

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm

  20. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Ting [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Araki, Tsutomu [Department of Electrical and Electronic Engineering, Ritsumeikan University, 525-8577 Shiga (Japan); Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Chen, Li-Chyong [Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Chen, Kuei-Hsien [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Nanishi, Yasushi [Global Innovation Research Organization, Ritsumeikan University, 525-8577 Shiga (Japan)

    2013-11-11

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm.

  1. GaN transistors on Si for switching and high-frequency applications

    Science.gov (United States)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  2. Rode's iterative calculation of surface optical phonon scattering limited electron mobility in N-polar GaN devices

    International Nuclear Information System (INIS)

    Ghosh, Krishnendu; Singisetti, Uttam

    2015-01-01

    N-polar GaN channel mobility is important for high frequency device applications. Here, we report theoretical calculations on the surface optical (SO) phonon scattering rate of two-dimensional electron gas (2DEG) in N-polar GaN quantum well channels with high-k dielectrics. Rode's iterative calculation is used to predict the scattering rate and mobility. Coupling of the GaN plasmon modes with the SO modes is taken into account and dynamic screening is employed under linear polarization response. The effect of SO phonons on 2DEG mobility was found to be small at >5 nm channel thickness. However, the SO mobility in 3 nm N-polar GaN channels with HfO 2 and ZrO 2 high-k dielectrics is low and limits the total mobility. The SO scattering for SiN dielectric on GaN was found to be negligible due to its high SO phonon energy. Using Al 2 O 3 , the SO phonon scattering does not affect mobility significantly only except the case when the channel is too thin with a low 2DEG density

  3. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    Science.gov (United States)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  4. Simulation and experimental determination of the macro-scale layer thickness distribution of electrodeposited Cu-line patterns on a wafer substrate

    DEFF Research Database (Denmark)

    Pantleon, Karen; Bossche, Bart van den; Purcar, Marius

    2005-01-01

    The impact of adjacent patterned zones with different active area densities on the current density and electrodeposited layer thickness distribution over a wafer substrate is examined, both by experiment and numerical simulation. The experiments consist in running an acid copper plating process o......) approach to compute the current density distribution over the electrodes. Experimental and computed layer thickness distributions are in very good agreement.......The impact of adjacent patterned zones with different active area densities on the current density and electrodeposited layer thickness distribution over a wafer substrate is examined, both by experiment and numerical simulation. The experiments consist in running an acid copper plating process...... on the patterned wafer, and layer thickness measurements by means of X-ray fluorescence (XRF) and atomic force microscopy (AFM). The simulations are based on a potential model approach taking into account electrolyte ohmic drop and electrode polarization effects, combined to a boundary element method (BEM...

  5. Investigating the effects of gas diffusion layer substrate thickness on polymer electrolyte membrane fuel cell performance via synchrotron X-ray radiography

    International Nuclear Information System (INIS)

    Lee, J.; Chevalier, S.; Banerjee, R.; Antonacci, P.; Ge, N.; Yip, R.; Kotaka, T.; Tabuchi, Y.; Bazylak, A.

    2017-01-01

    Synchrotron X-ray radiography was used to visualize the liquid water accumulation in polymer electrolyte membrane (PEM) fuel cells to compare the impact of carbon substrate thickness on water management. A differential fuel cell with an active area of 0.68 cm 2 and rib/channel width of 0.2 mm was custom-made to provide 1-dimensional (1D) conditions over the active area. The fuel cell with the thin substrate (TGP-H-030) outperformed the fuel cell with the thick substrate (TGP-H-060). The fuel cell with the thinner substrate exhibited a higher limiting current density, less liquid water in the microporous layer (MPL)-substrate transition region, and reduced oxygen transport resistance measured through electrochemical impedance spectroscopy (EIS). The compression behaviour of each GDL was also investigated through two consecutive fuel cell assemblies. The pressure in the second assembly was lower than that for the initial assemblies for both GDLs, and this significant change in assembly pressure was more pronounced for the thinner GDL (TGP-H-030). The resulting interfacial contact between the catalyst layer and the GDL was degraded, which manifested in the microscale displacement of fuel cell materials during operation (detected as a negative liquid water thickness). While the thinner GDL provided superior performance, the long term effects of material deformation may exacerbate a heterogeneous distribution of liquid water that could also impact the performance.

  6. Porosity-induced relaxation of strains in GaN layers studied by means of micro-indentation and optical spectroscopy

    KAUST Repository

    Najar, Adel; Gerland, Michel; Jouiad, Mustapha

    2012-01-01

    We report the fabrication of porous GaNnanostructures using UV-assisted electroless etching of bulk GaN layer grown on c-plane sapphire substrate in a solution consisting of HF:CH3OH:H2O2. The morphology of the porous Ga

  7. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  8. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    Science.gov (United States)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  9. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  10. The impact of ScO{sub x}N{sub y} interlayers on unintentional doping and threading dislocations in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, T; Moram, M A; Rao, D V Sridhara; Li, H; Kappers, M J; Oliver, R A, E-mail: tz234@cam.ac.u [Department of Materials Science and Metallurgy, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2010-02-01

    To reduce the threading dislocation density in (0001) GaN grown on c-plane sapphire, a series of samples have been grown using scandium oxynitride (ScO{sub x}N{sub y}) interlayers (ILs) on AlN-on-sapphire templates. Scanning capacitance microscopy (SCM) has been employed to investigate the unintentional doping in GaN with varying ScO{sub x}N{sub y} IL thicknesses. The use of ScO{sub x}N{sub y} ILs decreases the threading dislocation density. An unintentionally n-doped layer has been identified by SCM close to the GaN/ScO{sub x}N{sub y} interface. The average width of this conductive layer has been quantified and found to increase as the ScO{sub x}N{sub y} IL thickness increases up to 13 nm.

  11. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  12. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  13. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  14. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    Science.gov (United States)

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  15. Influence of the film thickness on the structure, optical and electrical properties of ITO coatings deposited by sputtering at room temperature on glass and plastic substrates

    International Nuclear Information System (INIS)

    Guillén, C; Herrero, J

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) films with thickness between 0.2 and 0.7 µm were deposited by sputtering at room temperature on glass and polyethylene terephthalate (PET) substrates. All films were polycrystalline, with crystallite size increasing and lattice distortion decreasing when the film thickness was increased. Besides, transmission in the near-infrared region is found to be decreasing and carrier concentration increasing when the film thickness was increased. For the same thickness, the lattice distortion is slightly lower and the carrier concentration higher for the layers grown on PET substrates. A direct relationship between the lattice distortion and the free carrier concentration has been established, applying to the films grown on glass and plastic substrates. By adjusting ITO coating thickness, sheet resistance below 15 Ω sq −1 and average visible transmittance about 90% have been achieved by sputtering at room temperature

  16. Effects of strain and thickness on the electronic and optical behaviors of two-dimensional hexagonal gallium nitride

    Science.gov (United States)

    Behzad, Somayeh

    2017-06-01

    The full potential linearized augmented plane wave (FP-LAPW) method within the framework of density functional theory has been used to study effects of strain and thickness on the electronic and optical properties of two-dimensional GaN. The band gap of monolayer and bilayer GaN under compressive in-plane strain change from indirect to direct with bond length shortening. Also, the semiconductor to semimetal transition occurs for monolayer and bilayer GaN under in-plane tensile strain with bond length elongation. It is found that the tensile and compressive strains cause the red and blue shifts in the optical spectra, respectively, for both monolayer and bilayer GaN. Applying the perpendicular strain on the bilayer GaN by decreasing the inter layer distance leads to the shift of valence band maximum towards the Γ point in the band structure and shift of peak positions and variation of peak intensities in ε2(ω) spectrum. The results show that the n-layer GaN has an indirect band gap for n < 16. The results suggest that monolayer and multilayer GaN are good candidates for application in optoelectronics and flexible electronics.

  17. Effect of Ga2O3 buffer layer thickness on the properties of Cu/ITO thin films deposited on flexible substrates

    International Nuclear Information System (INIS)

    Zhuang Huihui; Yan Jinliang; Xu Chengyang; Meng Delan

    2014-01-01

    Cu and Cu/ITO films were prepared on polyethylene terephthalate (PET) substrates with a Ga 2 O 3 buffer layer using radio frequency (RF) and direct current (DC) magnetron sputtering. The effect of Cu layer thickness on the optical and electrical properties of the Cu film deposited on a PET substrate with a Ga 2 O 3 buffer layer was studied, and an appropriate Cu layer thickness of 4.2 nm was obtained. Changes in the optoelectrical properties of Cu(4.2 nm)/ITO(30 nm) films were investigated with respect to the Ga 2 O 3 buffer layer thickness. The optical and electrical properties of the Cu/ITO films were significantly influenced by the thickness of the Ga 2 O 3 buffer layer. A maximum transmission of 86%, sheet resistance of 45 Ω/□ and figure of merit of 3.96 × 10 −3 Ω −1 were achieved for Cu(4.2 nm)/ITO(30 nm) films with a Ga 2 O 3 layer thickness of 15 nm. (semiconductor materials)

  18. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  19. Characterization of nanostructure ferrite material on gallium nitride on SiC substrate for millimeter wave integrated circuit

    Directory of Open Access Journals (Sweden)

    Brian O’Keefe

    2017-05-01

    Full Text Available In this paper, for the first time, the characterization of spin-casted thick Barium nano-hexaferrite film on GaN-on-SiC substrate over a broad frequency range of 30-110 GHz is presented. Real and imaginary parts of both permittivity and permeability of the ferrite/polymer film are computed from transmittance data obtained by using a free space quasi-optical millimeter wave spectrometer. The spin-casted composite film shows strong resonance in the Q band, and mixing the powder with polymer slightly shifts the resonance frequency lower compared to pure powder. The high temperature compatibility of GaN substrate enables us to run burn-out tests at temperatures up to 900°C. Significant shortening phenomenon of resonance linewidth after heat treatment was found. Linewidth is reduced from 2.8 kOe to 1.7 kOe. Experiment results show that the aforementioned film is a good candidate in applications of non-reciprocal ferrite devices like isolators, phase shifters, and circulators.

  20. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  1. Hybrid GaN LED with capillary-bonded II–VI MQW color-converting membrane for visible light communications

    International Nuclear Information System (INIS)

    Santos, Joao M M; Jones, Brynmor E; Schlosser, Peter J; Herrnsdorf, Johannes; Guilhabert, Benoit; McKendry, Jonathan J D; Hastie, Jennifer E; Laurand, Nicolas; Dawson, Martin D; Watson, Scott; Kelly, Anthony E; De Jesus, Joel; Garcia, Thor A; Tamargo, Maria C

    2015-01-01

    The rapid emergence of gallium-nitride (GaN) light-emitting diodes (LEDs) for solid-state lighting has created a timely opportunity for optical communications using visible light. One important challenge to address this opportunity is to extend the wavelength coverage of GaN LEDs without compromising their modulation properties. Here, a hybrid source for emission at 540 nm consisting of a 450 nm GaN micro-sized LED (micro-LED) with a micron-thick ZnCdSe/ZnCdMgSe multi-quantum-well color-converting membrane is reported. The membrane is liquid-capillary-bonded directly onto the sapphire window of the micro-LED for full hybridization. At an injection current of 100 mA, the color-converted power was found to be 37 μW. At this same current, the −3 dB optical modulation bandwidth of the bare GaN and hybrid micro-LEDs were 79 and 51 MHz, respectively. The intrinsic bandwidth of the color-converting membrane was found to be power-density independent over the range of the micro-LED operation at 145 MHz, which corresponds to a mean carrier lifetime of 1.9 ns. (paper)

  2. Gan-Hang tectonic belt and its geologic significance

    International Nuclear Information System (INIS)

    Deng Jiarui; Zhang Zhiping.

    1989-01-01

    Gan-Hang tectonic belt is predominantly controlled by Gan-Hang fracture zone. It is mainly composed of Yongfeng-Zhuji downwarping zone, Gan-Hang volcanic activity structural belt and Gan-Hang red basin downfaulted zone. Gan-Hang fracture zone is derived from evolution and development of Shaoxing-Jiangshan deep fracture. It is mainly composed of three deep and large fracture and Fuzhou-Yongfeng large fracture. The fracture zone is a long active belt, but in each active period the geologic structural patterns intensity, depth and forming time were not same. Gan-Hang tectonic belt possesses obvious inheritance. It has always maintained the character of the relative depression or low land since the Caledonian movement. This specific structural environment is favourable for uranium mineralization. At any rate, the formation of this uranium minerogenetic zone has been experiencing a long and complicated processes which were closely associated with long activity of Gan-Hang fracture zone

  3. Defect attributed variations of the photoconductivity and photoluminescence in the HVPE and MOCVD as-grown and irradiated GaN structures

    International Nuclear Information System (INIS)

    Gaubas, E.; Pobedinskas, P.; Vaitkus, J.; Uleckas, A.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.; Aujol, E.; Beaumont, B.; Faurie, J.-P.; Gibart, P.

    2005-01-01

    The effect of native and radiation induced defects on the photoconductivity transients and photoluminescence spectra have been examined in GaN epitaxial layers of 2.5 and 12μm thickness grown on bulk n-GaN/sapphire substrates by metal-organic chemical vapor deposition (MOCVD). For comparison, free-standing GaN as-grown samples of 500μm thickness, fabricated by hydride vapor phase epitaxy (HVPE), were investigated. Manifestation of defects induced by 10-keV X-ray irradiation with the dose of 600Mrad and 100-keV neutrons with the fluences of 5x10 14 and 10 16 cm -2 as well as of 24GeV/c protons with fluence 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the native and radiation defects density. Synchronous decrease of the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively, with density of radiation-induced defects is observed. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime in the photoconductivity transients, which is due to excess-carrier multi-trapping. The decay fits the stretched exponent approximation exp[-(t/τ) α ] with the different factors α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The fracton dimension d s of disordered structure changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, and it implies the percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiations

  4. Ion blocking and channeling studies of heteroepitaxial GaN layers

    International Nuclear Information System (INIS)

    Flagmeyer, R.; Ehrlich, C.; Geist, V.; Otto, G.

    1978-01-01

    Ion channeling and blocking in backscattering measurements were used for the characterization of thin epitaxial GaN layers, which have varied lattice imperfections involved by different growth conditions. In particular, the following characteristics were examined: (1) the thickness and the uniformity of the layers, (2) the depth dependence of the crystalline imperfection, (3) the dislocation density, (4) the spread in the orientation distribution of tilted crystallites, and (5) some other types of imperfections, such as stacking faults, double positioning, twins and bending of the layer

  5. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  6. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  7. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  8. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  9. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    Science.gov (United States)

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  10. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra

    2017-08-24

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  11. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra; Kumar, Ravi; Ganguli, Tapas; Major, Syed S

    2017-01-01

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  12. Electrical transport in GaN and InN nanowires; Elektrischer Transport in GaN- und InN-Nanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Thomas Fabian

    2008-12-19

    This thesis discusses the analysis of the electrical transport in GaN and InN nanowires at room temperature and deep temperatures. From those measurements two different transport models for those two in matter of the band banding completely different materials have been found. In the investigation of the GaN nanowires the main focus was the electrical transport in dependence of the diameter and the n-doping. With the use of IV-measurements on those MBE grown nanowires with different diameters at dark and under UV illumination as well as the decay of the persistent photocurrent, it was possible to find an for GaN untypical behaviour. The electrical transport in those wires is extremely diameter dependent. The dark current shows space charged limited current. With the help of those cognitions a diameter dependent transport model could be found. The transport phenomena in those wires is based on the diameter depending band bending at the edge of the wires caused by the Fermi level pinning inside the forbidden band. This model can be fit to the data with the three parameter doping, fermi level pinning and wire diameter. On the base of those effects a method to determine the doping concentration inside those wires without field effect measurements and contact resistance has been developed. The defect structure inside those wires has been analysed with the help of spectral photoluminescence measurements. Here several defect bands have been found and it was possible with help of several contacts on one single wire to determine different defect regions along the wire and to explain them by the lattice mismatch between nanowire and substrate. Further temperature depending measurements and investigations on Schottky contacted wires as well as on GaN wires with AlN tunnel structures complete the work on GaN. The electrical characterisation on a large scale of undoped and doped InN nanowires shows linear growth of the dark current with the diameter up to wires of around 100 nm

  13. Numerical simulation of the internal stresses of thick tungsten coating deposited by vacuum plasma spraying on copper substrate

    International Nuclear Information System (INIS)

    Salito, A.; Tului, M.; Casadei, F.

    1998-01-01

    Several Divertor components in the new generation of nuclear fusion reactors need to be protected against ion sputtering. Particularly copper based (Cu) material is very sensitive to this sputtering process. A solution to overcome such component wear and plasma contamination is to protect the copper substrate with a thick tungsten (W) functional coating. The main difficulty to produce such components is the significant difference in the coating thermomechanical properties between W and Cu. The Vacuum Plasma Spraying coating process (VPS) is a very flexible new economical way to find a solution to the above problem. To optimise the adhesion and stress release properties between the Cu-alloy substrate and the W coating, it is possible to deposit an interlayer as a bond coat between both materials. The aim of this study is to determine the maximum of the residual stresses located between the Cu substrate and the W coating using finite element analysis. The results have been used to select different types of bond coat for the experimental development of thick W coating (>3 mm) on to mock-ups for the Divertor Channel of the ITER project. (author)

  14. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  15. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  16. Effect of GaN buffer polarization on electron distribution of AlGaN/GaN heterostructure

    International Nuclear Information System (INIS)

    He, Xiaoguang; Zhao, Degang; Liu, Wei; Yang, Jing; Li, Xiaojing; Li, Xiang

    2016-01-01

    The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. A misunderstanding about the 2DEG sheet density expression is clarified. It is predicted by theoretical analysis and validated by self-consistent Schrodinger–Poisson numerical simulation that under the force of GaN polarization, large amounts of electrons will accumulate at the GaN/substrate interface in AlGaN/GaN/substrate HEMT structure. - Highlights: • The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. • Self-consistent Schrodinger–Poisson numerical simulation is used to modulate the AlGaN/GaN/substrate structure. • It is predicted by that large amounts of electrons will accumulate at the GaN/substrate interface.

  17. Effect of GaN buffer polarization on electron distribution of AlGaN/GaN heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    He, Xiaoguang; Zhao, Degang, E-mail: dgzhao@red.semi.ac.cn; Liu, Wei; Yang, Jing; Li, Xiaojing; Li, Xiang

    2016-06-15

    The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. A misunderstanding about the 2DEG sheet density expression is clarified. It is predicted by theoretical analysis and validated by self-consistent Schrodinger–Poisson numerical simulation that under the force of GaN polarization, large amounts of electrons will accumulate at the GaN/substrate interface in AlGaN/GaN/substrate HEMT structure. - Highlights: • The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. • Self-consistent Schrodinger–Poisson numerical simulation is used to modulate the AlGaN/GaN/substrate structure. • It is predicted by that large amounts of electrons will accumulate at the GaN/substrate interface.

  18. Spatially and spectrally resolved photoluminescence of InGaN MQWs grown on highly Si doped a-plane GaN buffer

    Energy Technology Data Exchange (ETDEWEB)

    Thunert, Martin; Wieneke, Matthias; Dempewolf, Anja; Bertram, Frank; Dadgar, Armin; Krost, Alois; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany)

    2011-07-01

    A set of InGaN multi quantum well (MQW) samples grown by MOVPE on highly Si doped a-plane GaN on r-plane sapphire templates has been investigated using spatially resolved photoluminescence spectroscopy ({mu}-PL). The Si doping level of nominal about 10{sup 20} cm{sup -3} leads to three dimensionally grown crystallites mostly terminated by m-facets. The MQW thickness has been systematically varied from nominally 2.1 to 4.2 nm, as well as the InGaN growth temperature, which was varied from 760 C to 700 C. The growth of a-plane GaN based devices leads to a non-polar growth direction avoiding the polarization field affected Quantum-Confined-Stark-Effect. Spatially resolved PL studies show for all samples low near band edge (NBE) GaN emission intensity over the whole area under investigation accompanied by highly intense InGaN MQW emission for single crystallites. The MQW luminescence shows a systematic blueshift with increasing InGaN growth temperature due to lower In incorporation as well as a systematic redshift with increasing MQW thickness. Excitation power dependent spectra at 4 K as well as temperature dependent PL spectra will be presented.

  19. Exfoliation of Threading Dislocation-Free, Single-Crystalline, Ultrathin Gallium Nitride Nanomembranes

    KAUST Repository

    Elafandy, Rami T.

    2014-04-01

    Despite the recent progress in gallium nitride (GaN) growth technology, the excessively high threading dislocation (TD) density within the GaN crystal, caused by the reliance on heterogeneous substrates, impedes the development of high-efficiency, low-cost, GaN-based heterostructure devices. For the first time, the chemical exfoliation of completely TD-free, single-crystalline, ultrathin (tens of nanometers) GaN nanomembranes is demonstrated using UV-assisted electroless chemical etching. These nanomembranes can act as seeding layers for subsequent overgrowth of high-quality GaN. A model is proposed, based on scanning and transmission electron microscopy as well as optical measurements to explain the physical processes behind the formation of the GaN nanomembranes. These novel nanomembranes, once transferred to other substrates, present a unique and technologically attractive path towards integrating high-efficiency GaN optical components along with silicon electronics. Interestingly, due to their nanoscale thickness and macroscopic sizes, these nanomembranes may enable the production of flexible GaN-based optoelectronics. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Facile synthesis and photoluminescence spectroscopy of 3D-triangular GaN nano prism islands.

    Science.gov (United States)

    Kumar, Mukesh; Pasha, S K; Shibin Krishna, T C; Singh, Avanish Pratap; Kumar, Pawan; Gupta, Bipin Kumar; Gupta, Govind

    2014-08-21

    We report a strategy for fabrication of 3D triangular GaN nano prism islands (TGNPI) grown on Ga/Si(553) substrate at low temperature by N2(+) ions implantation using a sputtering gun technique. The annealing of Ga/Si(553) (600 °C) followed by nitridation (2 keV) shows the formation of high quality GaN TGNPI cross-section. TGNPI morphology has been confirmed by atomic force microscopy. Furthermore, these nano prism islands exhibit prominent ultra-violet luminescence peaking at 366 nm upon 325 nm excitation wavelength along with a low intensity yellow luminescence broad peak at 545 nm which characterizes low defects density TGNPI. Furthermore, the time-resolved spectroscopy of luminescent TGNPI in nanoseconds holds promise for its futuristic application in next generation UV-based sensors as well as many portable optoelectronic devices.

  1. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  2. Thickness dependence of magnetic anisotropy and domains in amorphous Co{sub 40}Fe{sub 40}B{sub 20} thin films grown on PET flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Zhenhua, E-mail: tangzhenhua1988@163.com [Guangdong Provincial Key Laboratory of Functional Soft Condensed Matter, School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Ni, Hao [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); College of science, China university of petroleum, Qingdao, Shandong 266580 China (China); Lu, Biao [Guangdong Provincial Key Laboratory of Functional Soft Condensed Matter, School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Zheng, Ming [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Huang, Yong-An [Guangdong Provincial Key Laboratory of Functional Soft Condensed Matter, School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Lu, Sheng-Guo, E-mail: sglu@gdut.edu.cn [Guangdong Provincial Key Laboratory of Functional Soft Condensed Matter, School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Tang, Minghua [Key Laboratory of Low Dimensional Materials and Application Technology, Ministry of Education (Xiangtan University), Xiangtan, Hunan 411105 (China); Gao, Ju [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China)

    2017-03-15

    The amorphous Co{sub 40}Fe{sub 40}B{sub 20} (CoFeB) films (5–200 nm in thickness) were grown on flexible polyethylene terephthalate (PET) substrates using the DC magnetron-sputtering method. The thickness dependence of structural and magnetic properties of flexible CoFeB thin films was investigated in detail. The in-plane uniaxial magnetic anisotropy induced by strain as a function of thickness was obtained in flexible CoFeB thin films, and a critical thickness of ~150 nm for in-plane magnetic anisotropy was observed. Moreover, the domains and the uniaxial anisotropy as a function of angular direction of applied magnetic field were characterized. The results show potential for designing CoFeB-based flexible spintronic devices in which the physical parameters could be tailored by controlling the thickness of the thin film. - Graphical abstract: The in-plane uniaxial magnetic anisotropy induced by strain as a function of thickness was obtained in flexible CoFeB thin films, and a critical thickness of ~150 nm for in-plane magnetic anisotropy was observed. Moreover, the domains and the uniaxial anisotropy as a function of angular direction of applied magnetic field were characterized. - Highlights: • The thickness effect on the magnetic properties in amorphous CoFeB thin films grown on flexible substrates was investigated. • The in-plane uniaxial magnetic anisotropy induced by strains was observed. • A critical thickness of ~ 150 nm for the flexible CoFeB thin film on PET substrate was obtained.

  3. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  4. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  5. Thermal analysis of GaN laser diodes in a package structure

    International Nuclear Information System (INIS)

    Feng Mei-Xin; Jiang De-Sheng; Zeng Chang; Li Zeng-Cheng; Yang Hui; Zhang Shu-Ming; Liu Jian-Ping; Wang Hui; Wang Huai-Bing; Wang Feng

    2012-01-01

    Using the finite-element method, the thermal resistances of GaN laser diode devices in a TO 56 package for both epi-up configuration and epi-down configuration are calculated. The effects of various parameters on the thermal characteristics are analysed, and the thicknesses of the AlN submount for both epi-up configuration and epi-down configuration are optimized. The obtained result provides a reference for the parameter selection of the package materials. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  6. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  7. BInGaN alloys nearly lattice-matched to GaN for high-power high-efficiency visible LEDs

    Science.gov (United States)

    Williams, Logan; Kioupakis, Emmanouil

    2017-11-01

    InGaN-based visible light-emitting diodes (LEDs) find commercial applications for solid-state lighting and displays, but lattice mismatch limits the thickness of InGaN quantum wells that can be grown on GaN with high crystalline quality. Since narrower wells operate at a higher carrier density for a given current density, they increase the fraction of carriers lost to Auger recombination and lower the efficiency. The incorporation of boron, a smaller group-III element, into InGaN alloys is a promising method to eliminate the lattice mismatch and realize high-power, high-efficiency visible LEDs with thick active regions. In this work, we apply predictive calculations based on hybrid density functional theory to investigate the thermodynamic, structural, and electronic properties of BInGaN alloys. Our results show that BInGaN alloys with a B:In ratio of 2:3 are better lattice matched to GaN compared to InGaN and, for indium fractions less than 0.2, nearly lattice matched. Deviations from Vegard's law appear as bowing of the in-plane lattice constant with respect to composition. Our thermodynamics calculations demonstrate that the solubility of boron is higher in InGaN than in pure GaN. Varying the Ga mole fraction while keeping the B:In ratio constant enables the adjustment of the (direct) gap in the 1.75-3.39 eV range, which covers the entire visible spectrum. Holes are strongly localized in non-bonded N 2p states caused by local bond planarization near boron atoms. Our results indicate that BInGaN alloys are promising for fabricating nitride heterostructures with thick active regions for high-power, high-efficiency LEDs.

  8. GHz modulation enabled using large extinction ratio waveguide-modulator integrated with 404 nm GaN laser diode

    KAUST Repository

    Shen, Chao

    2017-01-30

    A 404-nm emitting InGaN-based laser diode with integrated-waveguide-modulator showing a large extinction ratio of 11.3 dB was demonstrated on semipolar (2021) plane GaN substrate. The device shows a low modulation voltage of −2.5 V and ∼ GHz −3 dB bandwidth, enabling 1.7 Gbps data transmission.

  9. GHz modulation enabled using large extinction ratio waveguide-modulator integrated with 404 nm GaN laser diode

    KAUST Repository

    Shen, Chao; Lee, Changmin; Ng, Tien Khee; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.; Alyamani, Ahmed Y.; Eldesouki, Munir M.; Ooi, Boon S.

    2017-01-01

    A 404-nm emitting InGaN-based laser diode with integrated-waveguide-modulator showing a large extinction ratio of 11.3 dB was demonstrated on semipolar (2021) plane GaN substrate. The device shows a low modulation voltage of −2.5 V and ∼ GHz −3 dB bandwidth, enabling 1.7 Gbps data transmission.

  10. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  11. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  12. Vibrational modes and strain in GaN/AlN quantum dot stacks: dependence on spacer thickness

    Energy Technology Data Exchange (ETDEWEB)

    Fresneda, J.; Cros, A.; Llorens, J.M.; Garcia-Cristobal, A.; Cantarero, A. [Institut de Ciencia del Materials, Universitat de Valencia, 46071 Valencia (Spain); Amstatt, B.; Bellet-Amalric, E.; Daudin, B. [CEA-CNRS Group, Nanophysique et Semiconducteurs, DRFMC/SP2M/PSC, CEA-Grenoble, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France)

    2007-06-15

    We have investigated the influence of spacer thickness on the vibrational and strain characteristics of GaN/AlN quantum dot multilayers (QD). The Raman shift corresponding to the E{sub 2h} vibrational mode related to the QDs has been analyzed for AlN thicknesses ranging from 4.4 nm to 13 nm, while the amount of GaN deposited in each layer remained constant from sample to sample. It is shown that there is a rapid blue shift of the GaN vibrational mode with spacer thickness when its value is smaller than 7 nm while it remains almost constant for thicker spacers. A rapid increase of the Raman line-width in the thicker samples is also observed. The experimental behavior is discussed in comparison with the results of a theoretical model for the strain in the QDs. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  14. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    Science.gov (United States)

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  15. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  16. Enhanced off-resonance magnetoelectric response in laser annealed PZT thick film grown on magnetostrictive amorphous metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Palneedi, Haribabu [Materials Interface Laboratory, Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701 (Korea, Republic of); Functional Ceramics Group, Korea Institute of Materials Science (KIMS), Changwon 641-831 (Korea, Republic of); Maurya, Deepam; Priya, Shashank [Bio-inspired Materials and Devices Laboratory (BMDL), Center for Energy Harvesting Materials and Systems (CEHMS), Virginia Tech, Blacksburg, Virginia 24061 (United States); Kim, Gi-Yeop; Choi, Si-Young, E-mail: youngchoi@kims.re.kr [Materials Modeling and Characterization Department, Korea Institute of Materials Science (KIMS), Changwon 641-831 (Korea, Republic of); Kang, Suk-Joong L. [Materials Interface Laboratory, Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701 (Korea, Republic of); Kim, Kwang-Ho [School of Materials Science and Engineering, Pusan National University, Busan 609-735 (Korea, Republic of); Ryu, Jungho, E-mail: jhryu@kims.re.kr [Functional Ceramics Group, Korea Institute of Materials Science (KIMS), Changwon 641-831 (Korea, Republic of)

    2015-07-06

    A highly dense, 4 μm-thick Pb(Zr,Ti)O{sub 3} (PZT) film is deposited on amorphous magnetostrictive Metglas foil (FeBSi) by granule spray in vacuum process at room temperature, followed by its localized annealing with a continuous-wave 560 nm ytterbium fiber laser radiation. This longer-wavelength laser radiation is able to anneal the whole of thick PZT film layer without any deteriorative effects, such as chemical reaction and/or atomic diffusion, at the interface and crystallization of amorphous Metglas substrate. Greatly enhanced dielectric and ferroelectric properties of the annealed PZT are attributed to its better crystallinity and grain growth induced by laser irradiation. As a result, a colossal off-resonance magnetoelectric (ME) voltage coefficient that is two orders of magnitude larger than previously reported output from PZT/Metglas film-composites is achieved. The present work addresses the problems involved in the fabrication of PZT/Metglas film-composites and opens up emerging possibilities in employing piezoelectric materials with low thermal budget substrates (suitable for integrated electronics) and designing laminate composites for ME based devices.

  17. Assembly of phosphonic acids on GaN and AlGaN

    Energy Technology Data Exchange (ETDEWEB)

    Simpkins, B S; Stine, R; Theodore, N D; Pehrsson, P E [Chemistry Division, Naval Research Laboratory, Washington DC (United States); Hong, S [Thomas Jefferson High School, McClean, VA (United States); Maekinen, A J [Optical Sciences Division, Naval Research Laboratory, Washington, DC (United States); Mastro, M A; Eddy, C R Jr [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC (United States)

    2010-01-13

    Self-assembled monolayers of octadecylphosphonic acid and 16-phosphonohexadecanoic acid (PHDA) were formed on the semiconductor substrates gallium nitride (GaN) and aluminium gallium nitride (AlGaN). The presence of the molecular layers was verified through x-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy. Structural information was acquired with infrared spectroscopy which verified the bonding orientation of the carboxyl-containing PHDA. The impact of the molecular layers on the channel conductivity and the surface electronic structure of an AlGaN/GaN heterostructure was measured. Our results indicate that pinning of the surface Fermi level prohibits modification of the channel conductivity by the layer. However, a surface dipole of {approx}0.8 eV is present and associated with both phosphonic acid layers. These results are of direct relevance to field-effect-based biochemical sensors and metal-semiconductor contact formation for this system and provide a fundamental basis for further applications of GaN and AlGaN technology in the fields of biosensing and microelectronics.

  18. Epitaxial growth of 100-μm thick M-type hexaferrite crystals on wide bandgap semiconductor GaN/Al{sub 2}O{sub 3} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Bolin; Su, Zhijuan; Bennett, Steve; Chen, Yajie, E-mail: y.chen@neu.edu; Harris, Vincent G. [Center for Microwave Magnetic Materials and Integrated Circuits and Department of Electrical and Computer Engineering, Northeastern University, Boston, Massachusetts 02115 (United States)

    2014-05-07

    Thick barium hexaferrite BaFe{sub 12}O{sub 19} (BaM) films having thicknesses of ∼100 μm were epitaxially grown on GaN/Al{sub 2}O{sub 3} substrates from a molten-salt solution by vaporizing the solvent. X-ray diffraction measurement verified the growth of BaM (001) textured growth of thick films. Saturation magnetization, 4πM{sub s}, was measured for as-grown films to be 4.6 ± 0.2 kG and ferromagnetic resonance measurements revealed a microwave linewidth of ∼100 Oe at X-band. Scanning electron microscopy indicated clear hexagonal crystals distributed on the semiconductor substrate. These results demonstrate feasibility of growing M-type hexaferrite crystal films on wide bandgap semiconductor substrates by using a simple powder melting method. It also presents a potential pathway for the integration of ferrite microwave passive devices with active semiconductor circuit elements creating system-on-a-wafer architectures.

  19. Determination of the coating base thickness with beta backscattering gauges

    International Nuclear Information System (INIS)

    Krejndlin, I.I.; Novikov, V.S.; Pravikov, A.A.

    1976-01-01

    In using beta thickness meters for coating examination, it is necessary that the substrate thickness be greater or equal to the saturation thickness for which one can neglect a systematic error caused by substrate thickness variation. A formula is derived and nomograms are presented for the determination of the substrate saturation thickness with the account of factors affecting the results of coating thickness measurement. The results of saturation thickness calculation are tabulated for a number of substrate materials with using different β-sources ( 147 Pm, 85 Kr, 90 Sr+ 90 Y)

  20. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  1. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    International Nuclear Information System (INIS)

    Ravikiran, L.; Radhakrishnan, K.; Ng, G. I.; Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S.

    2015-01-01

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr 4 beam equivalent pressure of 1.86 × 10 −7 mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics

  2. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I. [NOVITAS-Nanoelectronics, Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S. [Temasek Laboratories@NTU, Nanyang Technological University, Singapore 637553 (Singapore)

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.

  3. A study for the detection of ionizing particles with phototransistors on thick high-resistivity silicon substrates

    International Nuclear Information System (INIS)

    Batignani, G.; Angelini, C.; Bisogni, M.G.; Boscardin, M.; Bettarini, S.; Bondioli, M.; Bosisio, L.; Bucci, F.; Calderini, G.; Carpinelli, M.; Ciacchi, M.; Dalla Betta, G.F.; Dittongo, S.; Forti, F.; Giorgi, M.A.; Gregori, P.; Han, D.J.; Manfredi, P.F.; Manghisoni, M.; Marchiori, G.; Neri, N.; Novelli, M.; Paoloni, E.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Rizzo, G.; Ronchin, S.; Rosso, V.; Simi, G.; Speziali, V.; Stefanini, A.; Zorzi, N.

    2004-01-01

    We report on bipolar NPN phototransistors fabricated at ITC-IRST on thick high-resistivity silicon substrates. The phototransistor emitter is composed of a phosphorus n+ implant, the base is a diffused high-energy boron implant, and the collector is the 600-800 μm thick silicon bulk, contacted on the backplane. We have studied the current amplification for two different doping profiles of the emitter, obtaining values of β ranging from 60 to 3000. For various emitter and base configurations, we measured the static device characteristics and extracted the leakage currents and the base resistance, verifying the fundamental relationship between them and the total base capacitances. The use of such phototransistors to detect ionizing particles is exploited and discussed

  4. A study for the detection of ionizing particles with phototransistors on thick high-resistivity silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Batignani, G. E-mail: giovanni.batignani@pi.infn.it; Angelini, C.; Bisogni, M.G.; Boscardin, M.; Bettarini, S.; Bondioli, M.; Bosisio, L.; Bucci, F.; Calderini, G.; Carpinelli, M.; Ciacchi, M.; Dalla Betta, G.F.; Dittongo, S.; Forti, F.; Giorgi, M.A.; Gregori, P.; Han, D.J.; Manfredi, P.F.; Manghisoni, M.; Marchiori, G.; Neri, N.; Novelli, M.; Paoloni, E.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Rizzo, G.; Ronchin, S.; Rosso, V.; Simi, G.; Speziali, V.; Stefanini, A.; Zorzi, N

    2004-09-01

    We report on bipolar NPN phototransistors fabricated at ITC-IRST on thick high-resistivity silicon substrates. The phototransistor emitter is composed of a phosphorus n+ implant, the base is a diffused high-energy boron implant, and the collector is the 600-800 {mu}m thick silicon bulk, contacted on the backplane. We have studied the current amplification for two different doping profiles of the emitter, obtaining values of {beta} ranging from 60 to 3000. For various emitter and base configurations, we measured the static device characteristics and extracted the leakage currents and the base resistance, verifying the fundamental relationship between them and the total base capacitances. The use of such phototransistors to detect ionizing particles is exploited and discussed.

  5. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  6. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength

    Directory of Open Access Journals (Sweden)

    Kaishi Wang

    2018-03-01

    Full Text Available The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young’s modulus, on the in-plane stress distribution have also been investigated. ‘Thickness-averaged In-plane Stress’ (TIS, a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  7. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength.

    Science.gov (United States)

    Wang, Kaishi; Zhang, Fangzhou; Bordia, Rajendra K

    2018-03-27

    The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm) on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young's modulus, on the in-plane stress distribution have also been investigated. 'Thickness-averaged In-plane Stress' (TIS), a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  8. Investigation on the surface characterization of Ga-faced GaN after chemical-mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Hua [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zhou, Yan; Shi, Xiaolei; Zou, Chunli; Zhang, Suman [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-05-30

    Highlights: • Tiny-sized nanoparticles were introduced in GaN CMP to realize a good surface. • The relationship between surface characterization and abrasive size was conducted. • An atomic step-terrace structure was achieved on GaN surface after CMP. • Pt/C catalyst nanoparticles were used in GaN CMP to get a higher MRR. - Abstract: The relationship between the surface characterization after chemical mechanical polishing (CMP) and the size of the silica (SiO{sub 2}) abrasive used for CMP of gallium nitride (GaN) substrates was investigated in detail. Atomic force microscope was used for measuring the surface morphology, pit feature, pit depth distribution, and atomic step-terrace structure. With the decrease of SiO{sub 2} abrasive size, the pit depth reduced and the atomic step-terrace structure became more whole with smaller damage area, resulting in smaller roughness. For tiny-sized SiO{sub 2} abrasive, an almost complete atomic step-terrace structure with 0.0523 nm roughness was achieved. On the other hand, in order to acquire higher removal, Pt/C nanoparticle was employed as a catalyst in CMP slurry. The result indicates that when Pt/C catalyst content was reached to 1.0 ppm, material removal rate was increased by 47.69% compared to that by none of the catalyst, and besides, the pit depth reduced and the surface atomic step-terrace structure was not destroyed. The Pt/C nanoparticle is proved to be the promising catalyst to the surface preparation of super-hard and inert materials with high efficiency and good surface.

  9. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    Energy Technology Data Exchange (ETDEWEB)

    Long, CL; Del Genio, A; Deng, M; Fu, X; Gustafson, W; Houze, R; Jakob, C; Jensen, M; Johnson, R; Liu, X; Luke, E; May, P; McFarlane, S; Minnis, P; Schumacher, C; Vogelmann, A; Wang, Y; Webster, P; Xie, S; Zhang, C

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJO initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include sonde

  10. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  11. Polarization Raman spectroscopy of GaN nanorod bundles

    International Nuclear Information System (INIS)

    Tite, T.; Lee, C. J.; Chang, Y.-M.

    2010-01-01

    We performed polarization Raman spectroscopy on single wurtzite GaN nanorod bundles grown by plasma-assisted molecular beam epitaxy. The obtained Raman spectra were compared with those of GaN epilayer. The spectral difference between the GaN nanorod bundles and epilayer reveals the relaxation of Raman selection rules in these GaN nanorod bundles. The deviation of polarization-dependent Raman spectroscopy from the prediction of Raman selection rules is attributed to both the orientation of the crystal axis with respect to the polarization vectors of incident and scattered light and the structural defects in the merging boundary of GaN nanorods. The presence of high defect density induced by local strain at the merging boundary was further confirmed by transmission electron microscopy. The averaged defect interspacing was estimated to be around 3 nm based on the spatial correlation model.

  12. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  13. Schottky contacts to polar and nonpolar n-type GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hogyoung [Hanbat National University, Daejeon (Korea, Republic of); Phark, Soohyon [Max-Planck-Institut fur Mikrostrukturphysik, Halle (Germany); Song, Keunman [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of); Kim, Dongwook [Ewha Woman' s University, Seoul (Korea, Republic of)

    2012-01-15

    Using the current-voltage measurements, we observed the barrier heights of c-plane GaN in Pt and Au Schottky contacts to be higher than those of a-plane GaN. However, the barrier height of c-plane GaN was lower than that of a-plane GaN in the Ti Schottky contacts. The N/Ga ratio calculated by integrating the X-ray photoelectron spectroscopy (XPS) spectra of Ga 3d and N 1s core levels showed that c-plane GaN induced more Ga vacancies near the interface than a-plane GaN in the Ti Schottky contacts, reducing the effective barrier height through an enhancement of the tunneling probability.

  14. Study of using aqueous NH{sub 3} to synthesize GaN nanowires on Si(1 1 1) by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia)

    2013-03-20

    Highlights: ► This study presents a facile, low cost and safe method to synthesize high quality GaN NWs, by using NH{sub 3} solution as N source. ► Moderating the N{sub 2} flow rate improved the crystalline quality of the NWs and also produced zigzag shaped NWs. ► Raman spectra showed that the synthesized GaN NWs had hexagonal wurtzite structures as a result of increased tensile stress. ► By moderating N{sub 2} flow, strong NBE emission peaks at about 364 nm and YB is subsided. -- Abstract: High-quality GaN nanowires (NWs) and zigzag-shaped NWs were grown on catalyst-free Si(1 1 1) substrate by thermal chemical vapor deposition (TCVD). Gallium (Ga) metal and aqueous NH{sub 3} solution are used as a source of materials. Ga vapor was directly reacts with gaseous NH{sub 3} under controlled nitrogen flow at 1050 °C. Scanning electron microscopy (SEM) images showed that the morphology of GaN displayed various densities of NWs and zigzag NWs depending on the gas flow rate, and increased nitrogen flow rate caused density reduction. The GaN NWs exhibited clear X-ray diffraction analysis (XRD) peaks that corresponded to GaN with hexagonal wurtzite structures. The photoluminescence spectra showed that the ultraviolet band emission of GaN NWs had a strong near band-edge emission (NBE) at 361–367 nm. Yellow band emissions were observed at low and high flow rates due to nitrogen and Ga vacancies, respectively. Moderate N{sub 2} flow resulted in a strong NBE emission and a high optical quality of the NWs. This study shows the possibility of low-cost synthesis of GaN nanostructures on Si wafers using aqueous NH{sub 3} solution.

  15. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    Science.gov (United States)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  16. Plastic properties of thin films on substrates as measured by submicron indentation hardness and substrate curvature techniques

    International Nuclear Information System (INIS)

    Doerner, M.F.; Gardner, D.S.; Nix, W.D.

    1986-01-01

    Substrate curvature and submicron indentation measurements have been used recently to study plastic deformation in thin films on substrates. In the present work both of these techniques have been employed to study the strength of aluminum and tungsten thin films on silicon substrates. In the case of aluminum films on silicon substrates, the film strength is found to increase with decreasing thickness. Grain size variations with film thickness do not account for the variations in strength. Wafer curvature measurements give strengths higher than those predicted from hardness measurements suggesting the substrate plays a role in strengthening the film. The observed strengthening effect with decreased thickness may be due to image forces on dislocations in the film due to the elastically stiffer silicon substrate. For sputtered tungsten films, where the substrate is less stiff than the film, the film strength decreases with decreasing film thickness

  17. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  18. Submicron beam X-ray diffraction of nanoheteroepitaxily grown GaN: Experimental challenges and calibration procedures

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: PeterBonanno@gatech.ed [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, New York 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martin, J. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Martinez, A. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Moudakir, T. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Materiaux, UMR CNRS 7078, Ile du Saulcy, 57045 Metz Cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionises et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-les-Nancy Cedex (France); Ramdane, A.; Gratiet, L. Le [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2010-02-15

    Highly relaxed GaN nanodots and submicron ridges have been selectively grown in the NSAG regime using MOVPE on lattice mismatched 6H-SiC and AlN substrates. 2D real space and 3D reciprocal space mapping was performed with a CCD detector using 10.4 keV synchrotron X-ray radiation at the 2-ID-D micro-diffraction beamline at Advanced Photon Source (APS). Calibration procedures have been developed to overcome the unique challenges of analyzing NSAG structures grown on highly mismatched substrates. We studied crystallographic planar bending on the submicron scale and found its correlation with strain relaxation in the NSAG ridges.

  19. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  20. Zn-dopant dependent defect evolution in GaN nanowires

    Science.gov (United States)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta