WorldWideScience

Sample records for technology semiconductor process

  1. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  2. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  3. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  4. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  5. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  6. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  7. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  8. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  9. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  10. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  11. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  12. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  13. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  14. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  15. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  16. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  17. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  18. Review of the Semiconductor Industry and Technology Roadmap.

    Science.gov (United States)

    Kumar, Sameer; Krenner, Nicole

    2002-01-01

    Points out that the semiconductor industry is extremely competitive and requires ongoing technological advances to improve performance while reducing costs to remain competitive and how essential it is to gain an understanding of important facets of the industry. Provides an overview of the initial and current semiconductor technology roadmap that…

  19. Thermal Management of Power Semiconductor Packages - Matching Cooling Technologies with Packaging Technologies (Presentation)

    Energy Technology Data Exchange (ETDEWEB)

    Bennion, K.; Moreno, G.

    2010-04-27

    Heat removal for power semiconductor devices is critical for robust operation. Because there are different packaging options, different thermal management technologies, and a range of applications, there is a need for a methodology to match cooling technologies and package configurations to target applications. To meet this need, a methodology was developed to compare the sensitivity of cooling technologies on the overall package thermal performance over a range of power semiconductor packaging configurations. The results provide insight into the trade-offs associated with cooling technologies and package configurations. The approach provides a method for comparing new developments in power semiconductor packages and identifying potential thermal control technologies for the package. The results can help users select the appropriate combination of packaging configuration and cooling technology for the desired application.

  20. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  1. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  2. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    Science.gov (United States)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  3. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  4. Radiation effects in technologies of semiconductor materials and devises

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Bogatyrev, Yu.V.; Lastovskij, S.B.; Marchenko, I.G.; Zhdanovich, N.E.

    2003-01-01

    In the paper were considered the physical basics and practical results of using of penetrating radiations in technologies of nuclear transmutation of semiconductor materials (Si, GaAs) as well as in production of semiconductor devices including high-power silicon diodes, thyristors and transistors. It is shown the high efficiency of radiation technology for increasing of electronic device speed, exclusion of technological operations such as gold or platinum diffusions, increase of quality, decrease of prime cost and increase of good-to-bad device ratio yield

  5. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  6. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  7. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  8. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  9. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  10. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  11. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  12. Summary of Industry-Academia Collaboration Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Toyoda, Noriaki; Matsuo, Jiro

    2008-01-01

    Processes employing clusters of ions comprised of a few hundred to many thousand atoms are now being developed into a new field of ion beam technology. Cluster-surface collisions produce important non-linear effects which are being applied to shallow junction formation, to etching and smoothing of semiconductors, metals, and dielectrics, to assisted formation of thin films with nano-scale accuracy, and to other surface modification applications. In 2000, a four year R and D project for development of industrial technology began in Japan under funding from the New Energy and Industrial Technology Development Organization (NEDO). Subjects of the projects are in areas of equipment development, semiconductor surface processing, high accuracy surface processing and high-quality film formation. In 2002, another major cluster ion beam project which emphasized nano-technology applications has started under a contract from the Ministry of Economy and Technology for Industry (METI). This METI project involved development related to size-selected cluster ion beam equipment and processes, and development of GCIB processes for very high rate etching and for zero damage etching of magnetic materials and compound semiconductor materials. This paper describes summery of the results.

  13. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  14. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  15. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  16. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Evolution of Ion Implantation Technology and its Contribution to Semiconductor Industry

    International Nuclear Information System (INIS)

    Tsukamoto, Katsuhiro; Kuroi, Takashi; Kawasaki, Yoji

    2011-01-01

    Industrial aspects of the evolution of ion implantation technology will be reviewed, and their impact on the semiconductor industry will be discussed. The main topics will be the technology's application to the most advanced, ultra scaled CMOS, and to power devices, as well as productivity improvements in implantation technology. Technological insights into future developments in ion-related technologies for emerging industries will also be presented.

  18. Diagnosing modern semiconductor processes with the new generation of Atomika TXRF systems

    International Nuclear Information System (INIS)

    Dobler, M.; Jung, M.; Greithanner, S.

    2000-01-01

    Responding to the latest demands in semiconductor process technology, ATOMIKA Instruments has developed a new TXRF surface analyzer generation TXRF 8300/8200W for wafer sizes up to 300 mm. This new tool set provides extended automation features for routine measurements in daily quality control as for unconventional demands in scientific work. The efficiency of the systems is illustrated and compared to the older TXRF 8030W generation. Measurement results gained on usual contaminated wafer surfaces as well as on new semiconductor material substrates are presented and prove the advantages of the improvements and novelties. The possibility to perform an analytical study at thin layers to determine layer thickness and density is demonstrated. A summary of the newest measurement results using these instruments and an outlook for further developments is given. (author)

  19. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  20. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  1. Thermoreflectance spectroscopy—Analysis of thermal processes in semiconductor lasers

    Science.gov (United States)

    Pierścińska, D.

    2018-01-01

    This review focuses on theoretical foundations, experimental implementation and an overview of experimental results of the thermoreflectance spectroscopy as a powerful technique for temperature monitoring and analysis of thermal processes in semiconductor lasers. This is an optical, non-contact, high spatial resolution technique providing high temperature resolution and mapping capabilities. Thermoreflectance is a thermometric technique based on measuring of relative change of reflectivity of the surface of laser facet, which provides thermal images useful in hot spot detection and reliability studies. In this paper, principles and experimental implementation of the technique as a thermography tool is discussed. Some exemplary applications of TR to various types of lasers are presented, proving that thermoreflectance technique provides new insight into heat management problems in semiconductor lasers and in particular, that it allows studying thermal degradation processes occurring at laser facets. Additionally, thermal processes and basic mechanisms of degradation of the semiconductor laser are discussed.

  2. Semiconductor measurement technology: reliability technology for cardiac pacemakers 2: a workshop report, 1976

    International Nuclear Information System (INIS)

    Schafft, H.A.

    1977-01-01

    Summaries are presented of 12 invited talks on the following topics: the procurement and assurance of high reliability electronic parts, leak rate and moisture measurements, pacemaker batteries, and pacemaker leads. The workshop, second in a series, was held in response to strong interest expressed by the pacemaker community to address technical questions relevant to the enhancement and assurance of cardiac pacemaker reliability. Discussed at the workshop were a process validation wafer concept for assuring process uniformity in device chips; screen tests for assuring reliable electronic parts; reliability prediction; reliability comparison of semiconductor technologies; mechanisms of short-circuiting dendritic growths; details of helium and radioisotope leak test methods; a study to correlate package leak rates, as measured with test gasses, and actual moisture infusion; battery life prediction; microcalorimetric measurements to nondestructively evaluate batteries for pacemakers; and an engineer's and a physician's view of the present status of pacemaker leads. References are included with most of the reports

  3. Semiconductor technology in protein kinase research and drug discovery: sensing a revolution.

    Science.gov (United States)

    Bhalla, Nikhil; Di Lorenzo, Mirella; Estrela, Pedro; Pula, Giordano

    2017-02-01

    Since the discovery of protein kinase activity in 1954, close to 600 kinases have been discovered that have crucial roles in cell physiology. In several pathological conditions, aberrant protein kinase activity leads to abnormal cell and tissue physiology. Therefore, protein kinase inhibitors are investigated as potential treatments for several diseases, including dementia, diabetes, cancer and autoimmune and cardiovascular disease. Modern semiconductor technology has recently been applied to accelerate the discovery of novel protein kinase inhibitors that could become the standard-of-care drugs of tomorrow. Here, we describe current techniques and novel applications of semiconductor technologies in protein kinase inhibitor drug discovery. Copyright © 2016 Elsevier Ltd. All rights reserved.

  4. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  5. Origin of poor doping efficiency in solution processed organic semiconductors.

    Science.gov (United States)

    Jha, Ajay; Duan, Hong-Guang; Tiwari, Vandana; Thorwart, Michael; Miller, R J Dwayne

    2018-05-21

    Doping is an extremely important process where intentional insertion of impurities in semiconductors controls their electronic properties. In organic semiconductors, one of the convenient, but inefficient, ways of doping is the spin casting of a precursor mixture of components in solution, followed by solvent evaporation. Active control over this process holds the key to significant improvements over current poor doping efficiencies. Yet, an optimized control can only come from a detailed understanding of electronic interactions responsible for the low doping efficiencies. Here, we use two-dimensional nonlinear optical spectroscopy to examine these interactions in the course of the doping process by probing the solution mixture of doped organic semiconductors. A dopant accepts an electron from the semiconductor and the two ions form a duplex of interacting charges known as ion-pair complexes. Well-resolved off-diagonal peaks in the two-dimensional spectra clearly demonstrate the electronic connectivity among the ions in solution. This electronic interaction represents a well resolved electrostatically bound state, as opposed to a random distribution of ions. We developed a theoretical model to recover the experimental data, which reveals an unexpectedly strong electronic coupling of ∼250 cm -1 with an intermolecular distance of ∼4.5 Å between ions in solution, which is approximately the expected distance in processed films. The fact that this relationship persists from solution to the processed film gives direct evidence that Coulomb interactions are retained from the precursor solution to the processed films. This memory effect renders the charge carriers equally bound also in the film and, hence, results in poor doping efficiencies. This new insight will help pave the way towards rational tailoring of the electronic interactions to improve doping efficiencies in processed organic semiconductor thin films.

  6. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1984-01-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technology or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented. (author)

  7. Photoexcitation-induced processes in amorphous semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jai [School of Engineering and Logistics, Charles Darwin University, Darwin, NT 0909 (Australia)]. E-mail: jai.singh@cdu.edu.au

    2005-07-30

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories.

  8. Photoexcitation-induced processes in amorphous semiconductors

    International Nuclear Information System (INIS)

    Singh, Jai

    2005-01-01

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories

  9. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    Science.gov (United States)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  10. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1983-09-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technologie or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented

  11. Proceedings of the Malaysian Science and Technology Congress '94: Vol. II - new products and processes

    International Nuclear Information System (INIS)

    1994-01-01

    New processes and products in the field of the Malaysian technology research were presented at the Science and Technology congress '94. Composite materials, semiconductors fabrication, optical fibers, zeolite properties etc. were discussed in 35 contributions

  12. Proceedings of the Malaysian Science and Technology Congress `94: Vol. II - new products and processes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-12-31

    New processes and products in the field of the Malaysian technology research were presented at the Science and Technology congress `94. Composite materials, semiconductors fabrication, optical fibers, zeolite properties etc. were discussed in 35 contributions.

  13. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  14. Image processing technology for nuclear facilities

    International Nuclear Information System (INIS)

    Lee, Jong Min; Lee, Yong Beom; Kim, Woong Ki; Park, Soon Young

    1993-05-01

    Digital image processing technique is being actively studied since microprocessors and semiconductor memory devices have been developed in 1960's. Now image processing board for personal computer as well as image processing system for workstation is developed and widely applied to medical science, military, remote inspection, and nuclear industry. Image processing technology which provides computer system with vision ability not only recognizes nonobvious information but processes large information and therefore this technique is applied to various fields like remote measurement, object recognition and decision in adverse environment, and analysis of X-ray penetration image in nuclear facilities. In this report, various applications of image processing to nuclear facilities are examined, and image processing techniques are also analysed with the view of proposing the ideas for future applications. (Author)

  15. New technology for the control of narrow-gap semiconductors

    International Nuclear Information System (INIS)

    Antoniou, I.; Bozhevolnov, V.; Melnikov, Yu.; Yafyasov, A.

    2003-01-01

    We present the results of the year work in the frame of the EU ESPRIT Project 28890 NTCONGS 'New technology for the control of narrow-gap semiconductors'. This work has involved both theoretical and experimental study, as well as the development of new specific equipment, towards the creation of a new generation of nanoelectronic devices able to operate at 77 K and even at room temperature

  16. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  17. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  18. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  19. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  20. Report on surveys in fiscal 2000 on the workshop on semiconductor technology for 21st century; 2000 nendo 21 seiki wo hiraku handotai gijutsu workshop chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-08-01

    A workshop was held in order to realize the high-level information society, and bring about the common recognition on the assignments in the directionality to go in the next five years and on the problems to be worked on with emphasis in semiconductor technologies to form the foundation thereof, standing on the viewpoint of about ten years ahead. The matters discussed in the major semiconductor technology field included promotion of development of functions and technologies sought in the next generation system LSI, CAD system technologies, assurance of design engineers, development of new devices corresponding to electric power saving requirement, promotion of high integration elementary technologies, innovation in memory elementary technologies and structuring of new devices, new materials and processes for SoC, establishment of the membrane technology to insulate low-k layers, necessity of coordination from the basics to the applied fields, development of lithography and measuring technologies of sub-100 nm, and post photo-lithography technologies, promotion of micro measurement and macro measurement technologies, requirements in high-profit type semiconductor production technologies, and structuring of production factories with high QTAT and flexibility. (NEDO)

  1. Designing solution-processable air-stable liquid crystalline crosslinkable semiconductors

    DEFF Research Database (Denmark)

    McCulloch, I.; Bailey, C.; Genevicius, K.

    2006-01-01

    organic light emitting diode displays, low frequency radio frequency identification tag and other low performance electronics. Organic semiconductors that offer both electrical performance and stability with respect to storage and operation under ambient conditions are required. This work describes...... the development of reactive mesogen semiconductors, which form large crosslinked LC domains on polymerization within mesophases. These crosslinked domains offer mechanical stability and are inert to solvent exposure in further processing steps. Reactive mesogens containing conjugated aromatic cores, designed...

  2. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  3. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  4. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  5. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  6. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  7. Measuring processes with opto-electronic semiconductor components

    International Nuclear Information System (INIS)

    1985-01-01

    This is a report on the state of commercially available semiconductor emitters and detectors for the visible, near, middle and remote infrared range. A survey is given on the distance, speed, flow and length measuring techniques using opto-electronic components. Automatic focussing, the use of light barriers, non-contact temperature measurements, spectroscopic gas, liquid and environmental measurement techniques and gas analysis in medical techniques show further applications of the new components. The modern concept of guided radiation in optical fibres and their use in system technology is briefly explained. (DG) [de

  8. Processing of insulators and semiconductors

    Science.gov (United States)

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  9. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  10. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  11. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  12. Surface passivation technology for III-V semiconductor nanoelectronics

    International Nuclear Information System (INIS)

    Hasegawa, Hideki; Akazawa, Masamichi

    2008-01-01

    The present status and key issues of surface passivation technology for III-V surfaces are discussed in view of applications to emerging novel III-V nanoelectronics. First, necessities of passivation and currently available surface passivation technologies for GaAs, InGaAs and AlGaAs are reviewed. Then, the principle of the Si interface control layer (ICL)-based passivation scheme by the authors' group is introduced and its basic characterization is presented. Ths Si ICL is a molecular beam epitaxy (MBE)-grown ultrathin Si layer inserted between III-V semiconductor and passivation dielectric. Finally, applications of the Si ICL method to passivation of GaAs nanowires and GaAs nanowire transistors and to realization of pinning-free high-k dielectric/GaAs MOS gate stacks are presented

  13. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  14. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  15. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  16. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  17. The way to zeros: The future of semiconductor device and chemical mechanical polishing technologies

    Science.gov (United States)

    Tsujimura, Manabu

    2016-06-01

    For the last 60 years, the development of cutting-edge semiconductor devices has strongly emphasized scaling; the effort to scale down current CMOS devices may well achieve the target of 5 nm nodes by 2020. Planarization by chemical mechanical polishing (CMP), is one technology essential for supporting scaling. This paper summarizes the history of CMP transitions in the planarization process as well as the changing degree of planarity required, and, finally, introduces innovative technologies to meet the requirements. The use of CMP was triggered by the replacement of local oxidation of silicon (LOCOS) as the element isolation technology by shallow trench isolation (STI) in the 1980s. Then, CMP’s use expanded to improving embedability of aluminum wiring, tungsten (W) contacts, Cu wiring, and, more recently, to its adoption in high-k metal gate (HKMG) and FinFET (FF) processes. Initially, the required degree of planarity was 50 nm, but now 0 nm is required. Further, zero defects on a post-CMP wafer is now the goal, and it is possible that zero psi CMP loading pressure will be required going forward. Soon, it seems, everything will have to be “zero” and perfect. Although the process is also chemical in nature, the CMP process is actually mechanical with a load added using slurry particles several tens of nm in diameter. Zero load in the loading process, zero nm planarity with no trace of processing, and zero residual foreign material, including the very slurry particles used in the process, are all required. This article will provide an overview of how to achieve these new requirements and what technologies should be employed.

  18. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  19. Semiconductor physics an introduction

    CERN Document Server

    Seeger, Karlheinz

    1999-01-01

    Semiconductor Physics - An Introduction - is suitable for the senior undergraduate or new graduate student majoring in electrical engineering or physics. It will also be useful to solid-state scientists and device engineers involved in semiconductor design and technology. The text provides a lucid account of charge transport, energy transport and optical processes, and a detailed description of many devices. It includes sections on superlattices and quantum well structures, the effects of deep-level impurities on transport, the quantum Hall effect and the calculation of the influence of a magnetic field on the carrier distribution function. This 6th edition has been revised and corrected, and new sections have been added to different chapters.

  20. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  1. Fiscal 2000 survey report on the survey of trends of quantum beam process technologies for development of high-speed large-capacity digital electronic information devices; 2000 nendo kosoku daiyoryo digital denshi joho device kaihatsu no tame no ryoshi beam process technology no doko chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The effort clarifies the tasks and problems of the next-generation WDM (wavelength division multiplexing) device, the tasks and problems of domestic information digital devices, and the characteristics, and matters wanting further development, of quantum beam technologies that are to contribute to the development of the said devices. In concrete terms, quantum process technologies involving the ultralow energy ion beam, gas cluster ion beam, electron beam, laser beam, radiation, and the like, are to be studied and developed as device processes, and the product of the effort will be utilized for accelerating the currently difficult development of the semiconductor laser diode, high-speed photoelectric conversion diode, optical circuit device, and the next-generation plastic liquid crystal display device. That is to say, process technologies for a high-speed undamaged compound semiconductor device, high-precision optical circuit device, and a totally plastic liquid crystal display device will be established, and verified as valid. Furthermore, novel digital devices will be developed. In this research and development work, manufacturing process technologies will also be established, which as practical technologies will clear the rigorous goals that the industry demand for process stability, process yield, process amount, and the like. (NEDO)

  2. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  3. [Application of next-generation semiconductor sequencing technologies in genetic diagnosis of inherited cardiomyopathies].

    Science.gov (United States)

    Zhao, Yue; Zhang, Hong; Xia, Xue-shan

    2015-07-01

    Inherited cardiomyopathy is the most common hereditary cardiac disease. It also causes a significant proportion of sudden cardiac deaths in young adults and athletes. So far, approximately one hundred genes have been reported to be involved in cardiomyopathies through different mechanisms. Therefore, the identification of the genetic basis and disease mechanisms of cardiomyopathies are important for establishing a clinical diagnosis and genetic testing. Next-generation semiconductor sequencing (NGSS) technology platform is a high-throughput sequencer capable of analyzing clinically derived genomes with high productivity, sensitivity and specificity. It was launched in 2010 by Life Technologies of USA, and it is based on a high density semiconductor chip, which was covered with tens of thousands of wells. NGSS has been successfully used in candidate gene mutation screening to identify hereditary disease. In this review, we summarize these genetic variations, challenge and application of NGSS in inherited cardiomyopathy, and its value in disease diagnosis, prevention and treatment.

  4. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  5. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  6. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  7. Process waste assessment: Petroleum jelly removal from semiconductor die using trichloroethylene

    International Nuclear Information System (INIS)

    Curtin, D.P.

    1993-05-01

    The process analyzed involves non-production, laboratory environment use of trichloroethylene for the cleaning of semiconductor devices. The option selection centered on the replacement of the trichloroethylene with a non-hazardous material. This process waste assessment was performed as part of a pilot project

  8. Fiscal 1999 research report on long-term energy technology strategy. Basic research on industrial technology strategy (Individual technology strategy). Machine industry technology field (Semiconductor equipment); 1999 nendo choki energy gijutsu senryaku nado ni kansuru chosa hokokusho. Sangyo gijutsu senryaku sakutei kiban chosa (bun'yabetsu gijutsu senryaku) kikai sangyo gijutsu bun'ya (handotai seizo sochi bun'ya)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    This report summarizes the fiscal 1999 basic research result on industrial technology strategy of a semiconductor equipment field, viewing until 5-10 years after. For the future semiconductor industry, the favorable cycling of creation of new demands through performance improvement, and further technology innovation through market expansion is essential absolutely. Since technology development is followed by investment, not only the performance of each equipment but also the higher productivity and cost balance of the whole factory are essential. Self-intelligent function and networking are thus necessary for the equipment. As measures for environment preservation and energy saving, such innovative technologies are required as recycling, reuse, reaction process improvement and alternative technology. Because of diverse final products and a short life time of products, a large-scale collective investment is becoming difficult. A mini-line sequential investment production system according to demand scales is under investigation. Some issues such as micro-technology, realization of 300mm wafer, modularization, CIM, reliability and standardization are also described. (NEDO)

  9. Ohmic metallization technology for wide band-gap semiconductors

    International Nuclear Information System (INIS)

    Iliadis, A.A.; Vispute, R.D.; Venkatesan, T.; Jones, K.A.

    2002-01-01

    Ohmic contact metallizations on p-type 6H-SiC and n-type ZnO using a novel approach of focused ion beam (FIB) surface-modification and direct-write metal deposition will be reviewed, and the properties of such focused ion beam assisted non-annealed contacts will be reported. The process uses a Ga focused ion beam to modify the surface of the semiconductor with different doses, and then introduces an organometallic compound in the Ga ion beam, to effect the direct-write deposition of a metal on the modified surface. Contact resistance measurements by the transmission line method produced values in the low 10 -4 Ω cm 2 range for surface-modified and direct-write Pt and W non-annealed contacts, and mid 10 -5 Ω cm 2 range for surface-modified and pulse laser deposited TiN contacts. An optimum Ga surface-modification dosage window is determined, within which the current transport mechanism of these contacts was found to proceed mainly by tunneling through the metal-modified-semiconductor interface layer

  10. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  11. Density functional theory and beyond-opportunities for quantum methods in materials modeling semiconductor technology

    International Nuclear Information System (INIS)

    Shankar, Sadasivan; Simka, Harsono; Haverty, Michael

    2008-01-01

    In the semiconductor industry, the use of new materials has been increasing with the advent of nanotechnology. As critical dimensions decrease, and the number of materials increases, the interactions between heterogeneous materials themselves and processing increase in complexity. Traditionally, applications of ab initio techniques are confined to electronic structure and band gap calculations of bulk materials, which are then used in coarse-grained models such as mesoscopic and continuum models. Density functional theory is the most widely used ab initio technique that was successfully extended to several applications. This paper illustrates applications of density functional theory to semiconductor processes and proposes further opportunities for use of such techniques in process development

  12. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  13. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  14. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  15. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  16. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  17. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  18. Nonlinear dynamics of semiconductors in strong THz electric fields

    DEFF Research Database (Denmark)

    Tarekegne, Abebe Tilahun

    In this thesis, we investigate nonlinear interactions of an intense terahertz (THz) field with semiconductors, in particular the technologically relevant materials silicon and silicon carbide. We reveal the time-resolved dynamics of the nonlinear processes by pump-probe experiments that involve...

  19. FY 1999 New Sunshine Project survey research project - Survey on the long-term energy technology strategy, etc. Fundamental survey to decide on the industrial technology strategy - Technology strategy by field (Material technology field - Nonferrous metal field); 1999 nendo choki energy gijutsu senryaku nado ni kansuru chosa hokokusho. Sangyo gijutsu senryaku sakutei kiban chosa (bun'yabetsu gijutsu senryaku (zairyo gijutsu bun'ya (hitetsu kinzoku bun'ya)))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The survey/study were conducted to contribute to proposing technology strategies such as technical competitive force and the forecast in the material field, especially in the silicon wafer and compound semiconductor field. As to the silicon wafer technology, the following technologies were pointed out as those to be reinforced: future silicon crystals, mirror processing wafer, breakthrough technology needed for super LSI, heat-treated wafer, epitaxial wafer, SOI wafer, measuring/assessment technology, etc. In relation to the compound semiconductor technology, survey/study were made on the bulk crystal growth technology, epitaxial growth technology, crystal growth device technology, wafer processing technology, inspection/evaluation technology, device processing technology, etc. As the comprehensive strategy, the following were proposed: establishment of the place for industry/government/university cooperation, establishment of the center for evaluation of wafer materials/characteristics/process, and establishment of the compound semiconductor R and D center where men of practical business ability from industry/government/university get together and conduct the R and D of production technology and production facilities for compound semiconductor materials and devices. (NEDO)

  20. Front-end electronics for multichannel semiconductor detector systems

    CERN Document Server

    Grybos, P

    2010-01-01

    Front-end electronics for multichannel semiconductor detektor systems Volume 08, EuCARD Editorial Series on Accelerator Science and Technology The monograph is devoted to many different aspects related to front-end electronics for semiconductor detector systems, namely: − designing and testing silicon position sensitive detectors for HEP experiments and X-ray imaging applications, − designing and testing of multichannel readout electronics for semiconductor detectors used in X-ray imaging applications, especially for noise minimization, fast signal processing, crosstalk reduction and good matching performance, − optimization of semiconductor detection systems in respect to the effects of radiation damage. The monograph is the result mainly of the author's experience in the above-mentioned areas and it is an attempt of a comprehensive presentation of issues related to the position sensitive detection system working in a single photon counting mode and intended to X-ray imaging applications. The structure...

  1. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  2. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  3. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  4. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  5. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  6. Single frequency semiconductor lasers

    CERN Document Server

    Fang, Zujie; Chen, Gaoting; Qu, Ronghui

    2017-01-01

    This book systematically introduces the single frequency semiconductor laser, which is widely used in many vital advanced technologies, such as the laser cooling of atoms and atomic clock, high-precision measurements and spectroscopy, coherent optical communications, and advanced optical sensors. It presents both the fundamentals and characteristics of semiconductor lasers, including basic F-P structure and monolithic integrated structures; interprets laser noises and their measurements; and explains mechanisms and technologies relating to the main aspects of single frequency lasers, including external cavity lasers, frequency stabilization technologies, frequency sweeping, optical phase locked loops, and so on. It paints a clear, physical picture of related technologies and reviews new developments in the field as well. It will be a useful reference to graduate students, researchers, and engineers in the field.

  7. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  8. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  9. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  10. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    Science.gov (United States)

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  11. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  12. A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology

    CERN Multimedia

    2002-01-01

    % RD-9 A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology \\\\ \\\\Radiation hardened SOI-CMOS (Silicon-On-Insulator, Complementary Metal-Oxide- \\linebreak Semiconductor planar microelectronic circuit technology) was a likely candidate technology for mixed analog-digital signal processing electronics in experiments at the future high luminosity hadron colliders. We have studied the analog characteristics of circuit designs realized in the Thomson TCS radiation hard technologies HSOI3-HD. The feature size of this technology was 1.2 $\\mu$m. We have irradiated several devices up to 25~Mrad and 3.10$^{14}$ neutrons cm$^{-2}$. Gain, noise characteristics and speed have been measured. Irradiation introduces a degradation which in the interesting bandwidth of 0.01~MHz~-~1~MHz is less than 40\\%. \\\\ \\\\Some specific SOI phenomena have been studied in detail, like the influence on the noise spectrum of series resistence in the thin silicon film that constitutes the body of the transistor...

  13. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  14. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  15. Technology of substrates for molecular beam homo epitaxy of wide - gap AII-BVI semiconductors and construction of a simplified setup for this process

    International Nuclear Information System (INIS)

    Mycielski, A.; Szadkowski, A.; Kaliszek, W.

    2000-01-01

    The technology of 'epi-ready' substrate plates (for MBE) of the wide gap AII-BVI semiconductor compounds, i. e. - preparation of the ultra pure elements, synthesis of the source material, crystallization by the physical vapour transport technique, cutting of the oriented plates, mechano-chemical polishing and preparation of the 'epi-ready' surface - is described, as well as the construction of a simplified version of the MBE setup for covering the substrate plates with the homoepitaxial layer. The results of the characterization of the substrate crystals and plates are presented. (author)

  16. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  17. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  18. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  19. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  20. Charge transport in organic semiconductors.

    Science.gov (United States)

    Bässler, Heinz; Köhler, Anna

    2012-01-01

    Modern optoelectronic devices, such as light-emitting diodes, field-effect transistors and organic solar cells require well controlled motion of charges for their efficient operation. The understanding of the processes that determine charge transport is therefore of paramount importance for designing materials with improved structure-property relationships. Before discussing different regimes of charge transport in organic semiconductors, we present a brief introduction into the conceptual framework in which we interpret the relevant photophysical processes. That is, we compare a molecular picture of electronic excitations against the Su-Schrieffer-Heeger semiconductor band model. After a brief description of experimental techniques needed to measure charge mobilities, we then elaborate on the parameters controlling charge transport in technologically relevant materials. Thus, we consider the influences of electronic coupling between molecular units, disorder, polaronic effects and space charge. A particular focus is given to the recent progress made in understanding charge transport on short time scales and short length scales. The mechanism for charge injection is briefly addressed towards the end of this chapter.

  1. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  2. Novel Materials, Processing, and Device Technologies for Space Exploration with Potential Dual-Use Applications

    Science.gov (United States)

    Hepp, A. F.; Bailey, S. G.; McNatt, J. S.; Chandrashekhar, M. V. S.; Harris, J. D.; Rusch, A. W.; Nogales, K. A.; Goettsche, K. V.; Hanson, W.; Amos, D.; hide

    2015-01-01

    We highlight results of a broad spectrum of efforts on lower-temperature processing of nanomaterials, novel approaches to energy conversion, and environmentally rugged devices. Solution-processed quantum dots of copper indium chalcogenide semiconductors and multi-walled carbon nanotubes from lower-temperature spray pyrolysis are enabled by novel (precursor) chemistry. Metal-doped zinc oxide (ZnO) nanostructured components of photovoltaic cells have been grown in solution at low temperature on a conductive indium tin oxide substrate. Arrays of ZnO nanorods can be templated and decorated with various semiconductor and metallic nanoparticles. Utilizing ZnO in a more broadly defined energy conversion sense as photocatalysts, unwanted organic waste materials can potentially be re-purposed. Current efforts on charge carrier dynamics in nanoscale electrode architectures used in photoelectrochemical cells for generating solar electricity and fuels are described. The objective is to develop oxide nanowire-based electrode architectures that exhibit improved charge separation, charge collection and allow for efficient light absorption. Investigation of the charge carrier transport and recombination properties of the electrodes will aid in the understanding of how nanowire architectures improve performance of electrodes for dye-sensitized solar cells. Nanomaterials can be incorporated in a number of advanced higher-performance (i.e. mass specific power) photovoltaic arrays. Advanced technologies for the deposition of 4H-silicon carbide are described. The use of novel precursors, advanced processing, and process studies, including modeling are discussed from the perspective of enhancing the performance of this promising material for enabling technologies such as solar electric propulsion. Potential impact(s) of these technologies for a variety of aerospace applications are highlighted throughout. Finally, examples are given of technologies with potential spin-offs for dual

  3. Nanostructured p-Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes

    Directory of Open Access Journals (Sweden)

    Matteo Bonomo

    2016-05-01

    Full Text Available This review reports the properties of p-type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs. Light absorption is crucial for the activation of the reduction processes occurring at the p-type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e., if the semiconductor itself or the chemisorbed dye-sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p-type for being efficient photoelectrocatalysts of reduction processes in dye-sensitized solar cells (DSC will be given. The working principle of p-type DSCs will be described and extended to other p-type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.

  4. Quantum confined laser devices optical gain and recombination in semiconductors

    CERN Document Server

    Blood, Peter

    2015-01-01

    The semiconductor laser, invented over 50 years ago, has had an enormous impact on the digital technologies that now dominate so many applications in business, commerce and the home. The laser is used in all types of optical fibre communication networks that enable the operation of the internet, e-mail, voice and skype transmission. Approximately one billion are produced each year for a market valued at around $5 billion. Nearly all semiconductor lasers now use extremely thin layers of light emitting materials (quantum well lasers). Increasingly smaller nanostructures are used in the form of quantum dots. The impact of the semiconductor laser is surprising in the light of the complexity of the physical processes that determine the operation of every device. This text takes the reader from the fundamental optical gain and carrier recombination processes in quantum wells and quantum dots, through descriptions of common device structures to an understanding of their operating characteristics. It has a consistent...

  5. CCST [Center for Compound Semiconductor Technology] research briefs

    International Nuclear Information System (INIS)

    Zipperian, T.E.; Voelker, E.R.

    1989-12-01

    This paper discusses the following topics: theoretical predictions of valence and conduction band offsets in III-V semiconductors; reflectance modulation of a semiconductor superlattice optical mirror; magnetoquantum oscillations of the phonon-drag thermoelectric power in quantum wells; correlation between photoluminescence line shape and device performance of p-channel strained-layer materials; control of threading dislocations in heteroepitaxial structures; improved growth of CdTe on GaAs by patterning; role of structure threading dislocations in relaxation of highly strained single-quantum-well structures; InAlAs growth optimization using reflection mass spectrometry; nonvolatile charge storage in III-V heterostructures; optically triggered thyristor switches; InAsSb strained-layer superlattice infrared detectors with high detectivities; resonant periodic gain surface-emitting semiconductor lasers; performance advantages of strained-quantum-well lasers in AlGaAs/InGaAs; optical integrated circuit for phased-array radar antenna control; and deposition and novel device fabrication from Tl 2 Ca 2 Ba 2 Cu 3 O y thin films

  6. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  7. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  8. PHYSICAL RESOURCES OF INFORMATION PROCESSES AND TECHNOLOGIES

    Directory of Open Access Journals (Sweden)

    Mikhail O. Kolbanev

    2014-11-01

    Full Text Available Subject of study. The paper describes basic information technologies for automating of information processes of data storage, distribution and processing in terms of required physical resources. It is shown that the study of these processes with such traditional objectives of modern computer science, as the ability to transfer knowledge, degree of automation, information security, coding, reliability, and others, is not enough. The reasons are: on the one hand, the increase in the volume and intensity of information exchange in the subject of human activity and, on the other hand, drawing near to the limit of information systems efficiency based on semiconductor technologies. Creation of such technologies, which not only provide support for information interaction, but also consume a rational amount of physical resources, has become an actual problem of modern engineering development. Thus, basic information technologies for storage, distribution and processing of information to support the interaction between people are the object of study, and physical temporal, spatial and energy resources required for implementation of these technologies are the subject of study. Approaches. An attempt is made to enlarge the possibilities of traditional cybernetics methodology, which replaces the consideration of material information component by states search for information objects. It is done by taking explicitly into account the amount of physical resources required for changes in the states of information media. Purpose of study. The paper deals with working out of a common approach to the comparison and subsequent selection of basic information technologies for storage, distribution and processing of data, taking into account not only the requirements for the quality of information exchange in particular subject area and the degree of technology application, but also the amounts of consumed physical resources. Main findings. Classification of resources

  9. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  10. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  11. FY 1999 achievement report on the project on the R and D of university-cooperation industrial science technology. Semiconductor device production process by Cat-CVD method (Semiconductor device production process by Cat-CVD method); 1999 nendo Cat-CVD ho ni yoru handotai device seizo process seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The paper described the results obtained by FY 1999 of the semiconductor device production using the catalytic chemical vapor deposition method. As to the thermal fluid simulation modeling in the thermal insulation thin film formation process, elucidated were the decomposition rate (40%) of SiH{sub 4} gas on catalyst body and the gas use efficiency (60% in two collisions with catalyst body). The range where the gas flow has effects was made clear. In researches on the substrate temperature control and catalyst body structure, thermal radiation effects from catalyst body were evaluated, which led to a success in high-speed deposition of high-quality a-Si. Concerning the optical monitor technology in film deposition, the identification of decomposition species (Si, etc.) and temperature of decomposition species could be made clear. Effects of pollutant removal were also monitored. Relating to the basic technology for thermal insulation thin film formation, conditions for Si nitride film formation were made clear, and stoichiometric composition films of Si{sub 3}N{sub 4} were acquired at low temperature of 300 degrees C. Also acquired were high etching resistant/high wetting resistant films. As to the ultra-high purity thin film formation, it was successful to find out the metal pollution resource and remove it. In regard to the Cat-CVD application on to metal oxide ferroelectric substances, low temperature Si{sub 3}N{sub 4} films could be formed at deposition speed of 20nm/min. by making the temperature condition (200 degrees C or less) clear and controlling the substrate temperature. (NEDO)

  12. Photon technology. Laser processing technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    Survey has been conducted to develop laser processing technology utilizing the interaction between substance and photon. This is a part of the leading research on photon technology development. The photon technology development is aimed at novel technology development highly utilizing the quantum nature of photons. In the field of laser processing, high quality photons are used as tools, special functions of atoms and molecules will be discovered, and processing for functional fabrication (photon machining) will be established. A role of laser processing in industries has become significant, which is currently spreading not only into cutting and welding of materials and scalpels but also into such a special field as ultrafine processing of materials. The spreading is sometimes obstructed due to the difficulty of procurement of suitable machines and materials, and the increase of cost. The purpose of this study is to develop the optimal laser technology, to elucidate the interaction between substance and photon, and to develop the laser system and the transmission and regulation systems which realize the optimal conditions. 387 refs., 115 figs., 25 tabs.

  13. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  14. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  15. Incorporating DSA in multipatterning semiconductor manufacturing technologies

    Science.gov (United States)

    Badr, Yasmine; Torres, J. A.; Ma, Yuansheng; Mitra, Joydeep; Gupta, Puneet

    2015-03-01

    Multi-patterning (MP) is the process of record for many sub-10nm process technologies. The drive to higher densities has required the use of double and triple patterning for several layers; but this increases the cost of the new processes especially for low volume products in which the mask set is a large percentage of the total cost. For that reason there has been a strong incentive to develop technologies like Directed Self Assembly (DSA), EUV or E-beam direct write to reduce the total number of masks needed in a new technology node. Because of the nature of the technology, DSA cylinder graphoepitaxy only allows single-size holes in a single patterning approach. However, by integrating DSA and MP into a hybrid DSA-MP process, it is possible to come up with decomposition approaches that increase the design flexibility, allowing different size holes or bar structures by independently changing the process for every patterning step. A simple approach to integrate multi-patterning with DSA is to perform DSA grouping and MP decomposition in sequence whether it is: grouping-then-decomposition or decomposition-then-grouping; and each of the two sequences has its pros and cons. However, this paper describes why these intuitive approaches do not produce results of acceptable quality from the point of view of design compliance and we highlight the need for custom DSA-aware MP algorithms.

  16. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  17. New semiconductor laser technology for gas sensing applications in the 1650nm range

    Science.gov (United States)

    Morrison, Gordon B.; Sherman, Jes; Estrella, Steven; Moreira, Renan L.; Leisher, Paul O.; Mashanovitch, Milan L.; Stephen, Mark; Numata, Kenji; Wu, Stewart; Riris, Haris

    2017-08-01

    Atmospheric methane (CH4) is the second most important anthropogenic greenhouse gas with approximately 25 times the radiative forcing of carbon dioxide (CO2) per molecule. CH4 also contributes to pollution in the lower atmosphere through chemical reactions leading to ozone production. Recent developments of LIDAR measurement technology for CH4 have been previously reported by Goddard Space Flight Center (GSFC). In this paper, we report on a novel, high-performance tunable semiconductor laser technology developed by Freedom Photonics for the 1650nm wavelength range operation, and for LIDAR detection of CH4. Devices described are monolithic, with simple control, and compatible with low-cost fabrication techniques. We present 3 different types of tunable lasers implemented for this application.

  18. FY 2000 report on the results of the research and development project for new industry creation type industrial science technologies. Cluster ion beam process technology; 2000 nendo shinki sangyo soshutsugata sangyo kagaku gijutsu kenkyu kaihatsu seido seika hokokusho. Cluster ion beam process technology

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of cluster ion beams. This technology generates the strong ion beams of atom and molecule clusters, and irradiate them onto the solid surfaces, to create new materials or treat materials. It allows the nano-level treatment. The program for high-current cluster ion beam generation/irradiation technology for industrial purposes attains the target high-current beam of 500{mu}m. It is necessary to establish the optimum cluster size, irradiated energy and ion species for the highly functional surface treatment, for which applicable technologies, e.g., those related to time of flight and molecular dynamics, are developed. Studies on high-current, large-area irradiation technologies are started. The program for material processing technologies involves evaluation of crystalline defects formed during the beam implantation by photoluminescence spectroscopy, and studies on semiconductor surface processing technologies. The surface smoothening technology is investigated to reduce crystalline defects and stress-induced strains for difficult-to-process materials, e.g., SiC and diamond, and the good results are produced. The program for development of superflat/superhard thin film formation technology involves irradiation of the Ar ion beams during the deposition of C{sub 60}(fullerene), to produce the superhard thin film. (NEDO)

  19. Imaging the motion of electrons across semiconductor heterojunctions

    Science.gov (United States)

    Man, Michael K. L.; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E. Laine; Krishna, M. Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M.; Dani, Keshav M.

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure—a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  20. Photon technology. Laser process technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    For developing laser process technology by interaction between substance and photon, the present state, system, R and D issues and proposal of such technology were summarized. Development of the photon technology aims at the modification of bonding conditions of substances by quantum energy of photon, and the new process technology for generating ultra- high temperature and pressure fields by concentrating photon on a minute region. Photon technology contributes to not only the conventional mechanical and thermal forming and removal machining but also function added machining (photon machining) in quantum level and new machining technology ranging from macro- to micro-machining, creating a new industrial field. This technology extends various fields from the basis of physics and chemistry to new bonding technology. Development of a compact high-quality high-power high-efficiency photon source, and advanced photon transmission technology are necessary. The basic explication of an unsolved physicochemical phenomenon related to photon and substance, and development of related application technologies are essential. 328 refs., 147 figs., 13 tabs.

  1. TXRF applications for semiconductor materials and process characterization

    International Nuclear Information System (INIS)

    Zaitz, M.A.

    2000-01-01

    In the past 30 years, the semiconductor industry has undergone a dramatic evolution in technology which now has become part of our daily lives. The density of transistors on a chip has grown exponentially, approximately doubling every 18 months or increasing 3200 times. Early chips from the 1970's had about 2300 components on them compared to 7.5 million on today's sophisticated microprocessors. It is an exhausting pace with no let up in sight. Traditional materials are no longer keeping pace. Smaller and smaller circuits require alternative materials and processes. New materials such as high k and low k dielectric are being evaluated to replace silicon dioxide both as a gate material and as an insulator. Copper wiring which has less resistance thereby increasing signal speed is well into manufacturing. Other technologies such as SOI (silicon on insulator) are good candidates to win the battle of speed and performance. To keep this pace of phenomenal creativity going, material characterization and process development needs novel and innovative techniques. The versatility of total reflection x-ray florescence (TXRF) makes it an ideal analytical instrument for research and development studies for ultra trace metal analysis. TXRF can easily measure the surfaces of thin metallic films, but also both low and high K dielectric materials for ultra trace contamination levels. The multiple element capability provides accurate quantitative data over a wide range of elements. Nontraditional elements such as argon which is easily trapped in films during the sputter deposition process are easily detected by TXRF. Advances in light element; Al, Na, Mg, are providing information that was very difficult and time consuming to obtain by other analytical techniques. TXRF analysis on wafers show aluminum contamination patterns from a brush clean study and an ion implanted, shallow doped study. The silicon wafer is the perfect carrier for a TXRF analysis- smooth and highly polished for

  2. Electronic technology

    International Nuclear Information System (INIS)

    Kim, Jin Su

    2010-07-01

    This book is composed of five chapters, which introduces electronic technology about understanding of electronic, electronic component, radio, electronic application, communication technology, semiconductor on its basic, free electron and hole, intrinsic semiconductor and semiconductor element, Diode such as PN junction diode, characteristic of junction diode, rectifier circuit and smoothing circuit, transistor on structure of transistor, characteristic of transistor and common emitter circuit, electronic application about electronic equipment, communication technology and education, robot technology and high electronic technology.

  3. Surface passivation process of compound semiconductor material using UV photosulfidation

    Science.gov (United States)

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  4. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  5. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  6. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  7. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  8. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  9. The development of intelligent expert system with SAT for semiconductor

    International Nuclear Information System (INIS)

    Kim, Jae Yeol; Shim, Jae Gi; Jeong, Hyun Jo; Cho, Young Tae; Kim, Chang Hyun; Ko, Myung Soo

    2001-01-01

    In this study, the researches classifying the artificial flaws in semiconductor packages are performed using pattern recognition technology. For this purposes image pattern recognition package including the user made software was developed and total procedure including ultrasonic image acquisition, equalization filtering, binary processing, edge detection and classifier selection is treated by BP(backpropagation). Specially, it is compared IP(image processing) and SOM(self-organizing map) as preprocessing method for dimensionality reduction for entrance into multi-layer perceptron(backpropagation). Also, the pattern recognition techniques is applied to the classification problem of semiconductor flaws as crack, delamination. According to this results, it is possible to acquire the recognition rate of 83.4% about delamination, 75.7% about crack for SOM, and to acquire the recognition rate of 100% for BP.

  10. Single-step solution processing of small-molecule organic semiconductor field-effect transistors at high yield

    NARCIS (Netherlands)

    Yu, Liyang; Li, X.; Pavlica, E.; Loth, M.A.; Anthony, J.E.; Bratina, G.; Kjellander, B.K.C.; Gelinck, G.H.; Stutzmann, N.

    2011-01-01

    Here, we report a simple, alternative route towards high-mobility structures of the small-molecular semiconductor 5,11-bis(triethyl silylethynyl) anthradithiophene that requires one single processing step without the need for any post-deposition processing. The method relies on careful control of

  11. Development of test algorithm for semiconductor package with defects by using probabilistic neural network

    International Nuclear Information System (INIS)

    Kim, Jae Yeol; Sim, Jae Gi; Ko, Myoung Soo; Kim, Chang Hyun; Kim, Hun Cho

    2001-01-01

    In this study, researchers developing the estimative algorithm for artificial defects in semiconductor packages and performing it by pattern recognition technology. For this purpose, the estimative algorithm was included that researchers made software with MATLAB. The software consists of some procedures including ultrasonic image acquisition, equalization filtering, Self-Organizing Map and Probabilistic Neural Network. Self-Organizing Map and Probabilistic Neural Network are belong to methods of Neural Networks. And the pattern recognition technology has applied to classify three kinds of detective patterns in semiconductor packages. This study presumes probability density function from a sample of learning and present which is automatically determine method. PNN can distinguish flaws very difficult distinction as well as. This can do parallel process to stand in a row we confirm that is very efficiently classifier if we applied many data real the process.

  12. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  13. Fast optical recording media based on semiconductor nanostructures for image recording and processing

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.

    2008-01-01

    Fast optical recording media based on semiconductor nanostructures (CdTe, GaAs) for image recording and processing with a speed to 10 6 cycle/s (which exceeds the speed of known recording media based on metal-insulator-semiconductor-(liquid crystal) (MIS-LC) structures by two to three orders of magnitude), a photosensitivity of 10 -2 V/cm 2 , and a spatial resolution of 5-10 (line pairs)/mm are developed. Operating principles of nanostructures as fast optical recording media and methods for reading images recorded in such media are described. Fast optical processors for recording images in incoherent light based on CdTe crystal nanostructures are implemented. The possibility of their application to fabricate image correlators is shown.

  14. Semiconductor product analysis challenges based on the 1999 ITRS

    International Nuclear Information System (INIS)

    Joseph, Thomas W.; Anderson, Richard E.; Gilfeather, Glen; LeClaire, Carole; Yim, Daniel

    2001-01-01

    One of the most significant challenges for technology characterization and failure analysis is to keep instrumentation and techniques in step with the development of technology itself. Not only are dimensions shrinking and new materials being employed, but the rate of change is increasing. According to the 1999 International Technology Roadmap for Semiconductors, 'The number and difficulty of the technical challenges continue to increase as technology moves forward'. It could be argued that technology cannot be developed without appropriate analytical techniques; nevertheless while much effort is being directed at materials and processes, only a small proportion is being directed at analysis. Whereas previous versions of the Semiconductor Industry Association roadmap contained a small number of implicit references to characterization and analysis, the 1999 ITRS contains many explicit references. It is clear that characterization is now woven through the roadmap, and technology developers in all areas appreciate the fact that new instrumentation and techniques will be required to sustain the rate of development the semiconductor industry has seen in recent years. Late in 1999, a subcommittee of the Sematech Product Analysis Forum (PAF) reviewed the ITRS and identified a 'top-ten' list of challenges which the failure analysis community will face as present technologies are extended and future technologies are developed. This paper discusses the PAF top-ten list of challenges, which is based primarily on the Difficult Challenges tables from each ITRS working group. Eight of the top-ten are challenges of significant technical magnitude; only two could be considered non-technical in nature. Most of these challenges cut across several working group areas and could be considered common threads in the roadmap, ranging from fault simulation and modeling to imaging small features, from electrical defect isolation to deprocessing. While evolutionary changes can be anticipated

  15. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  16. Characterization of semiconductor and frontier materials by nuclear microprobe technology

    International Nuclear Information System (INIS)

    Zhu Jieqing; Li Xiaolin; Yang Changyi; Lu Rongrong; Wang Jiqing; Guo Panlin

    2002-01-01

    The nuclear microprobe technology is used to characterize the properties of semiconductor and other frontier materials at the stages of their synthesis, modification, integration and application. On the basis of the beam current being used, the analytical nuclear microprobe techniques being used in this project can be divided into two categories: high beam current (PIXE, RBS, PEB) or low beam current (IBIC, STIM) techniques. The material properties measured are the thickness and composition of a composite surface on a SiC ceramic, the sputtering-induced surface segregation and depth profile change in a Ag-Cu binary alloy, the irradiation effects on the CCE of CVD diamond, the CCE profile at a polycrystalline CVD diamond film and a GaAs diode at different voltage biases and finally, the characterization of individual sample on an integrated material chip. (author)

  17. Low Cost Solar Array Project. Feasibility of the silane process for producing semiconductor-grade silicon. Final report, October 1975-March 1979

    Energy Technology Data Exchange (ETDEWEB)

    1979-06-01

    The commercial production of low-cost semiconductor-grade silicon is an essential requirement of the JPL/DOE (Department of Energy) Low-Cost Solar Array (LSA) Project. A 1000-metric-ton-per-year commercial facility using the Union Carbide Silane Process will produce molten silicon for an estimated price of $7.56/kg (1975 dollars, private financing), meeting the DOE goal of less than $10/kg. Conclusions and technology status are reported for both contract phases, which had the following objectives: (1) establish the feasibility of Union Carbide's Silane Process for commercial application, and (2) develop an integrated process design for an Experimental Process System Development Unit (EPSDU) and a commercial facility, and estimate the corresponding commercial plant economic performance. To assemble the facility design, the following work was performed: (a) collection of Union Carbide's applicable background technology; (b) design, assembly, and operation of a small integrated silane-producing Process Development Unit (PDU); (c) analysis, testing, and comparison of two high-temperature methods for converting pure silane to silicon metal; and (d) determination of chemical reaction equilibria and kinetics, and vapor-liquid equilibria for chlorosilanes.

  18. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  19. A cyano-terminated dithienyldiketopyrrolopyrrole dimer as a solution processable ambipolar semiconductor under ambient conditions.

    Science.gov (United States)

    Wang, Li; Zhang, Xiaojie; Tian, Hongkun; Lu, Yunfeng; Geng, Yanhou; Wang, Fosong

    2013-12-14

    A cyano-terminated dimer of dithienyldiketopyrrolopyrrole (TDPP), DPP2-CN, is a solution processable ambipolar semiconductor with field-effect hole and electron mobilities of 0.066 and 0.033 cm(2) V(-1) s(-1), respectively, under ambient conditions.

  20. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  1. Semiconductor laser technology for remote sensing experiments

    Science.gov (United States)

    Katz, Joseph

    1988-01-01

    Semiconductor injection lasers are required for implementing virtually all spaceborne remote sensing systems. Their main advantages are high reliability and efficiency, and their main roles are envisioned in pumping and injection locking of solid state lasers. In some shorter range applications they may even be utilized directly as the sources.

  2. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  3. Improvements in or relating to semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, K.; Groves, I.S.; Leigh, P.A.; McIntyre, N.; O'Hara, S.; Speight, J.D.

    1980-01-01

    A method of producing semiconductor devices is described consisting of a series of physical and chemical techniques which results in the production of semiconductor devices such as IMPATT diodes of DC-RF efficiency and high reliability (lifetime). The diodes can be mass produced without significant variation of the technology. One of the techniques used is the high energy proton bombardment of the semiconductor material in depth to passivate specific zones. The energy of the protons is increased in stages at intervals of less than 0.11 MeV up to a predetermined maximum energy. (UK)

  4. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  5. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  6. A review of the semiconductor storage of television signals. Part 2: Applications 1975-1986

    Science.gov (United States)

    Riley, J. L.

    1987-08-01

    This is the second of two reports. In the first, the emerging semiconductor memory technology over the last two decades and some of the important operational characteristics of each ensuing generation of device are described together with the design philosophy for forming the devices into useful tools for the storage of television signals. The second of these reports describes some of the applications. These include improved television synchronizers, high quality PAL decoders, television noise reducers, film dirt concealment equipment and buffer storage for television picture processing equipment such as stills stores. The continuing developments in the technology promise still further increases of memory capacity and there is a proposal to build a mass semiconductor television picture sequence store, initially as a research tool.

  7. Basic processes and scintillator and semiconductor detectors

    International Nuclear Information System (INIS)

    Bourgeois, C.

    1994-01-01

    In the following course, the interaction of heavy charged particles, electrons and Γ with matter is represented. Two types of detectors are studied, organic and inorganic scintillators and semiconductors. The signal formation is analysed. (author). 13 refs., 48 figs., 5 tabs

  8. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  9. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  10. Semiconductor lasers and herterojunction leds

    CERN Document Server

    Kressel, Henry

    2012-01-01

    Semiconductor Lasers and Heterojunction LEDs presents an introduction to the subject of semiconductor lasers and heterojunction LEDs. The book reviews relevant basic solid-state and electromagnetic principles; the relevant concepts in solid state physics; and the p-n junctions and heterojunctions. The text also describes stimulated emission and gain; the relevant concepts in electromagnetic field theory; and the modes in laser structures. The relation between electrical and optical properties of laser diodes; epitaxial technology; binary III-V compounds; and diode fabrication are also consider

  11. Report on the results of the fiscal 1997 R and D under consignment from NEDO of photon measuring/processing technology (development of high-efficiency production process technology); 1997 nendo Shin energy Sangyo Gijutsu Sogo Kaihatsu Kiko itaku photon keisoku kako gijutsu (kokoritsu seisan process gijutsu kaihatsu) seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Development is made of high energy-efficiency laser processing technology and high efficiency/high grade photon generation/control technology as laser light source, for the purpose of energy conservation/efficiency heightening of production processes which require a large amount of energy such as welding, joining, surface treatment, and particle fabrication. As to keyhole dynamics of the molten metal generated on the laser weld, construction of simulational mathematical models was started. Equipment to fabricate semiconductor ultrafine particles which become the core of quantum dot functional structures was designed to fabricate particles. In-situ optical measuring technology was studied of element/size of particles of below 30 nm in size by emission spectroscopy associated with the plasma irradiation. As tightly focusing all-solid-state laser technology, studied was the wavelength conversion method in which harmonics are generated four times as much as the fundamental wave due to high efficient generation of high power UV laser. Also studied were high laser strength, low optical losses, conditions for fostering high homogenity crystals. Technology for high accuracy processing of element surface was established. High efficiency photon generation technology was comprehensively investigated. 140 refs., 276 figs., 46 tabs.

  12. Nitride semiconductor devices fundamentals and applications

    CERN Document Server

    Morkoç, Hadis

    2013-01-01

    This book gives a clear presentation of the necessary basics of semiconductor and device physics and engineering. It introduces readers to fundamental issues that will enable them to follow the latest technological research. It also covers important applications, including LED and lighting, semiconductor lasers, high power switching devices, and detectors. This balanced and up-to-date treatment makes the text an essential educational tool for both advanced students and professionals in the electronics industry.

  13. Advanced excimer laser technologies enable green semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  14. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  15. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  16. Semiconductor optical amplifier-based all-optical gates for high-speed optical processing

    DEFF Research Database (Denmark)

    Stubkjær, Kristian

    2000-01-01

    Semiconductor optical amplifiers are useful building blocks for all-optical gates as wavelength converters and OTDM demultiplexers. The paper reviews the progress from simple gates using cross-gain modulation and four-wave mixing to the integrated interferometric gates using cross-phase modulation....... These gates are very efficient for high-speed signal processing and open up interesting new areas, such as all-optical regeneration and high-speed all-optical logic functions...

  17. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  18. Microeconomics of yield learning and process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  19. Digital approach to high-resolution pulse processing for semiconductor detectors

    International Nuclear Information System (INIS)

    Georgiev, A.; Buchner, A.; Gast, W.; Lieder, R.M.

    1992-01-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs

  20. Digital approach to high-resolution pulse processing for semiconductor detectors

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, A [Sofia Univ. (Bulgaria); Buchner, A [Forschungszentrum Rossendorf (Germany); Gast, W; Lieder, R M [Forschungszentrum Juelich GmbH (Germany). Inst. fuer Kernphysik; Stein, J [Target System Electronic GmbH, Solingen, (Germany)

    1992-08-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs.

  1. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  2. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  3. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  4. Lattice Location of Transition Metals in Semiconductors

    CERN Multimedia

    2002-01-01

    %IS366 %title\\\\ \\\\Transition metals (TMs) in semiconductors have been the subject of considerable research for nearly 40 years. This is due both to their role as important model impurities for deep centers in semiconductors, and to their technological impact as widespread contaminants in Si processing, where the miniaturization of devices requires to keep their sheet concentration below 10$^{10}$ cm$^{-2}$. As a consequence of the low TM solubility, conventional ion beam methods for direct lattice location have failed completely in identifying the lattice sites of isolated transition metals. Although electron paramagnetic resonance (EPR) has yielded valuable information on a variety of TM centers, it has been unable to detect certain defects considered by theory, e.g., isolated interstitial or substitutional Cu in Si. The proposed identity of other EPR centers such as substitutional Fe in Si, still needs confirmation by additional experimental methods. As a consequence, the knowledge on the structural propert...

  5. Assessment of present and future large-scale semiconductor detector systems

    International Nuclear Information System (INIS)

    Spieler, H.G.; Haller, E.E.

    1984-11-01

    The performance of large-scale semiconductor detector systems is assessed with respect to their theoretical potential and to the practical limitations imposed by processing techniques, readout electronics and radiation damage. In addition to devices which detect reaction products directly, the analysis includes photodetectors for scintillator arrays. Beyond present technology we also examine currently evolving structures and techniques which show potential for producing practical devices in the foreseeable future

  6. 2nd International Conference on Ion Implantation in Semiconductors, Physics and Technology, Fundamental and Applied Aspects

    CERN Document Server

    Graul, Jürgen

    1971-01-01

    In recent years great progress has been made in the field of ion implantation, particularly with respect to applications in semiconductors. It would be impos­ sible not to note the growing interest in this field, both by research groups and those directly concerned with production of devices. Furthermore, as several papers have pointed out, ion implantation and its associated technologies promise exciting advances in the development of new kinds of devices and provide power­ ful new tools for materials investigations. It was, therefore, appropriate to arrange the II. International Conference on Ion Implantation in Semiconductors within the rather short time of one year since the first conference was held in 1970 in Thousand Oaks, California. Although ori­ ginally planned on a small scale with a very limited number of participants, more than two hundred scientists from 15 countries participated in the Conference which was held May 24 - 28, 1971 at the Congress Center in Garmisch-Partenkirchen. This volume c...

  7. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  8. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  9. Efficient light emission from inorganic and organic semiconductor hybrid structures by energy-level tuning.

    Science.gov (United States)

    Schlesinger, R; Bianchi, F; Blumstengel, S; Christodoulou, C; Ovsyannikov, R; Kobin, B; Moudgil, K; Barlow, S; Hecht, S; Marder, S R; Henneberger, F; Koch, N

    2015-04-15

    The fundamental limits of inorganic semiconductors for light emitting applications, such as holographic displays, biomedical imaging and ultrafast data processing and communication, might be overcome by hybridization with their organic counterparts, which feature enhanced frequency response and colour range. Innovative hybrid inorganic/organic structures exploit efficient electrical injection and high excitation density of inorganic semiconductors and subsequent energy transfer to the organic semiconductor, provided that the radiative emission yield is high. An inherent obstacle to that end is the unfavourable energy level offset at hybrid inorganic/organic structures, which rather facilitates charge transfer that quenches light emission. Here, we introduce a technologically relevant method to optimize the hybrid structure's energy levels, here comprising ZnO and a tailored ladder-type oligophenylene. The ZnO work function is substantially lowered with an organometallic donor monolayer, aligning the frontier levels of the inorganic and organic semiconductors. This increases the hybrid structure's radiative emission yield sevenfold, validating the relevance of our approach.

  10. Efficient light emission from inorganic and organic semiconductor hybrid structures by energy-level tuning

    Science.gov (United States)

    Schlesinger, R.; Bianchi, F.; Blumstengel, S.; Christodoulou, C.; Ovsyannikov, R.; Kobin, B.; Moudgil, K.; Barlow, S.; Hecht, S.; Marder, S.R.; Henneberger, F.; Koch, N.

    2015-01-01

    The fundamental limits of inorganic semiconductors for light emitting applications, such as holographic displays, biomedical imaging and ultrafast data processing and communication, might be overcome by hybridization with their organic counterparts, which feature enhanced frequency response and colour range. Innovative hybrid inorganic/organic structures exploit efficient electrical injection and high excitation density of inorganic semiconductors and subsequent energy transfer to the organic semiconductor, provided that the radiative emission yield is high. An inherent obstacle to that end is the unfavourable energy level offset at hybrid inorganic/organic structures, which rather facilitates charge transfer that quenches light emission. Here, we introduce a technologically relevant method to optimize the hybrid structure's energy levels, here comprising ZnO and a tailored ladder-type oligophenylene. The ZnO work function is substantially lowered with an organometallic donor monolayer, aligning the frontier levels of the inorganic and organic semiconductors. This increases the hybrid structure's radiative emission yield sevenfold, validating the relevance of our approach. PMID:25872919

  11. Will Future Measurement Needs of the Semiconductor Industry Be Met?

    Science.gov (United States)

    Bennett, Herbert S

    2007-01-01

    We discuss the ability of the nation's measurement system to meet future metrology needs of the semiconductor industry. Lacking an acceptable metric for assessing the health of metrology for the semiconductor industry, we identify a limited set of unmet measurement needs. Assuming that this set of needs may serve as proxy for the galaxy of semiconductor measurement needs, we examine it from the perspective of what will be required to continue the semiconductor industry's powerful impact in the world's macro-economy and maintain its exceptional record of numerous technological innovations. This paper concludes with suggestions about ways to strengthen the measurement system for the semiconductor industry.

  12. E-beam-pumped semiconductor lasers

    Science.gov (United States)

    Rice, Robert R.; Shanley, James F.; Ruggieri, Neil F.

    1995-04-01

    The collapse of the Soviet Union opened many areas of laser technology to the West. E-beam- pumped semiconductor lasers (EBSL) were pursued for 25 years in several Soviet Institutes. Thin single crystal screens of II-VI alloys (ZnxCd1-xSe, CdSxSe1-x) were incorporated in laser CRTs to produce scanned visible laser beams at average powers greater than 10 W. Resolutions of 2500 lines were demonstrated. MDA-W is conducting a program for ARPA/ESTO to assess EBSL technology for high brightness, high resolution RGB laser projection application. Transfer of II-VI crystal growth and screen processing technology is underway, and initial results will be reported. Various techniques (cathodoluminescence, one- and two-photon laser pumping, etc.) have been used to assess material quality and screen processing damage. High voltage (75 kV) video electronics were procured in the U.S. to operate test EBSL tubes. Laser performance was documented as a function of screen temperature, beam voltage and current. The beam divergence, spectrum, efficiency and other characteristics of the laser output are being measured. An evaluation of the effect of laser operating conditions upon the degradation rate is being carried out by a design-of-experiments method. An initial assessment of the projected image quality will be performed.

  13. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. III-V semiconductor materials and devices

    CERN Document Server

    Malik, R J

    1989-01-01

    The main emphasis of this volume is on III-V semiconductor epitaxial and bulk crystal growth techniques. Chapters are also included on material characterization and ion implantation. In order to put these growth techniques into perspective a thorough review of the physics and technology of III-V devices is presented. This is the first book of its kind to discuss the theory of the various crystal growth techniques in relation to their advantages and limitations for use in III-V semiconductor devices.

  15. Imaging the motion of electrons in 2D semiconductor heterostructures

    Science.gov (United States)

    Dani, Keshav

    Technological progress since the late 20th century has centered on semiconductor devices, such as transistors, diodes, and solar cells. At the heart of these devices, is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. In this talk, we combine femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy to image the motion of photoexcited electrons from high-energy to low-energy states in a 2D InSe/GaAs heterostructure exhibiting a type-II band alignment. At the instant of photoexcitation, energy-resolved photoelectron images reveal a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observe the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we make a movie lasting a few tens of picoseconds of the electron transfer process in the photoexcited type-II heterostructure - a fundamental phenomenon in semiconductor devices like solar cells. Quantitative analysis and theoretical modeling of spatial variations in the video provide insight into future solar cells, electron dynamics in 2D materials, and other semiconductor devices.

  16. Ultrafast dynamics in semiconductor optical amplifiers and all-optical processing: Bulk versus quantum dot devices

    DEFF Research Database (Denmark)

    Mørk, Jesper; Berg, Tommy Winther; Magnúsdóttir, Ingibjörg

    2003-01-01

    We discuss the dynamical properties of semiconductor optical amplifiers and the importance for all-optical signal processing. In particular, the dynamics of quantum dot amplifiers is considered and it is suggested that these may be operated at very high bit-rates without significant patterning...

  17. Optoelectronic and nonlinear optical processes in low dimensional ...

    Indian Academy of Sciences (India)

    Optoelectronic process; nonlinear optical process; semiconductor. Quest for ever faster and intelligent information processing technologies has sparked ..... Schematic energy level diagram for the proposed 4-level model. States other than the.

  18. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  19. X-ray absorption spectroscopy of semiconductors

    CERN Document Server

    Ridgway, Mark

    2015-01-01

    X-ray Absorption Spectroscopy (XAS) is a powerful technique with which to probe the properties of matter, equally applicable to the solid, liquid and gas phases. Semiconductors are arguably our most technologically-relevant group of materials given they form the basis of the electronic and photonic devices that now so widely permeate almost every aspect of our society. The most effective utilisation of these materials today and tomorrow necessitates a detailed knowledge of their structural and vibrational properties. Through a series of comprehensive reviews, this book demonstrates the versatility of XAS for semiconductor materials analysis and presents important research activities in this ever growing field. A short introduction of the technique, aimed primarily at XAS newcomers, is followed by twenty independent chapters dedicated to distinct groups of materials. Topics span dopants in crystalline semiconductors and disorder in amorphous semiconductors to alloys and nanometric material as well as in-sit...

  20. 4. Ukrainian Scientific Conference on Semiconductor Physics (USCPS - 4). Part 2. Abstracts

    International Nuclear Information System (INIS)

    Machulin, V.F.

    2009-01-01

    The materials reflect the content of the conference papers, in which the novel results, state and perspectives of research in the field of semiconductor physics, electronic or phonon phenomena on the surface and in bulk semiconductors, nano- and quantum dimensional structures, physics of modern solid state devices, semiconductor materials and technologies are presented.

  1. Processing of nanocrystalline diamond thin films for thermal management of wide-bandgap semiconductor power electronics

    International Nuclear Information System (INIS)

    Govindaraju, N.; Singh, R.N.

    2011-01-01

    Highlights: → Studied effect of nanocrystalline diamond (NCD) deposition on device metallization. → Deposited NCD on to top of High Electron Mobility Transistors (HEMTs) and Si devices. → Temperatures below 290 deg. C for Si devices and 320 deg. C for HEMTs prevent metal damage. → Development of novel NCD-based thermal management for power electronics feasible. - Abstract: High current densities in wide-bandgap semiconductor electronics operating at high power levels results in significant self-heating of devices, which necessitates the development thermal management technologies to effectively dissipate the generated heat. This paper lays the foundation for the development of such technology by ascertaining process conditions for depositing nanocrystalline diamond (NCD) on AlGaN/GaN High Electron Mobility Transistors (HEMTs) with no visible damage to device metallization. NCD deposition is carried out on Si and GaN HEMTs with Au/Ni metallization. Raman spectroscopy, optical and scanning electron microscopy are used to evaluate the quality of the deposited NCD films. Si device metallization is used as a test bed for developing process conditions for NCD deposition on AlGaN/GaN HEMTs. Results indicate that no visible damage occurs to the device metallization for deposition conditions below 290 deg. C for Si devices and below 320 deg. C for the AlGaN/GaN HEMTs. Possible mechanisms for metallization damage above the deposition temperature are enumerated. Electrical testing of the AlGaN/GaN HEMTs indicates that it is indeed possible to deposit NCD on GaN-based devices with no significant degradation in device performance.

  2. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  3. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  4. Recent Advancements in Semiconductor-based Optical Signal Processing

    DEFF Research Database (Denmark)

    Nielsen, M L; Mørk, Jesper

    2006-01-01

    Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching.......Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching....

  5. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  6. Chemical mechanical polisher technology for 300mm/0.18-0.13{mu}m semiconductor devices; 300mm/0.18-0.-0.13{mu}m sedai no CMP gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Kobayashi, F. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are problems involved in, and development points and measures for chemical mechanical polisher (CMP) technology for the generation of 300mm/0.18 to 0.13{mu}m semiconductor devices. Ebara has developed a CMP system for 300mm devices for I300I and Selete (semiconductor high-technologies). The polishing process conditions are set for the time being based on those for the 200mm devices, and the driver and machine structures are set at 2.25 times larger than those for the 200mm devices. Its space requirement is compacter at 1.3 times increase. The company has adopted a concept of `dry-in and dry-out,` which is not common for a CMP. This needs integration of the washer with the polisher, and aerodynamic designs for dust-free conditions. These are already developed for the 200mm devices, and applicable to the 300mm devices without causing any problem. The special chamber for the conventional CMP can be dispensed with, reducing cost. Expendables, such as slurry pad, are being developed to double their service lives and halve their consumption. 8 figs.

  7. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  8. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  9. Searching Room Temperature Ferromagnetism in Wide Gap Semiconductors Fe-doped Strontium Titanate and Zinc Oxide

    CERN Document Server

    Pereira, LMC; Wahl, U

    Scientific findings in the very beginning of the millennium are taking us a step further in the new paradigm of technology: spintronics. Upgrading charge-based electronics with the additional degree of freedom of the carriers spin-state, spintronics opens a path to the birth of a new generation of devices with the potential advantages of non-volatility and higher processing speed, integration densities and power efficiency. A decisive step towards this new age lies on the attribution of magnetic properties to semiconductors, the building block of today's electronics, that is, the realization of ferromagnetic semiconductors (FS) with critical temperatures above room temperature. Unfruitful search for intrinsic RT FS lead to the concept of Dilute(d) Magnetic Semiconductors (DMS): ordinary semiconductor materials where 3 d transition metals randomly substitute a few percent of the matrix cations and, by some long-range mechanism, order ferromagnetically. The times are of intense research activity and the last fe...

  10. Fiscal 1998 joint R and D project on industrial science and technology with university. Research report on the production process of semiconductor devices by Cat-CVD (Development of practical technology for rational use of energy); 1998 nendo daigaku renkei sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika kankei gijutsu jitsuyoka kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    The Cat-CVD method is in verification test to establish it as production process of various semiconductor devices such as Ga-As IC, ferroelectric IC, Si IC, and TFT. This paper outlines the research results in fiscal 1998. Study was made on concept design of the Cat-CVD equipment for formation of Ga-As protective film, and basic technology for formation of SiN{sub x} film. Although reducing gas is used for deposition of SiN{sub x} film, anxious modification of oxide ferroelectric materials was avoided by substrate temperature control. Design and fabrication of the CVD equipment for Si ICs were also studied. The equipment was made of Al to control degassing as low as possible. As for production of TFT for LCD, formation technology of high-quality insulating thin film for low-temperature poly-Si TFT by CVD method, and formation of advanced insulating thin film and advanced poly- Si thin film were studied. A large-size deposition method of TFT insulating film, and low-temperature formation technology of poly-Si were also studied. (NEDO)

  11. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  12. Key techniques for space-based solar pumped semiconductor lasers

    Science.gov (United States)

    He, Yang; Xiong, Sheng-jun; Liu, Xiao-long; Han, Wei-hua

    2014-12-01

    In space, the absence of atmospheric turbulence, absorption, dispersion and aerosol factors on laser transmission. Therefore, space-based laser has important values in satellite communication, satellite attitude controlling, space debris clearing, and long distance energy transmission, etc. On the other hand, solar energy is a kind of clean and renewable resources, the average intensity of solar irradiation on the earth is 1353W/m2, and it is even higher in space. Therefore, the space-based solar pumped lasers has attracted much research in recent years, most research focuses on solar pumped solid state lasers and solar pumped fiber lasers. The two lasing principle is based on stimulated emission of the rare earth ions such as Nd, Yb, Cr. The rare earth ions absorb light only in narrow bands. This leads to inefficient absorption of the broad-band solar spectrum, and increases the system heating load, which make the system solar to laser power conversion efficiency very low. As a solar pumped semiconductor lasers could absorb all photons with energy greater than the bandgap. Thus, solar pumped semiconductor lasers could have considerably higher efficiencies than other solar pumped lasers. Besides, solar pumped semiconductor lasers has smaller volume chip, simpler structure and better heat dissipation, it can be mounted on a small satellite platform, can compose satellite array, which can greatly improve the output power of the system, and have flexible character. This paper summarizes the research progress of space-based solar pumped semiconductor lasers, analyses of the key technologies based on several application areas, including the processing of semiconductor chip, the design of small and efficient solar condenser, and the cooling system of lasers, etc. We conclude that the solar pumped vertical cavity surface-emitting semiconductor lasers will have a wide application prospects in the space.

  13. The FinFET Breakthrough and Networks of Innovation in the Semiconductor Industry, 1980-2005: Applying Digital Tools to the History of Technology.

    Science.gov (United States)

    O'Reagan, Douglas; Fleming, Lee

    2018-01-01

    The "FinFET" design for transistors, developed at the University of California, Berkeley, in the 1990s, represented a major leap forward in the semiconductor industry. Understanding its origins and importance requires deep knowledge of local factors, such as the relationships among the lab's principal investigators, students, staff, and the institution. It also requires understanding this lab within the broader network of relationships that comprise the semiconductor industry-a much more difficult task using traditional historical methods, due to the paucity of sources on industrial research. This article is simultaneously 1) a history of an impactful technology and its social context, 2) an experiment in using data tools and visualizations as a complement to archival and oral history sources, to clarify and explore these "big picture" dimensions, and 3) an introduction to specific data visualization tools that we hope will be useful to historians of technology more generally.

  14. Laser applications in materials processing

    International Nuclear Information System (INIS)

    Ready, J.F.

    1980-01-01

    The seminar focused on laser annealing of semiconductors, laser processing of semiconductor devices and formation of coatings and powders, surface modification with lasers, and specialized laser processing methods. Papers were presented on the theoretical analysis of thermal and mass transport during laser annealing, applications of scanning continuous-wave and pulsed lasers in silicon technology, laser techniques in photovoltaic applications, and the synthesis of ceramic powders from laser-heated gas-phase reactants. Other papers included: reflectance changes of metals during laser irradiation, surface-alloying using high-power continuous lasers, laser growth of silicon ribbon, and commercial laser-shock processes

  15. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  16. Characterization and Metrology for ULSI Technology: 1998 International Conference. Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Seiler, D.G. [NIST, Gaithersburg, MD 20899 (United States); Diebold, A.C. [SEMATECH, Austin, TX 78741 (United States); Bullis, W.M. [SEMI, Mountain View, CA 94043 (United States); Schaffner, T.J. [Texas Instruments, Dallas, TX 75221 (United States); McDonald, R. [Intel Corp., Santa Clara, CA 95050 (United States); Walters, E.J. [NIST, Gaithersburg, MD 20899 (United States)

    1998-11-01

    These proceedings represent papers presented at the 1998 International Conference on Characterization and Metrology for ULSI Technology (INIST) in March 1998. The Conference reviewed important semiconductor techniques that are crucial to continued advancements in the semiconductor industry. It brought together leaders, scientists, and engineers concerned with all aspects of the technology and characterization techniques for silicon research. The topics covered included front end processes consisting of modeling, materials, gate dielectrics, doping and wafer issues. Interconnects were discussed in detail including deposition technology. Lithography and patterning was also discussed. Finally, packaging/assembly of the integrated circuits and materials characterization including dopant profiling was discussed. The papers provide an effective portrayal of industry characterization needs and point out some of the problems that must be addressed by industry, academia, and government to continue the dramatic progress in semiconductor technology. There were 141 papers included in these proceedings, out of which 9 have been abstracted for the Energy,Science and Technology database.(AIP)

  17. Plasmon-induced carrier polarization in semiconductor nanocrystals

    Science.gov (United States)

    Yin, Penghui; Tan, Yi; Fang, Hanbing; Hegde, Manu; Radovanovic, Pavle V.

    2018-06-01

    Spintronics1 and valleytronics2 are emerging quantum electronic technologies that rely on using electron spin and multiple extrema of the band structure (valleys), respectively, as additional degrees of freedom. There are also collective properties of electrons in semiconductor nanostructures that potentially could be exploited in multifunctional quantum devices. Specifically, plasmonic semiconductor nanocrystals3-10 offer an opportunity for interface-free coupling between a plasmon and an exciton. However, plasmon-exciton coupling in single-phase semiconductor nanocrystals remains challenging because confined plasmon oscillations are generally not resonant with excitonic transitions. Here, we demonstrate a robust electron polarization in degenerately doped In2O3 nanocrystals, enabled by non-resonant coupling of cyclotron magnetoplasmonic modes11 with the exciton at the Fermi level. Using magnetic circular dichroism spectroscopy, we show that intrinsic plasmon-exciton coupling allows for the indirect excitation of the magnetoplasmonic modes, and subsequent Zeeman splitting of the excitonic states. Splitting of the band states and selective carrier polarization can be manipulated further by spin-orbit coupling. Our results effectively open up the field of plasmontronics, which involves the phenomena that arise from intrinsic plasmon-exciton and plasmon-spin interactions. Furthermore, the dynamic control of carrier polarization is readily achieved at room temperature, which allows us to harness the magnetoplasmonic mode as a new degree of freedom in practical photonic, optoelectronic and quantum-information processing devices.

  18. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  19. 32nd International Conference on the Physics of Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Chelikowsky, James [Univ. of Texas, Austin, TX (United States)

    2016-10-17

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest science meetings on semiconductors and related materials to be held in the United States.

  20. Peculiarities of neutron interaction with boron containing semiconductors

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; ); Hofman, A.; Institute of Atomic Energy, Otwock/Swierk; Vlasukova, L.A.

    2009-01-01

    The results of point defect creation calculation in B 4 C, BN and BP semiconductor single crystals irradiated in the fast neutron reactor IBR-2 are presented. It has been shown that during the thermal neutron interaction with light isotope boron atoms ( 10 B) the damage creation by means of fission nuclear reaction fragments (alpha particles and 7 Li recoil nuclei) exceeds the damage created by fast neutrons (E n > 0.1 MeV) by more than two orders of value. It has been concluded that such irradiation can create a well developed radiation defect structure in boron-containing crystals with nearly homogeneous vacancy depth distribution. This may be used in technological applications for more effective diffusion of impurities implanted at low energies or deposited onto the semiconductor surface. The developed homogeneous vacancy structure is very suitable for the radiation enhanced diffusion of electrically charged or neutral impurities from the surface into the technological depth of semiconductor devices under post irradiation treatment. (authors)

  1. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  2. Doping Polymer Semiconductors by Organic Salts: Toward High-Performance Solution-Processed Organic Field-Effect Transistors.

    Science.gov (United States)

    Hu, Yuanyuan; Rengert, Zachary D; McDowell, Caitlin; Ford, Michael J; Wang, Ming; Karki, Akchheta; Lill, Alexander T; Bazan, Guillermo C; Nguyen, Thuc-Quyen

    2018-04-24

    Solution-processed organic field-effect transistors (OFETs) were fabricated with the addition of an organic salt, trityl tetrakis(pentafluorophenyl)borate (TrTPFB), into thin films of donor-acceptor copolymer semiconductors. The performance of OFETs is significantly enhanced after the organic salt is incorporated. TrTPFB is confirmed to p-dope the organic semiconductors used in this study, and the doping efficiency as well as doping physics was investigated. In addition, systematic electrical and structural characterizations reveal how the doping enhances the performance of OFETs. Furthermore, it is shown that this organic salt doping method is feasible for both p- and n-doping by using different organic salts and, thus, can be utilized to achieve high-performance OFETs and organic complementary circuits.

  3. Microelectronics used for Semiconductor Imaging Detectors

    CERN Document Server

    Heijne, Erik H M

    2010-01-01

    Semiconductor crystal technology, microelectronics developments and nuclear particle detection have been in a relation of symbiosis, all the way from the beginning. The increase of complexity in electronics chips can now be applied to obtain much more information on the incident nuclear radiation. Some basic technologies are described, in order to acquire insight in possibilities and limitations for the most recent detectors.

  4. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  5. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  6. Device reliability challenges for modern semiconductor circuit design – a review

    Directory of Open Access Journals (Sweden)

    C. Schlünder

    2009-05-01

    Full Text Available Product development based on highly integrated semiconductor circuits faces various challenges. To ensure the function of circuits the electrical parameters of every device must be in a specific window. This window is restricted by competing mechanisms like process variations and device degradation (Fig. 1. Degradation mechanisms like Negative Bias Temperature Instability (NBTI or Hot Carrier Injection (HCI lead to parameter drifts during operation adding on top of the process variations.

    The safety margin between real lifetime of MOSFETs and product lifetime requirements decreases at advanced technologies. The assignment of tasks to ensure the product lifetime has to be changed for the future. Up to now technology development has the main responsibility to adjust the technology processes to achieve the required lifetime. In future, reliability can no longer be the task of technology development only. Device degradation becomes a collective challenge for semiconductor technologist, reliability experts and circuit designers. Reliability issues have to be considered in design as well to achieve reliable and competitive products. For this work, designers require support by smart software tools with built-in reliability know how. Design for reliability will be one of the key requirements for modern product designs.

    An overview will be given of the physical device damage mechanisms, the operation conditions within circuits leading to stress and the impact of the corresponding device parameter degradation on the function of the circuit. Based on this understanding various approaches for Design for Reliability (DfR will be described. The function of aging simulators will be explained and the flow of circuit-simulation will be described. Furthermore, the difference between full custom and semi custom design and therefore, the different required approaches will be discussed.

  7. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  8. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  9. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  10. Microplasma fabrication: from semiconductor technology for 2D-chips and microfluidic channels to rapid prototyping and 3D-printing of microplasma devices

    Science.gov (United States)

    Shatford, R.; Karanassios, Vassili

    2014-05-01

    Microplasmas are receiving attention in recent conferences and current scientific literature. In our laboratory, microplasmas-on-chips proved to be particularly attractive. The 2D- and 3D-chips we developed became hybrid because they were fitted with a quartz plate (quartz was used due to its transparency to UV). Fabrication of 2D- and 3D-chips for microplasma research is described. The fabrication methods described ranged from semiconductor fabrication technology, to Computer Numerical Control (CNC) machining, to 3D-printing. These methods may prove to be useful for those contemplating in entering microplasma research but have no access to expensive semiconductor fabrication equipment.

  11. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  12. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  13. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  14. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  15. Circuit design techniques for non-crystalline semiconductors

    CERN Document Server

    Sambandan, Sanjiv

    2012-01-01

    Despite significant progress in materials and fabrication technologies related to non-crystalline semiconductors, fundamental drawbacks continue to limit real-world application of these devices in electronic circuits. To help readers deal with problems such as low mobility and intrinsic time variant behavior, Circuit Design Techniques for Non-Crystalline Semiconductors outlines a systematic design approach, including circuit theory, enabling users to synthesize circuits without worrying about the details of device physics. This book: Offers examples of how self-assembly can be used as a powerf

  16. Fiscal 1999 achievement report. Development of ultralow-loss power device technology; 1999 nendo choteisonshitsu denryoku soshi gijutsu kaihtsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The aim is to establish basic technologies for upgrading wide-gap semiconductor devices, fabricated mainly of SiC, in terms of their low-loss, high-speed, and high-power features. In the research and development of ultralow-loss power device technology, progress of the effort is reviewed, problems in the process of research and development are extracted, and technological trends are surveyed. In the development of basic technologies, an SiC crystal growing device is experimentally built and the process of crystal growth is assessed and analyzed, and tasks to discharge for higher quality and larger diameter are extracted. Basic technologies are developed relative to the epitaxial growth, interface control, and conductivity control of SiC etc. In the development of technologies for developing SiC into devices, technological development is carried out for the junction-type FET (field effect transistor), which involves termination structure optimization, high-voltage capability enhancement, and gate-off gain improvement. As for MOSFET (metal oxide semiconductor FET), MOS channel formation technology is developed and device-constructing technology is also developed. As for MESFET (metal-semiconductor FET), micro-processing is established for a success in experimentally building a 0.5{mu}m-long gate. (NEDO)

  17. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  18. ON THE ARTIFICIAL SEMICONDUCTOR MATERIALS | Adelabu ...

    African Journals Online (AJOL)

    For about the last three decades, semiconductor technology began to make its most apparent impact in Solid State Electronics. The field of photonics, which combines laser physics, electro-optics and nonlinear optics has expanded tremendously. Notably, modern light wave communications exemplify photonic systems.

  19. Second International Conference on Neutron Transmutation Doping in Semiconductors

    CERN Document Server

    Neutron Transmutation Doping in Semiconductors

    1979-01-01

    This volume contains the invited and contributed papers presented at the Second International Conference on Neutron Transmutation Doping in Semiconductors held April 23-26, 1978 at the University of Missouri-Columbia. The first "testing of the waters" symposium on this subject was organized by John Cleland and Dick Wood of the Solid-State Division of Oak Ridge National Laboratory in April of 1976, just one year after NTD-silicon appeared on the marketplace. Since this first meeting, NTD-silicon has become established as the starting material for the power device industry and reactor irradiations are now measured in tens of tons of material per annum making NTD processing the largest radiation effects technology in the semiconductor industry. Since the first conference at Oak Ridge, new applications and irradiation techniques have developed. Interest in a second con­ ference and in publishing the proceedings has been extremely high. The second conference at the University of Missouri was attended by 114 perso...

  20. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  1. Hot carrier degradation in semiconductor devices

    CERN Document Server

    2015-01-01

    This book provides readers with a variety of tools to address the challenges posed by hot carrier degradation, one of today’s most complicated reliability issues in semiconductor devices.  Coverage includes an explanation of carrier transport within devices and book-keeping of how they acquire energy (“become hot”), interaction of an ensemble of colder and hotter carriers with defect precursors, which eventually leads to the creation of a defect, and a description of how these defects interact with the device, degrading its performance. • Describes the intricacies of hot carrier degradation in modern semiconductor technologies; • Covers the entire hot carrier degradation phenomenon, including topics such as characterization, carrier transport, carrier-defect interaction, technological impact, circuit impact, etc.; • Enables detailed understanding of carrier transport, interaction of the carrier ensemble with the defect precursors, and an accurate assessment of how the newly created defects imp...

  2. Real-time two-dimensional imaging of potassium ion distribution using an ion semiconductor sensor with charged coupled device technology.

    Science.gov (United States)

    Hattori, Toshiaki; Masaki, Yoshitomo; Atsumi, Kazuya; Kato, Ryo; Sawada, Kazuaki

    2010-01-01

    Two-dimensional real-time observation of potassium ion distributions was achieved using an ion imaging device based on charge-coupled device (CCD) and metal-oxide semiconductor technologies, and an ion selective membrane. The CCD potassium ion image sensor was equipped with an array of 32 × 32 pixels (1024 pixels). It could record five frames per second with an area of 4.16 × 4.16 mm(2). Potassium ion images were produced instantly. The leaching of potassium ion from a 3.3 M KCl Ag/AgCl reference electrode was dynamically monitored in aqueous solution. The potassium ion selective membrane on the semiconductor consisted of plasticized poly(vinyl chloride) (PVC) with bis(benzo-15-crown-5). The addition of a polyhedral oligomeric silsesquioxane to the plasticized PVC membrane greatly improved adhesion of the membrane onto Si(3)N(4) of the semiconductor surface, and the potential response was stabilized. The potential response was linear from 10(-2) to 10(-5) M logarithmic concentration of potassium ion. The selectivity coefficients were K(K(+),Li(+))(pot) = 10(-2.85), K(K(+),Na(+))(pot) = 10(-2.30), K(K(+),Rb(+))(pot) =10(-1.16), and K(K(+),Cs(+))(pot) = 10(-2.05).

  3. Information technology road map 2015

    International Nuclear Information System (INIS)

    2009-09-01

    This book introduces information technology road map 2015 with presentation, process, plan and conclusion of it. It also has introduction of IT road map by field : information technology road map 2015 on the next-generation of semiconductor, display, light emitting diode and light industry, home network and home electronic appliances, digital TV and broadcasting, radio technology, satellite communications, mobile communication for the next-generation, BcN field, software, computer for the next-generation and security of knowledge information.

  4. Preparing the optics technology to observe the hot universe

    DEFF Research Database (Denmark)

    Bavdaz, M.; Wille, Eric; Wallace, Kotska

    2014-01-01

    is the Silicon Pore Optics (SPO) [1 to 23], a modular X-ray optics technology, which utilises processes and equipment developed for the semiconductor industry. The paper provides an overview of the programmatic background, the status of SPO technology and gives an outline of the development roadmap...

  5. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  6. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  7. Advanced Semiconductor Heterostructures Novel Devices, Potential Device Applications and Basic Properties

    CERN Document Server

    Stroscio, Michael A

    2003-01-01

    This volume provides valuable summaries on many aspects of advanced semiconductor heterostructures and highlights the great variety of semiconductor heterostructures that has emerged since their original conception. As exemplified by the chapters in this book, recent progress on advanced semiconductor heterostructures spans a truly remarkable range of scientific fields with an associated diversity of applications. Some of these applications will undoubtedly revolutionize critically important facets of modern technology. At the heart of these advances is the ability to design and control the pr

  8. Novel WSi/Au T-shaped gate GaAs metal-semiconductor field-effect-transistor fabrication process for super low-noise microwave monolithic integrated circuit amplifiers

    International Nuclear Information System (INIS)

    Takano, H.; Hosogi, K.; Kato, T.

    1995-01-01

    A fully ion-implanted self-aligned T-shaped gate Ga As metal-semiconductor field-effect transistor (MESFET) with high frequency and extremely low-noise performance has been successfully fabricated for super low-noise microwave monolithic integrated circuit (MMIC) amplifiers. A subhalf-micrometer gate structure composed of WSi/Ti/Mo/Au is employed to reduce gate resistance effectively. This multilayer gate structure is formed by newly developed dummy SiON self-alignment technology and a photoresist planarization process. At an operating frequency of 12 GHz, a minimum noise figure of 0.87 dB with an associated gain of 10.62 dB has been obtained. Based on the novel FET process, a low-noise single-stage MMIC amplifier with an excellent low-noise figure of 1.2 dB with an associated gain of 8 dB in the 14 GHz band has been realized. This is the lowest noise figure ever reported at this frequency for low-noise MMICs based on ion-implanted self-aligned gate MESFET technology. 14 refs., 9 figs

  9. A Brief History of ... Semiconductors

    Science.gov (United States)

    Jenkins, Tudor

    2005-01-01

    The development of studies in semiconductor materials is traced from its beginnings with Michael Faraday in 1833 to the production of the first silicon transistor in 1954, which heralded the age of silicon electronics and microelectronics. Prior to the advent of band theory, work was patchy and driven by needs of technology. However, the arrival…

  10. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  11. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  12. Small-polaron formation and motion in magnetic semiconductors

    International Nuclear Information System (INIS)

    Emin, D.

    1979-01-01

    The fundamental physical processes associated with small-polaron formation are described with various magnetic semi-conductors being cited as examples. Attention is then directed toward the mechanisms of charge transfer and small-polaron hopping motion in magnetic semiconductors

  13. Report on achievement in the preceding research related to global industry technologies for the global industry technology research and development project. Research on gas systems substituting global warming gases such as PFC used in manufacturing semiconductors; 1998 nendo chikyu kankyo sangyo gijutsu ni kakawaru sendo kenkyu. Handotai seizo nado ni shiyosuru PFC nado no chikyu ondanka gas no daitai gas system no kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The present semiconductor manufacturing process uses a great amount of PFC having large global warming coefficients and extremely long atmospheric life. A research was made particularly on reduction of its emission from etching processes. After introducing how the semiconductor industry has been working conventionally on protection of the global environment, this paper makes clear the purpose and positioning of this preceding research, as well as how it is moved forward. The paper also reports the results of analyzing and discussing the exhaust gases from etching devices using several kinds of substitute PFC gases. Survey results are reported on the possibilities of new substitute gases, plasma decomposition and treatment of exhaust gases, reaction process simulation, and in-situ analyzing and evaluating technologies. Investigations were made on the possibility of using no PFC in wiring processes which consume greater amount of PFC, as well as on wiring techniques using inter-layer insulation film with low dielectric rate, a new wiring structure forming technology, new functional elements, circuits and systems in a wide range. Proposals were given on specific research and development themes and plans that begin in fiscal 1999. (NEDO)

  14. Tunneling of electrons through semiconductor superlattices

    Indian Academy of Sciences (India)

    Unknown

    Tunneling of electrons through semiconductor superlattices. C L ROY. Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302, India. Abstract. The purpose of the present paper is to report a study of tunneling of electrons through semicon- ductor superlattices (SSL); specially, we have ...

  15. Solar Water Splitting Using Semiconductor Photocatalyst Powders

    KAUST Repository

    Takanabe, Kazuhiro

    2015-07-01

    Solar energy conversion is essential to address the gap between energy production and increasing demand. Large scale energy generation from solar energy can only be achieved through equally large scale collection of the solar spectrum. Overall water splitting using heterogeneous photocatalysts with a single semiconductor enables the direct generation of H from photoreactors and is one of the most economical technologies for large-scale production of solar fuels. Efficient photocatalyst materials are essential to make this process feasible for future technologies. To achieve efficient photocatalysis for overall water splitting, all of the parameters involved at different time scales should be improved because the overall efficiency is obtained by the multiplication of all these fundamental efficiencies. Accumulation of knowledge ranging from solid-state physics to electrochemistry and a multidisciplinary approach to conduct various measurements are inevitable to be able to understand photocatalysis fully and to improve its efficiency.

  16. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  17. Microwave photonics processing controlling the speed of light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Xue, Weiqi; Chen, Yaohui; Sales, Salvador

    2009-01-01

    We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like optoelect......We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like...

  18. Amorphous semiconductors for particle detection: Physical and technical limits and possibilities

    International Nuclear Information System (INIS)

    Equer, B.; Karar, A.

    1989-01-01

    Amorphous silicon is used, at an industrial level, in at least three different fields of application: photovoltaic cells, flat TV screens and line scanners for image processing. In the last two cases, thin film transistors (TFT) are produced with the same technology. Particle detection with amorphous silicon has been demonstrated, but present performances are limited to ionizing particles. In this paper, we discuss the physical basis of amorphous semiconductors and the possible future development that can be expected on the basis of the existing technology. It is concluded that substitution of amorphous for crystalline silicon brings no clear advantage, if possible at all. Positive assets are to be found in using specific properties of thin layers: large area structures like arrays of photodiodes with associated readout are in the state of the art; vertical structures alternating layers of differently doped materials and/or of different semiconductors can be produced by the same technique. The development of large area pixel detectors is technically feasible but requires a very large effort. A joint development effort with industries involved in X-ray detection and 2D photodetectors might be the most appropriate solution. (orig.)

  19. Gigabit chips: A case history of a transfer of federal technology

    Energy Technology Data Exchange (ETDEWEB)

    Marcuse, W.

    1987-01-01

    This report discusses the need for industry/government cooperation in developing new semiconductor technology. In order to increase memory density of chips from 1M bit, it is necessary to use a process other than optical lithography for production. The technique considered here is x-ray lithography. Industry is currently found to be unwilling or unable to finance research into this technology. If US industry is to remain competitive in the world semiconductor market, it is concluded that the government must cooperate and support work in this area. 16 refs. (JDH)

  20. Gigabit chips: A case history of a transfer of federal technology

    International Nuclear Information System (INIS)

    Marcuse, W.

    1987-01-01

    This report discusses the need for industry/government cooperation in developing new semiconductor technology. In order to increase memory density of chips from 1M bit, it is necessary to use a process other than optical lithography for production. The technique considered here is x-ray lithography. Industry is currently found to be unwilling or unable to finance research into this technology. If US industry is to remain competitive in the world semiconductor market, it is concluded that the government must cooperate and support work in this area. 16 refs

  1. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  2. Mineral Processing Technology Roadmap

    Energy Technology Data Exchange (ETDEWEB)

    none,

    2000-09-01

    This document represents the roadmap for Processing Technology Research in the US Mining Industry. It was developed based on the results of a Processing Technology Roadmap Workshop sponsored by the National Mining Association in conjunction with the US Department of Energy, Office of Energy Efficiency and Renewable Energy, Office of Industrial Technologies. The Workshop was held January 24 - 25, 2000.

  3. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  4. Solar energy harvesting by magnetic-semiconductor nanoheterostructure in water treatment technology.

    Science.gov (United States)

    Mahmoodi, Vahid; Bastami, Tahereh Rohani; Ahmadpour, Ali

    2018-03-01

    Photocatalytic degradation of toxic organic pollutants in the wastewater using dispersed semiconductor nanophotocatalysts has a number of advantages such as high activity, cost effectiveness, and utilization of free solar energy. However, it is difficult to recover and recycle nanophotocatalysts since the fine dispersed nanoparticles are easily suspended in waters. Furthermore, a large amount of photocatalysts will lead to color contamination. Thus, it is necessary to prepare photocatalysts with easy separation for the reusable application. To take advantage of high photocatalysis activity and reusability, magnetic photocatalysts with separation function were utilized. In this review, the photocatalytic principle, structure, and application of the magnetic-semiconductor nanoheterostructure photocatalysts under solar light are evaluated. Graphical abstract ᅟ.

  5. High-Temperature Electronics: A Role for Wide Bandgap Semiconductors?

    Science.gov (United States)

    Neudeck, Philip G.; Okojie, Robert S.; Chen, Liang-Yu

    2002-01-01

    It is increasingly recognized that semiconductor based electronics that can function at ambient temperatures higher than 150 C without external cooling could greatly benefit a variety of important applications, especially-in the automotive, aerospace, and energy production industries. The fact that wide bandgap semiconductors are capable of electronic functionality at much higher temperatures than silicon has partially fueled their development, particularly in the case of SiC. It appears unlikely that wide bandgap semiconductor devices will find much use in low-power transistor applications until the ambient temperature exceeds approximately 300 C, as commercially available silicon and silicon-on-insulator technologies are already satisfying requirements for digital and analog very large scale integrated circuits in this temperature range. However, practical operation of silicon power devices at ambient temperatures above 200 C appears problematic, as self-heating at higher power levels results in high internal junction temperatures and leakages. Thus, most electronic subsystems that simultaneously require high-temperature and high-power operation will necessarily be realized using wide bandgap devices, once the technology for realizing these devices become sufficiently developed that they become widely available. Technological challenges impeding the realization of beneficial wide bandgap high ambient temperature electronics, including material growth, contacts, and packaging, are briefly discussed.

  6. Doping of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Luessem, B.; Riede, M.; Leo, K. [Institut fuer Angewandte Photophysik, TU Dresden (Germany)

    2013-01-15

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Doping of organic semiconductors

    International Nuclear Information System (INIS)

    Luessem, B.; Riede, M.; Leo, K.

    2013-01-01

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Technology or Process First?

    DEFF Research Database (Denmark)

    Siurdyban, Artur Henryk; Svejvig, Per; Møller, Charles

    Enterprise Systems Management (ESM) and Business Pro- cess Management (BPM), although highly correlated, have evolved as alternative and mutually exclusive approaches to corporate infrastruc- ture. As a result, companies struggle to nd the right balance between technology and process factors...... in infrastructure implementation projects. The purpose of this paper is articulate a need and a direction to medi- ate between the process-driven and the technology-driven approaches. Using a cross-case analysis, we gain insight into two examples of sys- tems and process implementation. We highlight the dierences...... between them using strategic alignment, Enterprise Systems and Business Process Management theories. We argue that the insights from these cases can lead to a better alignment between process and technology. Implications for practice include the direction towards a closer integration of process...

  9. A study on the performance advancement of teat algorithm for defects in semiconductor packages

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae Yeol; Kim, Chang Hyun; Yang, Dong Jo; Ko, Myung Soo [Chosun University, Gwangju (Korea, Republic of); You, Sin [Computer Added Mechanical Engineering, Mokpo Science College, Mokpo (Korea, Republic of)

    2002-11-15

    In this study, researchers classifying the artificial flaws in semiconductor packages are performed by pattern recognition technology. For this purposes, image pattern recognition package including the user made software was developed and total procedure including ultrasonic image acquisition, equalization filtration, binary process, edge detection and classifier design is treated by Backpropagation Neural Network. Specially, it is compared with various weights of Backpropagation Neural Network and it is compared with threshold level of edge detection in preprocessing method for entrance into Multi-Layer Perceptron(Backpropagation Neural network). Also, tile pattern recognition techniques is applied to the classification problem of defects in semiconductor packages as normal, crack, delamination. According to this results, it is possible to acquire the recognition rate of 100% for Backpropagation Neural Network.

  10. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  11. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  12. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  13. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  14. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  15. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  16. D-SPECT, a semiconductor camera: Technical aspects and clinical applications

    International Nuclear Information System (INIS)

    Merlin, C.; Bertrand, S.; Kelly, A.; Veyre, A.; Mestas, D.; Cachin, F.; Motreff, P.; Levesque, S.; Cachin, F.; Askienazy, S.

    2010-01-01

    Clinical practice in nuclear medicine has largely changed in the last decade, particularly with the arrival of PET/CT and SPECT/CT. New semiconductor cameras could represent the next evolution in our nuclear medicine practice. Due to the resolution and sensitivity improvement, this technology authorizes fast speed acquisitions, high contrast and resolution images performed with low activity injection. The dedicated cardiology D-SPECT camera (Spectrum Dynamics, Israel) is based on semiconductor technology and provides an original system for collimation and images reconstruction. We describe here our clinical experience in using the D-SPECT with a preliminary study comparing D-D.P.E.C.T. and conventional gamma camera. (authors)

  17. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  18. Synchrotron radiation studies of inorganic-organic semiconductor interfaces

    International Nuclear Information System (INIS)

    Evans, D.A.; Steiner, H.J.; Vearey-Roberts, A.R.; Bushell, A.; Cabailh, G.; O'Brien, S.; Wells, J.W.; McGovern, I.T.; Dhanak, V.R.; Kampen, T.U.; Zahn, D.R.T.; Batchelor, D.

    2003-01-01

    Organic semiconductors (polymers and small molecules) are widely used in electronic and optoelectronic technologies. Many devices are based on multilayer structures where interfaces play a central role in device performance and where inorganic semiconductor models are inadequate. Synchrotron radiation techniques such as photoelectron spectroscopy (PES), near-edge X-ray absorption fine structure (NEXAFS) and X-ray standing wave spectroscopy (XSW) provide a powerful means of probing the structural, electronic and chemical properties of these interfaces. The surface-specificity of these techniques allows key properties to be monitored as the heterostructure is fabricated. This methodology has been directed at the growth of hybrid organic-inorganic semiconductor interfaces involving copper phthalocyanine as the model organic material and InSb and GaAs as the model inorganic semiconductor substrates. Core level PES has revealed that these interfaces are abrupt and chemically inert due to the weak bonding between the molecules and the inorganic semiconductor. NEXAFS studies have shown that there is a preferred orientation of the molecules within the organic semiconductor layers. The valence band offsets for the heterojunctions have been directly measured using valence level PES and were found to be very different for copper phthalocyanine on InSb and GaAs (0.7 and -0.3 eV respectively) although an interface dipole is present in both cases

  19. Semiconductor devices for entangled photon pair generation: a review

    Science.gov (United States)

    Orieux, Adeline; Versteegh, Marijn A. M.; Jöns, Klaus D.; Ducci, Sara

    2017-07-01

    Entanglement is one of the most fascinating properties of quantum mechanical systems; when two particles are entangled the measurement of the properties of one of the two allows the properties of the other to be instantaneously known, whatever the distance separating them. In parallel with fundamental research on the foundations of quantum mechanics performed on complex experimental set-ups, we assist today with bourgeoning of quantum information technologies bound to exploit entanglement for a large variety of applications such as secure communications, metrology and computation. Among the different physical systems under investigation, those involving photonic components are likely to play a central role and in this context semiconductor materials exhibit a huge potential in terms of integration of several quantum components in miniature chips. In this article we review the recent progress in the development of semiconductor devices emitting entangled photons. We will present the physical processes allowing the generation of entanglement and the tools to characterize it; we will give an overview of major recent results of the last few years and highlight perspectives for future developments.

  20. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  1. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Moghadam, Reza M. [Department; Xiao, Zhiyong [Department; Ahmadi-Majlan, Kamyar [Department; Grimley, Everett D. [Department; Bowden, Mark [Environmental; amp, Biological Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ong, Phuong-Vu [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Chambers, Scott A. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Lebeau, James M. [Department; Hong, Xia [Department; Sushko, Peter V. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ngai, Joseph H. [Department

    2017-09-13

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, ferroelectric materials integrated on semiconductors could lead to low-power field-effect devices that can be used for logic or memory. Essential to realizing such field-effect devices is the development of ferroelectric metal-oxide-semiconductor (MOS) capacitors, in which the polarization of a ferroelectric gate is coupled to the surface potential of a semiconducting channel. Here we demonstrate that ferroelectric MOS capacitors can be realized using single crystalline SrZrxTi1-xO3 (x= 0.7) that has been epitaxially grown on Ge. We find that the ferroelectric properties of SrZrxTi1-xO3 are exceptionally robust, as gate layers as thin as 5 nm give rise to hysteretic capacitance-voltage characteristics that are 2 V in width. The development of ferroelectric MOS capacitors with gate thicknesses that are technologically relevant opens a pathway to realize scalable ferroelectric field-effect devices.

  2. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  3. Influence of energy bands on the Hall effect in degenerate semiconductors

    International Nuclear Information System (INIS)

    Wu, Chhi-Chong; Tsai, Jensan

    1989-01-01

    The influence of energy bands on the Hall effect and transverse magnetoresistance has been investigated according to the scattering processes of carriers in degenerate semiconductors such as InSb. Results show that the Hall angle, Hall coefficient, and transverse magnetoresistance depend on the dc magnetic field for both parabolic and nonparabolic band structures of semiconductors and also depend on the scattering processes of carriers in semiconductors due to the energy-dependent relaxation time. From their numerical analysis for the Hall effect, it is shown that the conduction electrons in degenerate semiconductors play a major role for the carrier transport phenomenon. By comparing with experimental data of the transverse magnetoresistance, it shows that the nonparabolic band model is better in agreement with the experimental work than the parabolic band model of semiconductors

  4. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  5. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  6. Photo-induced transformation process at gold clusters-semiconductor interface: Implications for the complexity of gold clusters-based photocatalysis

    Science.gov (United States)

    Liu, Siqi; Xu, Yi-Jun

    2016-03-01

    The recent thrust in utilizing atomically precise organic ligands protected gold clusters (Au clusters) as photosensitizer coupled with semiconductors for nano-catalysts has led to the claims of improved efficiency in photocatalysis. Nonetheless, the influence of photo-stability of organic ligands protected-Au clusters at the Au/semiconductor interface on the photocatalytic properties remains rather elusive. Taking Au clusters-TiO2 composites as a prototype, we for the first time demonstrate the photo-induced transformation of small molecular-like Au clusters to larger metallic Au nanoparticles under different illumination conditions, which leads to the diverse photocatalytic reaction mechanism. This transformation process undergoes a diffusion/aggregation mechanism accompanied with the onslaught of Au clusters by active oxygen species and holes resulting from photo-excited TiO2 and Au clusters. However, such Au clusters aggregation can be efficiently inhibited by tuning reaction conditions. This work would trigger rational structural design and fine condition control of organic ligands protected-metal clusters-semiconductor composites for diverse photocatalytic applications with long-term photo-stability.

  7. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  8. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1981-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices is described in which the device is rapidly heated to a temperature between 450 and 600 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. The process may be enhanced by the application of optical radiation from a Xenon lamp. (author)

  9. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  10. Reduced filamentation in high power semiconductor lasers

    DEFF Research Database (Denmark)

    Skovgaard, Peter M. W.; McInerney, John; O'Brien, Peter

    1999-01-01

    High brightness semiconductor lasers have applications in fields ranging from material processing to medicine. The main difficulty associated with high brightness is that high optical power densities cause damage to the laser facet and thus require large apertures. This, in turn, results in spatio......-temporal instabilities such as filamentation which degrades spatial coherence and brightness. We first evaluate performance of existing designs with a “top-hat” shaped transverse current density profile. The unstable nature of highly excited semiconductor material results in a run-away process where small modulations...

  11. Quantum Dot Semiconductor Optical Amplifiers - Physics and Applications

    DEFF Research Database (Denmark)

    Berg, Tommy Winther

    2004-01-01

    This thesis describes the physics and applications of quantum dot semiconductor optical amplifiers based on numerical simulations. These devices possess a number of unique properties compared with other types of semiconductor amplifiers, which should allow enhanced performance of semiconductor...... respects is comparable to those of fiber amplifiers. The possibility of inverting the optically active states to a large degree is essential in order to achieve this performance. Optical signal processing through cross gain modulation and four wave mixing is modeled and described. For both approaches...... and QW devices and to experiments on quantum dot amplifiers. These comparisons outline the qualitative differences between the different types of amplifiers. In all cases focus is put on the physical processes responsible the differences....

  12. Introductory quantum mechanics for semiconductor nanotechnology

    International Nuclear Information System (INIS)

    Kim, Dae Mann

    2010-01-01

    The result of the nano education project run by the Korean Nano Technology Initiative, this has been recommended for use as official textbook by the Korean Nanotechnology Research Society. The author is highly experienced in teaching both physics and engineering in academia and industry, and naturally adopts an interdisciplinary approach here. He is short on formulations but long on applications, allowing students to understand the essential workings of quantum mechanics without spending too much time covering the wide realms of physics. He takes care to provide sufficient technical background and motivation for students to pursue further studies of advanced quantum mechanics and stresses the importance of translating quantum insights into useful and tangible innovations and inventions. As such, this is the only work to cover semiconductor nanotechnology from the perspective of introductory quantum mechanics, with applications including mainstream semiconductor technologies as well as (nano)devices, ranging from photodetectors, laser diodes, and solar cells to transistors and Schottky contacts. Problems are also provided to test the reader's understanding and supplementary material available includes working presentation files, solutions and instructors manuals. (orig.)

  13. Fiscal 2000 achievement report on the investigation of alternative gas system and process technologies for dry etching in electronic device manufacturing; 2000 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop technologies for saving PFC (perfluoro-compound) and conserving energy in semiconductor manufacturing processes, in particular, in the layer insulation film (SiO{sub 2}) dry etching process. Activities are conducted in the five fields of (1) research and development of technologies for reducing the amount of etching gas consumption, (2) development of a dry etching technology using alternative gas, (3) development of a dry etching technology using a low dielectric constant layer insulation film, (4) research and development of novel wiring structures and a method for fabricating the same, and (5) re-entrusted studies. Conducted in field (5) are studies of novel alternative gas - solid sources to substitute PFC, theory design technologies for low dielectric constant organic macromolecules, low dielectric constant material film fabrication by CVD (chemical vapor deposition), and technology for optical wiring inside chips. In field (2), studies are conducted of low GWP (global warming potential) alternative PFC gas aided etching and decomposition prevention technologies for reduction in PFC emissions, and it is made clear that C{sub 4}F{sub 6} performs excellently as an etchant. (NEDO)

  14. Novel room temperature ferromagnetic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Amita [KTH Royal Inst. of Technology, Stockholm (Sweden)

    2004-06-01

    Today's information world, bits of data are processed by semiconductor chips, and stored in the magnetic disk drives. But tomorrow's information technology may see magnetism (spin) and semiconductivity (charge) combined in one 'spintronic' device that exploits both charge and 'spin' to carry data (the best of two worlds). Spintronic devices such as spin valve transistors, spin light emitting diodes, non-volatile memory, logic devices, optical isolators and ultra-fast optical switches are some of the areas of interest for introducing the ferromagnetic properties at room temperature in a semiconductor to make it multifunctional. The potential advantages of such spintronic devices will be higher speed, greater efficiency, and better stability at a reduced power consumption. This Thesis contains two main topics: In-depth understanding of magnetism in Mn doped ZnO, and our search and identification of at least six new above room temperature ferromagnetic semiconductors. Both complex doped ZnO based new materials, as well as a number of nonoxides like phosphides, and sulfides suitably doped with Mn or Cu are shown to give rise to ferromagnetism above room temperature. Some of the highlights of this work are discovery of room temperature ferromagnetism in: (1) ZnO:Mn (paper in Nature Materials, Oct issue, 2003); (2) ZnO doped with Cu (containing no magnetic elements in it); (3) GaP doped with Cu (again containing no magnetic elements in it); (4) Enhancement of Magnetization by Cu co-doping in ZnO:Mn; (5) CdS doped with Mn, and a few others not reported in this thesis. We discuss in detail the first observation of ferromagnetism above room temperature in the form of powder, bulk pellets, in 2-3 mu-m thick transparent pulsed laser deposited films of the Mn (<4 at. percent) doped ZnO. High-resolution transmission electron microscopy (HRTEM) and electron energy loss spectroscopy (EELS) spectra recorded from 2 to 200nm areas showed homogeneous

  15. Leading research report for fiscal 1998 on the next-generation cold emission technology; 1998 nendo jisedai cold emission gijutsu no chosa kenkyu sendo kenkyu hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The report covers the fruits of researches into technologies of cold emission control and cold emission application conducted in fiscal 1998. In the study relative to the current status of cold emission control technology, emitter materials that govern electron emitting characteristics are discussed, such as metallic materials, silicon, carbon systems, semiconductors, liquid metal, etc. In relation with the application of semiconductor process technology, the tunnel emitter is taken up that utilizes the semiconductor tunnel cathode. In relation with the cold emission process, an emitter high in aspect ratio is described, obtained by the inductive emitter deposition method in which organic metallic gas is decomposed by an electron beam. In the study of the cold emission control system and instrumentation, the merits and demerits of control by MOSFET (MOS field effect transistor) are discussed. In relation with the technology of cold emission application, FED (field effect display) development and problems, current status of sensor technology and problems, RF application technology, application to power systems, etc., are mentioned. (NEDO)

  16. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian

    2013-04-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment are required, this design is not only easier from a technological point of view, but it also has the potential to reduce damage introduced to the semiconductor during fabrication. The device shows a similar magnetoresistance ratio as a conventional one but it has a lower sensitivity. Because of the reduced resistance, and hence less noise, high magnetic field resolution is maintained. © 1980-2012 IEEE.

  17. Compound semiconductor device modelling

    CERN Document Server

    Miles, Robert

    1993-01-01

    Compound semiconductor devices form the foundation of solid-state microwave and optoelectronic technologies used in many modern communication systems. In common with their low frequency counterparts, these devices are often represented using equivalent circuit models, but it is often necessary to resort to physical models in order to gain insight into the detailed operation of compound semiconductor devices. Many of the earliest physical models were indeed developed to understand the 'unusual' phenomena which occur at high frequencies. Such was the case with the Gunn and IMPATI diodes, which led to an increased interest in using numerical simulation methods. Contemporary devices often have feature sizes so small that they no longer operate within the familiar traditional framework, and hot electron or even quantum­ mechanical models are required. The need for accurate and efficient models suitable for computer aided design has increased with the demand for a wider range of integrated devices for operation at...

  18. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  20. The Center for Environmental Technology Innovative Technology Screening Process

    International Nuclear Information System (INIS)

    Bertrand, C.M.

    1995-02-01

    The Center for Environmental Technology's (CET) mission is to provide a fully integrated system for accelerated evaluation, development, commercialization, and public acceptance of creative environmental solutions which match the foremost demands in today's environmentally sensitive world. In short, CET will create a means to provide quick, effective solutions for environmental needs. To meet this mission objective, CET has created a unique and innovative approach to eliminating the usual barriers in developing and testing environmental technologies. The approach paves the way for these emerging, cutting-edge technologies by coordinating environmental restoration and waste management activities of industry, universities, and the government to: efficiently and effectively transfer technology to these users, provide market-driven, cost-effective technology programs to the public and DOE, and aid in developing innovative ideas by initiating efforts between DOE facilities and private industry. The central part to this mission is selecting and evaluating specific innovative technologies for demonstration and application at United States Department of Energy (DOE) installations. The methodology and criteria used for this selection, which is called the CET Innovative Technology Screening Process, is the subject of this paper. The selection criteria used for the screening process were modeled after other DOE technology transfer programs and were further developed by CET's Technology Screening and Evaluation Board (TSEB). The process benefits both CET and the proposing vendors by providing objective selection procedures based on predefined criteria. The selection process ensures a rapid response to proposing vendors, all technologies will have the opportunity to enter the selection process, and all technologies are evaluated on the same scale and with identical criteria

  1. Control system for technological processes in tritium processing plants with process analysis

    International Nuclear Information System (INIS)

    Retevoi, Carmen Maria; Stefan, Iuliana; Balteanu, Ovidiu; Stefan, Liviu; Bucur, Ciprian

    2005-01-01

    Integration of a large variety of installations and equipment into a unitary system for controlling the technological process in tritium processing nuclear facilities appears to be a rather complex approach particularly when experimental or new technologies are developed. Ensuring a high degree of versatility allowing easy modifications in configurations and process parameters is a major requirement imposed on experimental installations. The large amount of data which must be processed, stored and easily accessed for subsequent analyses imposes development of a large information network based on a highly integrated system containing the acquisition, control and technological process analysis data as well as data base system. On such a basis integrated systems of computation and control able to conduct the technological process could be developed as well protection systems for cases of failures or break down. The integrated system responds to the control and security requirements in case of emergency and of the technological processes specific to the industry that processes radioactive or toxic substances with severe consequences in case of technological failure as in the case of tritium processing nuclear plant. In order to lower the risk technological failure of these processes an integrated software, data base and process analysis system are developed, which, based on identification algorithm of the important parameters for protection and security systems, will display the process evolution trend. The system was checked on a existing plant that includes a removal tritium unit, finally used in a nuclear power plant, by simulating the failure events as well as the process. The system will also include a complete data base monitoring all the parameters and a process analysis software for the main modules of the tritium processing plant, namely, isotope separation, catalytic purification and cryogenic distillation

  2. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  3. Microstructure of III-N semiconductors related to their applications in optoelectronics

    Science.gov (United States)

    Leszczynski, M.; Czernetzki, R.; Sarzynski, M.; Krysko, M.; Targowski, G.; Prystawko, P.; Bockowski, M.; Grzegory, I.; Suski, T.; Domagala, J.; Porowski, S.

    2005-03-01

    There has been more than a decade since Shuji Nakamura from Japanese company Nichia constructed the first blue LED based on structure of (AlGaIn)N semiconductor and eight years since he made the first blue laser diode (LD). This work gives a survey on the current technological status with green/blue/violet/UV optoelectronics based on III-N semiconductors in relation with their microstructure. The following devices are presented: i) Low-power green and blue LEDs, ii) High-power LEDs targeting solid-state white lighting, iii) Low-power violet LDs for high definition DVD market, iv) High-power violet LDs, v) UV LEDs. The discussion will be focused on three main technological problems related to the microstructure of (AlGaIn)N layers in emitters based on III-N semiconductors: i) high density of dislocations in epitaxial layers of GaN on foreign substrates (sapphire, SiC, GaAs), ii), presence of strains, iii) atom segregation in ternary and quaternary compounds.

  4. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  5. Review on the dynamics of semiconductor nanowire lasers

    Science.gov (United States)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  6. Evaluation of semiconductor gas sensor system for ethanol determination during fermentation processes

    Energy Technology Data Exchange (ETDEWEB)

    Picque, D; Corrieu, G

    1988-10-01

    Using commercial gas sensitive semi-conductors, an ethanol sensor has been constructed which operates by direct immersion in fermentation media. The calibration range of 0.1 to 10 or 13 % depending on the component. However, they are very often subjected to considerable drift (in the same case up to 10 %/h of the measured value). The electrical resistance of component may vary by a factor of 1 to 5 for a well-defined ethanol concentration. The effects of temperature changes in fermentation media are easily compensated. Other volatile compounds (methanol, ammonia,...) substantially affect component responses. Thus, all work on sensors requires careful calibration. Wine fermentation processes can be monitored satisfactorily, providing the sensor is recalibrated about every six hours.

  7. Wavelength converter technology

    DEFF Research Database (Denmark)

    Kloch, Allan; Hansen, Peter Bukhave; Poulsen, Henrik Nørskov

    1999-01-01

    Wavelength conversion is important since it ensures full flexibility of the WDM network layer. Progress in optical wavelength converter technology is reviewed with emphasis on all-optical wavelength converter types based on semiconductor optical amplifiers.......Wavelength conversion is important since it ensures full flexibility of the WDM network layer. Progress in optical wavelength converter technology is reviewed with emphasis on all-optical wavelength converter types based on semiconductor optical amplifiers....

  8. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  9. Characterization of advanced semiconductor materials by positron annihilation

    International Nuclear Information System (INIS)

    Uedono, Akira; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Ishibashi, Shoji

    2005-01-01

    Positron annihilation is an established technique for investigating vacancy-type defects near surfaces or interfaces. Using this technique, one can identify defect species in a nondestructive manner. Because there is no restriction of sample conductivity or temperature, this technique can be applied to a various materials, such as semiconductors, metals, metal oxides, and polymers. The positron annihilation has been applied to the studies of Si-technology related materials, which show that it can provide useful information for the development of semiconductor devices. In this article, we report the results obtained for electroplated Cu, strained Si and high-k materials. (author)

  10. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  11. Hyperentangled photon sources in semiconductor waveguides

    DEFF Research Database (Denmark)

    Kang, Dongpeng; Helt, L. G.; Zhukovsky, Sergei

    2014-01-01

    We propose and analyze the performance of a technique to generate mode and polarization hyperentangled photons in monolithic semiconductor waveguides using two concurrent type-II spontaneous parametric down-conversion (SPDC) processes. These two SPDC processes are achieved by waveguide engineering...

  12. VLSI signal processing technology

    CERN Document Server

    Swartzlander, Earl

    1994-01-01

    This book is the first in a set of forthcoming books focussed on state-of-the-art development in the VLSI Signal Processing area. It is a response to the tremendous research activities taking place in that field. These activities have been driven by two factors: the dramatic increase in demand for high speed signal processing, especially in consumer elec­ tronics, and the evolving microelectronic technologies. The available technology has always been one of the main factors in determining al­ gorithms, architectures, and design strategies to be followed. With every new technology, signal processing systems go through many changes in concepts, design methods, and implementation. The goal of this book is to introduce the reader to the main features of VLSI Signal Processing and the ongoing developments in this area. The focus of this book is on: • Current developments in Digital Signal Processing (DSP) pro­ cessors and architectures - several examples and case studies of existing DSP chips are discussed in...

  13. Quantum dynamical simulation of photoinduced electron transfer processes in dye-semiconductor systems: theory and application to coumarin 343 at TiO₂.

    Science.gov (United States)

    Li, Jingrui; Kondov, Ivan; Wang, Haobin; Thoss, Michael

    2015-04-10

    A recently developed methodology to simulate photoinduced electron transfer processes at dye-semiconductor interfaces is outlined. The methodology employs a first-principles-based model Hamiltonian and accurate quantum dynamics simulations using the multilayer multiconfiguration time-dependent Hartree approach. This method is applied to study electron injection in the dye-semiconductor system coumarin 343-TiO2. Specifically, the influence of electronic-vibrational coupling is analyzed. Extending previous work, we consider the influence of Dushinsky rotation of the normal modes as well as anharmonicities of the potential energy surfaces on the electron transfer dynamics.

  14. 76 FR 37344 - Technology Evaluation Process

    Science.gov (United States)

    2011-06-27

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... seeks comments and information related to a commercial buildings technology evaluation process. DOE is seeking to create a process for evaluating emerging and underutilized energy efficient technologies for...

  15. Temperature control of power semiconductor devices in traction applications

    Science.gov (United States)

    Pugachev, A. A.; Strekalov, N. N.

    2017-02-01

    The peculiarity of thermal management of traction frequency converters of a railway rolling stock is highlighted. The topology and the operation principle of the automatic temperature control system of power semiconductor modules of the traction frequency converter are designed and discussed. The features of semiconductors as an object of temperature control are considered; the equivalent circuit of thermal processes in the semiconductors is suggested, the power losses in the two-level voltage source inverters are evaluated and analyzed. The dynamic properties and characteristics of the cooling fan induction motor electric drive with the scalar control are presented. The results of simulation in Matlab are shown for the steady state of thermal processes.

  16. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  17. 76 FR 30696 - Technology Evaluation Process

    Science.gov (United States)

    2011-05-26

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... (DOE) seeks comments and information related to a commercial buildings technology evaluation process... evaluation efforts. The goal of creating this standard process is to evaluate energy-saving technologies in a...

  18. Colloidal quantum dot solids for solution-processed solar cells

    KAUST Repository

    Yuan, Mingjian; Liu, Mengxia; Sargent, Edward H.

    2016-01-01

    Solution-processed photovoltaic technologies represent a promising way to reduce the cost and increase the efficiency of solar energy harvesting. Among these, colloidal semiconductor quantum dot photovoltaics have the advantage of a spectrally

  19. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  20. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  1. Quantum control and process tomography of a semiconductor quantum dot hybrid qubit.

    Science.gov (United States)

    Kim, Dohun; Shi, Zhan; Simmons, C B; Ward, D R; Prance, J R; Koh, Teck Seng; Gamble, John King; Savage, D E; Lagally, M G; Friesen, Mark; Coppersmith, S N; Eriksson, Mark A

    2014-07-03

    The similarities between gated quantum dots and the transistors in modern microelectronics--in fabrication methods, physical structure and voltage scales for manipulation--have led to great interest in the development of quantum bits (qubits) in semiconductor quantum dots. Although quantum dot spin qubits have demonstrated long coherence times, their manipulation is often slower than desired for important future applications, such as factoring. Furthermore, scalability and manufacturability are enhanced when qubits are as simple as possible. Previous work has increased the speed of spin qubit rotations by making use of integrated micromagnets, dynamic pumping of nuclear spins or the addition of a third quantum dot. Here we demonstrate a qubit that is a hybrid of spin and charge. It is simple, requiring neither nuclear-state preparation nor micromagnets. Unlike previous double-dot qubits, the hybrid qubit enables fast rotations about two axes of the Bloch sphere. We demonstrate full control on the Bloch sphere with π-rotation times of less than 100 picoseconds in two orthogonal directions, which is more than an order of magnitude faster than any other double-dot qubit. The speed arises from the qubit's charge-like characteristics, and its spin-like features result in resistance to decoherence over a wide range of gate voltages. We achieve full process tomography in our electrically controlled semiconductor quantum dot qubit, extracting high fidelities of 85 per cent for X rotations (transitions between qubit states) and 94 per cent for Z rotations (phase accumulation between qubit states).

  2. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1982-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices in which the device is rapidly heated to a temperature between 450 and 900 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. (author)

  3. II-VI Narrow-Bandgap Semiconductors for Optoelectronics

    Science.gov (United States)

    Baker, Ian

    The field of narrow-gap II-VI materials is dominated by the compound semiconductor mercury cadmium telluride, (Hg1-x Cd x Te or MCT), which supports a large industry in infrared detectors, cameras and infrared systems. It is probably true to say that HgCdTe is the third most studied semiconductor after silicon and gallium arsenide. Hg1-x Cd x Te is the material most widely used in high-performance infrared detectors at present. By changing the composition x the spectral response of the detector can be made to cover the range from 1 μm to beyond 17 μm. The advantages of this system arise from a number of features, notably: close lattice matching, high optical absorption coefficient, low carrier generation rate, high electron mobility and readily available doping techniques. These advantages mean that very sensitive infrared detectors can be produced at relatively high operating temperatures. Hg1-x Cd x Te multilayers can be readily grown in vapor-phase epitaxial processes. This provides the device engineer with complex doping and composition profiles that can be used to further enhance the electro-optic performance, leading to low-cost, large-area detectors in the future. The main purpose of this chapter is to describe the applications, device physics and technology of II-VI narrow-bandgap devices, focusing on HgCdTe but also including Hg1-x Mn x Te and Hg1-x Zn x Te. It concludes with a review of the research and development programs into third-generation infrared detector technology (so-called GEN III detectors) being performed in centers around the world.

  4. Si-semiconductor device failure mechanisms

    International Nuclear Information System (INIS)

    Clauss, H.

    1976-12-01

    This report presents investigations on failure mechanisms that may cause defects during production and operation of silicon semiconductor devices. The failure analysis of aluminium metallization defects covers topics such as step coverage, dissolution pits and electromigration. Furthermore, the generation of process induced lattice defects was investigated. Improved processes avoiding those defects were developed. (orig.) [de

  5. Molecular Electrical Doping of Organic Semiconductors: Fundamental Mechanisms and Emerging Dopant Design Rules.

    Science.gov (United States)

    Salzmann, Ingo; Heimel, Georg; Oehzelt, Martin; Winkler, Stefanie; Koch, Norbert

    2016-03-15

    Today's information society depends on our ability to controllably dope inorganic semiconductors, such as silicon, thereby tuning their electrical properties to application-specific demands. For optoelectronic devices, organic semiconductors, that is, conjugated polymers and molecules, have emerged as superior alternative owing to the ease of tuning their optical gap through chemical variability and their potential for low-cost, large-area processing on flexible substrates. There, the potential of molecular electrical doping for improving the performance of, for example, organic light-emitting devices or organic solar cells has only recently been established. The doping efficiency, however, remains conspicuously low, highlighting the fact that the underlying mechanisms of molecular doping in organic semiconductors are only little understood compared with their inorganic counterparts. Here, we review the broad range of phenomena observed upon molecularly doping organic semiconductors and identify two distinctly different scenarios: the pairwise formation of both organic semiconductor and dopant ions on one hand and the emergence of ground state charge transfer complexes between organic semiconductor and dopant through supramolecular hybridization of their respective frontier molecular orbitals on the other hand. Evidence for the occurrence of these two scenarios is subsequently discussed on the basis of the characteristic and strikingly different signatures of the individual species involved in the respective doping processes in a variety of spectroscopic techniques. The critical importance of a statistical view of doping, rather than a bimolecular picture, is then highlighted by employing numerical simulations, which reveal one of the main differences between inorganic and organic semiconductors to be their respective density of electronic states and the doping induced changes thereof. Engineering the density of states of doped organic semiconductors, the Fermi

  6. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  7. Point Defects in Two-Dimensional Layered Semiconductors: Physics and Its Applications

    Science.gov (United States)

    Suh, Joonki

    Recent advances in material science and semiconductor processing have been achieved largely based on in-depth understanding, efficient management and advanced application of point defects in host semiconductors, thus finding the relevant techniques such as doping and defect engineering as a traditional scientific and technological solution. Meanwhile, two- dimensional (2D) layered semiconductors currently draw tremendous attentions due to industrial needs and their rich physics at the nanoscale; as we approach the end of critical device dimensions in silicon-based technology, ultra-thin semiconductors have the potential as next- generation channel materials, and new physics also emerges at such reduced dimensions where confinement of electrons, phonons, and other quasi-particles is significant. It is therefore rewarding and interesting to understand and redefine the impact of lattice defects by investigating their interactions with energy/charge carriers of the host matter. Potentially, the established understanding will provide unprecedented opportunities for realizing new functionalities and enhancing the performance of energy harvesting and optoelectronic devices. In this thesis, multiple novel 2D layered semiconductors, such as bismuth and transition- metal chalcogenides, are explored. Following an introduction of conventional effects induced by point defects in semiconductors, the related physics of electronically active amphoteric defects is revisited in greater details. This can elucidate the complication of a two-dimensional electron gas coexisting with the topological states on the surface of bismuth chalcogenides, recently suggested as topological insulators. Therefore, native point defects are still one of the keys to understand and exploit topological insulators. In addition to from a fundamental science point of view, the effects of point defects on the integrated thermal-electrical transport, as well as the entropy-transporting process in

  8. Amphoteric oxide semiconductors for energy conversion devices: a tutorial review.

    Science.gov (United States)

    Singh, Kalpana; Nowotny, Janusz; Thangadurai, Venkataraman

    2013-03-07

    In this tutorial review, we discuss the defect chemistry of selected amphoteric oxide semiconductors in conjunction with their significant impact on the development of renewable and sustainable solid state energy conversion devices. The effect of electronic defect disorders in semiconductors appears to control the overall performance of several solid-state ionic devices that include oxide ion conducting solid oxide fuel cells (O-SOFCs), proton conducting solid oxide fuel cells (H-SOFCs), batteries, solar cells, and chemical (gas) sensors. Thus, the present study aims to assess the advances made in typical n- and p-type metal oxide semiconductors with respect to their use in ionic devices. The present paper briefly outlines the key challenges in the development of n- and p-type materials for various applications and also tries to present the state-of-the-art of defect disorders in technologically related semiconductors such as TiO(2), and perovskite-like and fluorite-type structure metal oxides.

  9. From Coherently Excited Highly Correlated States to Incoherent Relaxation Processes in Semiconductors

    International Nuclear Information System (INIS)

    Scha''fer, W.; Lo''venich, R.; Fromer, N. A.; Chemla, D. S.

    2001-01-01

    Recent theories of highly excited semiconductors are based on two formalisms, referring to complementary experimental conditions, the real-time nonequilibrium Green's function techniques and the coherently controlled truncation of the many-particle problem. We present a novel many-particle theory containing both of these methods as limiting cases. As a first example of its application, we investigate four-particle correlations in a strong magnetic field including dephasing resulting from the growth of incoherent one-particle distribution functions. Our results are the first rigorous solution concerning formation and decay of four-particle correlations in semiconductors. They are in excellent agreement with experimental data

  10. Non-markovian effects in semiconductor cavity QED: Role of phonon-mediated processes

    DEFF Research Database (Denmark)

    Nielsen, Per Kær; Nielsen, Torben Roland; Lodahl, Peter

    We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from the pola......We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from...... the polaritonic quasi-particle nature of the carrier-photon system interacting with the phonon reservoir....

  11. On the Integration of Wide Band-gap Semiconductors in Single Phase Boost PFC Converters

    DEFF Research Database (Denmark)

    Hernandez Botella, Juan Carlos

    Power semiconductor technology has dominated the evolution of switched mode power supplies (SMPS). Advances in silicon (Si) technology, as the introduction of metal oxide field effect transistor (MOSFET), isolated gate bipolar transistors (IGBT), superjunction vertical structures and Schottky...... diodes, or the introduction of silicon carbide (SiC) diodes, provided large steps in miniaturization and efficiency improvement of switched mode power converters. Gallium nitride (GaN) and SiC semiconductor devices have already been around for some years. The first one proliferated due to the necessity...... of high frequency operation in optoelectronics applications. On the other hand, Schottky SiC power diodes were introduced in 2001 as an alternative to eliminate reverse recovery issues in Si rectifiers. Wide band-gap semiconductors offer an increased electrical field strength and electron mobility...

  12. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  13. Vaccine process technology.

    Science.gov (United States)

    Josefsberg, Jessica O; Buckland, Barry

    2012-06-01

    The evolution of vaccines (e.g., live attenuated, recombinant) and vaccine production methods (e.g., in ovo, cell culture) are intimately tied to each other. As vaccine technology has advanced, the methods to produce the vaccine have advanced and new vaccine opportunities have been created. These technologies will continue to evolve as we strive for safer and more immunogenic vaccines and as our understanding of biology improves. The evolution of vaccine process technology has occurred in parallel to the remarkable growth in the development of therapeutic proteins as products; therefore, recent vaccine innovations can leverage the progress made in the broader biotechnology industry. Numerous important legacy vaccines are still in use today despite their traditional manufacturing processes, with further development focusing on improving stability (e.g., novel excipients) and updating formulation (e.g., combination vaccines) and delivery methods (e.g., skin patches). Modern vaccine development is currently exploiting a wide array of novel technologies to create safer and more efficacious vaccines including: viral vectors produced in animal cells, virus-like particles produced in yeast or insect cells, polysaccharide conjugation to carrier proteins, DNA plasmids produced in E. coli, and therapeutic cancer vaccines created by in vitro activation of patient leukocytes. Purification advances (e.g., membrane adsorption, precipitation) are increasing efficiency, while innovative analytical methods (e.g., microsphere-based multiplex assays, RNA microarrays) are improving process understanding. Novel adjuvants such as monophosphoryl lipid A, which acts on antigen presenting cell toll-like receptors, are expanding the previously conservative list of widely accepted vaccine adjuvants. As in other areas of biotechnology, process characterization by sophisticated analysis is critical not only to improve yields, but also to determine the final product quality. From a regulatory

  14. Semiconductor micro cavities: half light, half matter

    International Nuclear Information System (INIS)

    Baumberg, Jeremy J.

    2003-01-01

    Quantum wells sandwiched tightly between two mirrors can be used to make a new type of laser that can amplify light more than any other known material. What do you get if you cross light with matter? It is a question that fascinates today's researchers in quantum optoelectronics, who want to see how far the physical states of the world can be intertwined. Although we have a good understanding of the quantum ingredients of optics and solids - photons and atoms - it turns out that assembling these building blocks in deliberately unfamiliar ways can lead to what is new and often quite unexpected behaviour. Consider 'quantum wells', which form the basis of modern semiconductor lasers. First developed in the 1980s, they lie at the heart of optical-communication and optical-storage technologies such as DVD players and they now have a global market of over 10bn British Pounds. Quantum wells consist of a thin sheet of crystalline semiconductor sandwiched between two sheets of another semiconductor. The outer layers squash the wavefunctions of electrons within the central sheet, increasing the electrons' energy and their interaction with light. Engineers can control the colour of the light emitted by the laser simply by adjusting the energy levels within the central sheet, which acts as a potential well. But this bug-sized playground for electrons has not just had technological ramifications. It has also spawned an enormous variety of new physics, including the quantum Hall effect, which can be used as a fundamental standard for measuring the ratio between the charge on the electron and the Planck constant. Over the last ten years researchers have also become increasingly keen to incorporate quantum wells into what are known as 'semiconductor micro cavities'. Physicists have found that these painstakingly layered materials can be used to create new quantum states that resemble superfluids and can be used in interferometric quantum devices. In the March issue of Physics

  15. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  16. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  17. Analysis of impurities in semiconductor by IMA (SIMS)

    International Nuclear Information System (INIS)

    Komori, Junko; Masuko, Yoji; Koyama, Hiroshi

    1988-01-01

    The report outlines the measuring mechanism of SIMS and its applications in the field of semiconductor production. SIMS is the only equipment currently available for micrometer-order analysis and ppb-level impurities detection required for evaluation of semiconductors. In SIMS, sputtering of the sample surface is performed with primary ions and the secondary ions released from the sample are analyzed to identify the atomic species existing in the surface. The sputtering process and ionization process are outlined in the report, though the details of sputtering has not been fully clarified yet. In actual observation, some problems may be caused due to interfering ions and residual ions. In general, various ions including multi-valent ions, cluster ions, molecular ions, hydrogenated/oxygenated ions and hydrocarbon ions are produced in addition to monovalent ions to interfere the atoms under analysis. Interference by these ions can cause serious problems in carrying out depth profile analysis as well as observation of mass spectra. Major applications of SIMS in the field of semiconductor production include the evaluation of silicon surface, light elements, insulating materials and semiconductor devices. Some requirements to be met by further studies are also listed. (N.K.)

  18. Metal-semiconductor Schottky barrier junctions and their applications

    CERN Document Server

    1984-01-01

    The present-day semiconductor technology would be inconceivable without extensive use of Schottky barrier junctions. In spite of an excellent book by Professor E.H. Rhoderick (1978) dealing with the basic principles of metal­ semiconductor contacts and a few recent review articles, the need for a monograph on "Metal-Semiconductor Schottky Barrier Junctions and Their Applications" has long been felt by students, researchers, and technologists. It was in this context that the idea of publishing such a monograph by Mr. Ellis H. Rosenberg, Senior Editor, Plenum Publishing Corporation, was considered very timely. Due to the numerous and varied applications of Schottky barrier junctions, the task of bringing it out, however, looked difficult in the beginning. After discussions at various levels, it was deemed appropriate to include only those typical applications which were extremely rich in R&D and still posed many challenges so that it could be brought out in the stipulated time frame. Keeping in view the la...

  19. Toward continuous-wave operation of organic semiconductor lasers

    Science.gov (United States)

    Sandanayaka, Atula S. D.; Matsushima, Toshinori; Bencheikh, Fatima; Yoshida, Kou; Inoue, Munetomo; Fujihara, Takashi; Goushi, Kenichi; Ribierre, Jean-Charles; Adachi, Chihaya

    2017-01-01

    The demonstration of continuous-wave lasing from organic semiconductor films is highly desirable for practical applications in the areas of spectroscopy, data communication, and sensing, but it still remains a challenging objective. We report low-threshold surface-emitting organic distributed feedback lasers operating in the quasi–continuous-wave regime at 80 MHz as well as under long-pulse photoexcitation of 30 ms. This outstanding performance was achieved using an organic semiconductor thin film with high optical gain, high photoluminescence quantum yield, and no triplet absorption losses at the lasing wavelength combined with a mixed-order distributed feedback grating to achieve a low lasing threshold. A simple encapsulation technique greatly reduced the laser-induced thermal degradation and suppressed the ablation of the gain medium otherwise taking place under intense continuous-wave photoexcitation. Overall, this study provides evidence that the development of a continuous-wave organic semiconductor laser technology is possible via the engineering of the gain medium and the device architecture. PMID:28508042

  20. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  1. Metrology-based control and profitability in the semiconductor industry

    Science.gov (United States)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  2. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  3. Selection of efficient etchants for nondestructive treatment of semiconductors

    International Nuclear Information System (INIS)

    Tomashik, V.N.; Fomin, A.V.; Tomashik, Z.F.

    1996-01-01

    The scheme for studying etching processes of semiconductor materials and developing new etchants for different semiconductors is proposed. The scheme includes the experiment mathematical planning, computerized physicochemical modeling, kinetic studies, investigation of surface layers, formed by etching. Such on approach makes it possible to optimize the etchant composition in every concrete cage. The scheme is tested in the course of developing optimal methodologies of preepitaxial treatment and selection of etchants composition for semiconductor compounds of the A 1 B 6 and A 3 B 5 type. 13 refs., 4 figs

  4. Methods for enhancing P-type doping in III-V semiconductor films

    Science.gov (United States)

    Liu, Feng; Stringfellow, Gerald; Zhu, Junyi

    2017-08-01

    Methods of doping a semiconductor film are provided. The methods comprise epitaxially growing the III-V semiconductor film in the presence of a dopant, a surfactant capable of acting as an electron reservoir, and hydrogen, under conditions that promote the formation of a III-V semiconductor film doped with the p-type dopant. In some embodiments of the methods, the epitaxial growth of the doped III-V semiconductor film is initiated at a first hydrogen partial pressure which is increased to a second hydrogen partial pressure during the epitaxial growth process.

  5. Identifying the hazard characteristics of powder byproducts generated from semiconductor fabrication processes.

    Science.gov (United States)

    Choi, Kwang-Min; An, Hee-Chul; Kim, Kwan-Sick

    2015-01-01

    Semiconductor manufacturing processes generate powder particles as byproducts which potentially could affect workers' health. The chemical composition, size, shape, and crystal structure of these powder particles were investigated by scanning electron microscopy equipped with an energy dispersive spectrometer, Fourier transform infrared spectrometry, and X-ray diffractometry. The powders generated in diffusion and chemical mechanical polishing processes were amorphous silica. The particles in the chemical vapor deposition (CVD) and etch processes were TiO(2) and Al(2)O(3), and Al(2)O(3) particles, respectively. As for metallization, WO(3), TiO(2), and Al(2)O(3) particles were generated from equipment used for tungsten and barrier metal (TiN) operations. In photolithography, the size and shape of the powder particles showed 1-10 μm and were of spherical shape. In addition, the powders generated from high-current and medium-current processes for ion implantation included arsenic (As), whereas the high-energy process did not include As. For all samples collected using a personal air sampler during preventive maintenance of process equipment, the mass concentrations of total airborne particles were particles less than 10 μm in diameter) using direct-reading aerosol monitor by area sampling were between 0.00 and 0.02 μg/m(3). Although the exposure concentration of airborne particles during preventive maintenance is extremely low, it is necessary to make continuous improvements to the process and work environment, because the influence of chronic low-level exposure cannot be excluded.

  6. ENVIRONMENTAL TECHNOLOGY INITIATIVE: CHEMICAL-FREE CLEANING OF SEMICONDUCTORS BY THE RADIANCE PROCESS

    Science.gov (United States)

    The Radiance Process is a patented dry process for removing contaminants from surfaces. It uses light, usually from a pulsed laser and a gas inert to the surface, to entrain released contaminants. The focus of this effort is to assess the applicability of the Radiance Process t...

  7. FY 1999 report on the results of the research and development project for the photon-aided instrumentation and processing technologies. R and D of the photon-aided instrumentation and processing technologies; 1999 nendo photon keisoku kako gijutsu seika hokokusho. Photon keisoku kako gijutsu no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 1999 results of development of the photon-aided instrumentation and processing technologies. The photon technologies will be widely applicable to various industrial areas, e.g., medical, diagnostic, communication, transmission and chemical areas, in addition to instrumentation and processing, and the FY 1999 project is directed to the survey and analysis of the information, and prediction of their effects. The high-sensitivity light-receiving elements enlarged up to 5mm diameter (effective area) are developed, based on the technologies to grow the thin films using an MOVPE (metal-organic vapor-phase epitaxy) device and the results of development of the infrared ray-receiving InGaAs photodiode sensitive in a 2.5 to 2.7{mu}m wavelength range. The surface roughness of 0.4nmRMS is achieved by the bowl feed liquid polishing method, to develop the processing technologies for high-precision substrates for optical mirrors. The results are used to develop the prototype X-ray mirrors with surface accuracy of {lambda}/10 to {lambda}/20 and roughness of 0.3 to 0.5nmRMS. In the development of the technologies for the light sources which can sufficiently supply photons for exciting semiconductor lasers, the technologies are developed to efficiently converge the laser beams. Also developed are the apparatus which can converge the beams to a diameter of around 0.6mm, and the apparatus which uses optical fibers to evaluate the beam diameter. (NEDO)

  8. Improvisation during Process-Technology Adoption

    DEFF Research Database (Denmark)

    Tjørnehøj, Gitte; Mathiassen, Lars

    2010-01-01

    SPI technology adoption and events that causes the process to drift in unpredictable directions. To further understand how management's attempt to control the process is complemented by drifting, this article investigates the role of improvisation in adoption of SPI technology in a Danish software......Most software firms struggle to take advantage of the potential benefits of software process improvement (SPI) as they adopt this technology into the complex and dynamic realities of their day-to-day operation. Such efforts are therefore typically fluctuating between management's attempt to control...... firm, SmallSoft, over a 10-year period (1996–2005). We found that micro-level and macro-level improvisations interacted, often in uncoordinated ways, to shape SPI technology adoption at SmallSoft. The improvisations enhanced employee creativity, motivation and empowerment, created momentum...

  9. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  10. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  11. Electro-Optics Millimeter/Microwave Technology in Japan. Report of DoD Technology Team.

    Science.gov (United States)

    1985-05-01

    sink packages covering frequencies up to 94 GHz is being produced. They are suitable for both con- tinuous wave (CW) and pulsed operations. Mean -time...Processing Tel ecommunications Semiconductor and Electronic Components The Technology Team visited the Fujitsu Laboratory, Kanagawa. Topics covered included...Japan, the representatives of the Government of Japan and the Government of the United States of America have held discussions on the ways and means to

  12. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  13. All-polymer organic semiconductor laser chips: Parallel fabrication and encapsulation

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Klinkhammer, Sönke; Christiansen, Mads Brøkner

    2010-01-01

    Organic semiconductor lasers are of particular interest as tunable visible laser light sources. For bringing those to market encapsulation is needed to ensure practicable lifetimes. Additionally, fabrication technologies suitable for mass production must be used. We introduce all-polymer chips...... comprising encapsulated distributed feedback organic semiconductor lasers. Several chips are fabricated in parallel by thermal nanoimprint of the feedback grating on 4? wafer scale out of poly(methyl methacrylate) (PMMA) and cyclic olefin copolymer (COC). The lasers consisting of the organic semiconductor...... tris(8- hydroxyquinoline) aluminum (Alq3) doped with the laser dye 4-dicyanomethylene-2- methyl-6-(p-dimethylaminostyril)-4H-pyrane (DCM) are hermetically sealed by thermally bonding a polymer lid. The organic thin film is placed in a basin within the substrate and is not in direct contact to the lid...

  14. Quantum theory of the optical and electronic properties of semiconductors

    CERN Document Server

    Haug, Hartmut

    1990-01-01

    The current technological revolution in the development of computing devices has created a demand for a textbook on the quantum theory of the electronic and optical properties of semiconductors and semiconductor devices. This book successfully fulfills this need. Based on lectures given by the authors, it is a comprehensive introduction for researchers or graduate-level students to the subject. Certain sections can also serve as a graduate-level textbook for use in solid state physics courses or for more specialized courses. The final chapters establish a direct link to current research in sem

  15. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  16. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  17. FY 2000 report on the results of the research and development project for the photon-aided instrumentation and processing technologies. Research and development of the advanced instrumentation and processing technologies for oil production systems; 2000 nendo photon keisoku kako gijutsu seika hokokusho. Sekiyu seisan system kodo keisoku kako gijutsu kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of the photon-aided instrumentation and processing technologies, as part of development of the advanced instrumentation and processing technologies for oil production systems. The program for the microscopic processing technology combines iodine and YAG laser beams as the high-power laser beams of wavelength of the order of 1{mu}m, in order to realize laser-aided welding of high-quality thick plates, the welding demonstration tests producing good results; improves an in-process monitoring sensor, to confirm its high reliability; and improves size controllability for ultrafine semiconductor particles for development of quantum functional optoelectronic devices, and extends the electric conductivity for production of the transparent conducting films. The program for the non-destructive composition measuring technology develops a light source which produces short wavelength ray of sufficient brightness; produces three-dimensional CT images with a resolution of 2{mu}m, and is developing superconducting X-ray detectors. The program for the high-power all-solid-state laser technology starts development of a rod-type oscillation-controlling laser which can provide mixed operations of CW, pulsed and Q-switched oscillation modes at an average power of 1kW level. The program for the tightly-focusing all-solid-state laser technology develops the prototype of disk type fiber laser using silica fibers of rectangular cross-section, which produces a power of 103W, and starts development of a laser diode. (NEDO)

  18. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  19. Management of Technology - a political process approach

    DEFF Research Database (Denmark)

    Koch, Christian

    1999-01-01

    Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes.......Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes....

  20. Gain and Index Dynamics in Semiconductor Lasers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    Semiconductor optical amplifiers (SOAs) provide ultrafast, i.e. broadband components for optical communication systems. They enter not only as signal generators and amplifiers, but also as nonlinear elements for ultrafast signal processing such as wavelength conversion, switching, and regeneration...... changed character from bulk semiconductor to quantum wells and most recently to quantum dots. By quantum confinement of the carriers, the light-matter interactions can be significantly modified and the optical properties, including dynamics, can be engineered to match the required functionalities...

  1. Catalyzed reactions at illuminated semiconductor interfaces

    International Nuclear Information System (INIS)

    Wrighton, M.S.

    1984-01-01

    Many desirable minority carrier chemical redox processes are too slow to compete with e - -h + recombination at illuminated semiconductor/liquid electrolyte junction interfaces. Reductions of H 2 O to H 2 or CO 2 to compounds having C--H bonds are too slow to compete with e - -h + recombination at illuminated p-type semiconductors, for example. Approaches to improve the rate of the desired processes involving surface modification techniques are described. Photoanodes are plagued by the additional problem of oxidative decomposition under illumination with > or =E/sub g/ illumination. The photo-oxidation of Cl - , Br - , and H 2 O is considered to illustrate the concepts involved. Proof of concept experiments establish that catalysis can be effective in dramatically improving direct solar fuel production; efficiencies of >10% have been demonstrated

  2. Nonradiative recombination in semiconductors

    CERN Document Server

    Abakumov, VN; Yassievich, IN

    1991-01-01

    In recent years, great progress has been made in the understandingof recombination processes controlling the number of excessfree carriers in semiconductors under nonequilibrium conditions. As a result, it is now possible to give a comprehensivetheoretical description of these processes. The authors haveselected a number of experimental results which elucidate theunderlying physical problems and enable a test of theoreticalmodels. The following topics are dealt with: phenomenological theory ofrecombination, theoretical models of shallow and deep localizedstates, cascade model of carrier captu

  3. Semiconductor Laser Multi-Spectral Sensing and Imaging

    Directory of Open Access Journals (Sweden)

    Han Q. Le

    2010-01-01

    Full Text Available Multi-spectral laser imaging is a technique that can offer a combination of the laser capability of accurate spectral sensing with the desirable features of passive multispectral imaging. The technique can be used for detection, discrimination, and identification of objects by their spectral signature. This article describes and reviews the development and evaluation of semiconductor multi-spectral laser imaging systems. Although the method is certainly not specific to any laser technology, the use of semiconductor lasers is significant with respect to practicality and affordability. More relevantly, semiconductor lasers have their own characteristics; they offer excellent wavelength diversity but usually with modest power. Thus, system design and engineering issues are analyzed for approaches and trade-offs that can make the best use of semiconductor laser capabilities in multispectral imaging. A few systems were developed and the technique was tested and evaluated on a variety of natural and man-made objects. It was shown capable of high spectral resolution imaging which, unlike non-imaging point sensing, allows detecting and discriminating objects of interest even without a priori spectroscopic knowledge of the targets. Examples include material and chemical discrimination. It was also shown capable of dealing with the complexity of interpreting diffuse scattered spectral images and produced results that could otherwise be ambiguous with conventional imaging. Examples with glucose and spectral imaging of drug pills were discussed. Lastly, the technique was shown with conventional laser spectroscopy such as wavelength modulation spectroscopy to image a gas (CO. These results suggest the versatility and power of multi-spectral laser imaging, which can be practical with the use of semiconductor lasers.

  4. Semiconductor laser multi-spectral sensing and imaging.

    Science.gov (United States)

    Le, Han Q; Wang, Yang

    2010-01-01

    Multi-spectral laser imaging is a technique that can offer a combination of the laser capability of accurate spectral sensing with the desirable features of passive multispectral imaging. The technique can be used for detection, discrimination, and identification of objects by their spectral signature. This article describes and reviews the development and evaluation of semiconductor multi-spectral laser imaging systems. Although the method is certainly not specific to any laser technology, the use of semiconductor lasers is significant with respect to practicality and affordability. More relevantly, semiconductor lasers have their own characteristics; they offer excellent wavelength diversity but usually with modest power. Thus, system design and engineering issues are analyzed for approaches and trade-offs that can make the best use of semiconductor laser capabilities in multispectral imaging. A few systems were developed and the technique was tested and evaluated on a variety of natural and man-made objects. It was shown capable of high spectral resolution imaging which, unlike non-imaging point sensing, allows detecting and discriminating objects of interest even without a priori spectroscopic knowledge of the targets. Examples include material and chemical discrimination. It was also shown capable of dealing with the complexity of interpreting diffuse scattered spectral images and produced results that could otherwise be ambiguous with conventional imaging. Examples with glucose and spectral imaging of drug pills were discussed. Lastly, the technique was shown with conventional laser spectroscopy such as wavelength modulation spectroscopy to image a gas (CO). These results suggest the versatility and power of multi-spectral laser imaging, which can be practical with the use of semiconductor lasers.

  5. Flexible MEMS: A novel technology to fabricate flexible sensors and electronics

    Science.gov (United States)

    Tu, Hongen

    This dissertation presents the design and fabrication techniques used to fabricate flexible MEMS (Micro Electro Mechanical Systems) devices. MEMS devices and CMOS(Complementary Metal-Oxide-Semiconductor) circuits are traditionally fabricated on rigid substrates with inorganic semiconductor materials such as Silicon. However, it is highly desirable that functional elements like sensors, actuators or micro fluidic components to be fabricated on flexible substrates for a wide variety of applications. Due to the fact that flexible substrate is temperature sensitive, typically only low temperature materials, such as polymers, metals, and organic semiconductor materials, can be directly fabricated on flexible substrates. A novel technology based on XeF2(xenon difluoride) isotropic silicon etching and parylene conformal coating, which is able to monolithically incorporate high temperature materials and fluidic channels, was developed at Wayne State University. The technology was first implemented in the development of out-of-plane parylene microneedle arrays that can be individually addressed by integrated flexible micro-channels. These devices enable the delivery of chemicals with controlled temporal and spatial patterns and allow us to study neurotransmitter-based retinal prosthesis. The technology was further explored by adopting the conventional SOI-CMOS processes. High performance and high density CMOS circuits can be first fabricated on SOI wafers, and then be integrated into flexible substrates. Flexible p-channel MOSFETs (Metal-Oxide-Semiconductor Field-Effect-Transistors) were successfully integrated and tested. Integration of pressure sensors and flow sensors based on single crystal silicon has also been demonstrated. A novel smart yarn technology that enables the invisible integration of sensors and electronics into fabrics has been developed. The most significant advantage of this technology is its post-MEMS and post-CMOS compatibility. Various high

  6. Study of interfaces in organic semiconductor heterojunctions

    International Nuclear Information System (INIS)

    Maheshwari, P; Dutta, D; Sudarshan, K; Sharma, S K; Pujari, P K; Samanta, S; Singh, A; Aswal, D K

    2011-01-01

    The defect structure at the organic heterojunctions is studied using slow positron beam. The structural and electronic properties of heterojunctions are of technological and fundamental importance for understanding and optimization of electronic processes in organic devices. Interface trap centres play a significant role in the electrical conduction through the junctions. Depth dependent Doppler broadened annihilation measurements have been carried out in p- and n-type organic semiconductor thin films (30-80 nm) both single as well as multilayers grown on quartz substrate. The objective of the present study is to investigate the defect structure and to understand the behavior of positrons at the charged organic interfaces. Our result shows the sensitivity of positrons to the interfacial disorders that may be a convoluted effect of the presence of defects as well as the influence of the charge dipole in multilayers.

  7. Elementary steps in electrical doping of organic semiconductors

    KAUST Repository

    Tietze, Max Lutz

    2018-03-15

    Fermi level control by doping is established since decades in inorganic semiconductors and has been successfully introduced in organic semiconductors. Despite its commercial success in the multi-billion OLED display business, molecular doping is little understood, with its elementary steps controversially discussed and mostly-empirical-materials design. Particularly puzzling is the efficient carrier release, despite a presumably large Coulomb barrier. Here we quantitatively investigate doping as a two-step process, involving single-electron transfer from donor to acceptor molecules and subsequent dissociation of the ground-state integer-charge transfer complex (ICTC). We show that carrier release by ICTC dissociation has an activation energy of only a few tens of meV, despite a Coulomb binding of several 100 meV. We resolve this discrepancy by taking energetic disorder into account. The overall doping process is explained by an extended semiconductor model in which occupation of ICTCs causes the classically known reserve regime at device-relevant doping concentrations.

  8. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  9. High precision stress measurements in semiconductor structures by Raman microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Uhlig, Benjamin

    2009-07-01

    Stress in silicon structures plays an essential role in modern semiconductor technology. This stress has to be measured and due to the ongoing miniaturization in today's semiconductor industry, the measuring method has to meet certain requirements. The present thesis deals with the question how Raman spectroscopy can be used to measure the state of stress in semiconductor structures. In the first chapter the relation between Raman peakshift and stress in the material is explained. It is shown that detailed stress maps with a spatial resolution close to the diffraction limit can be obtained in structured semiconductor samples. Furthermore a novel procedure, the so called Stokes-AntiStokes-Difference method is introduced. With this method, topography, tool or drift effects can be distinguished from stress related influences in the sample. In the next chapter Tip-enhanced Raman Scattering (TERS) and its application for an improvement in lateral resolution is discussed. For this, a study is presented, which shows the influence of metal particles on the intensity and localization of the Raman signal. A method to attach metal particles to scannable tips is successfully applied. First TERS scans are shown and their impact on and challenges for high resolution stress measurements on semiconductor structures is explained. (orig.)

  10. National Security Technology Incubator Evaluation Process

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2007-12-31

    This report describes the process by which the National Security Technology Incubator (NSTI) will be evaluated. The technology incubator is being developed as part of the National Security Preparedness Project (NSPP), funded by a Department of Energy (DOE)/National Nuclear Security Administration (NNSA) grant. This report includes a brief description of the components, steps, and measures of the proposed evaluation process. The purpose of the NSPP is to promote national security technologies through business incubation, technology demonstration and validation, and workforce development. The NSTI will focus on serving businesses with national security technology applications by nurturing them through critical stages of early development. An effective evaluation process of the NSTI is an important step as it can provide qualitative and quantitative information on incubator performance over a given period. The vision of the NSTI is to be a successful incubator of technologies and private enterprise that assist the NNSA in meeting new challenges in national safety and security. The mission of the NSTI is to identify, incubate, and accelerate technologies with national security applications at various stages of development by providing hands-on mentoring and business assistance to small businesses and emerging or growing companies. To achieve success for both incubator businesses and the NSTI program, an evaluation process is essential to effectively measure results and implement corrective processes in the incubation design if needed. The evaluation process design will collect and analyze qualitative and quantitative data through performance evaluation system.

  11. Directed-energy process technology efforts

    Science.gov (United States)

    Alexander, P.

    1985-01-01

    A summary of directed-energy process technology for solar cells was presented. This technology is defined as directing energy or mass to specific areas on solar cells to produce a desired effect in contrast to exposing a cell to a thermal or mass flow environment. Some of these second generation processing techniques are: ion implantation; microwave-enhanced chemical vapor deposition; rapid thermal processing; and the use of lasers for cutting, assisting in metallization, assisting in deposition, and drive-in of liquid dopants. Advantages of directed energy techniques are: surface heating resulting in the bulk of the cell material being cooler and unchanged; better process control yields; better junction profiles, junction depths, and metal sintering; lower energy consumption during processing and smaller factory space requirements. These advantages should result in higher-efficiency cells at lower costs. The results of the numerous contracted efforts were presented as well as the application potentials of these new technologies.

  12. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  13. Solvent vapor annealing of an insoluble molecular semiconductor

    KAUST Repository

    Amassian, Aram

    2010-01-01

    Solvent vapor annealing has been proposed as a low-cost, highly versatile, and room-temperature alternative to thermal annealing of organic semiconductors and devices. In this article, we investigate the solvent vapor annealing process of a model insoluble molecular semiconductor thin film - pentacene on SiO 2 exposed to acetone vapor - using a combination of optical reflectance and two-dimensional grazing incidence X-ray diffraction measurements performed in situ, during processing. These measurements provide valuable and new insight into the solvent vapor annealing process; they demonstrate that solvent molecules interact mainly with the surface of the film to induce a solid-solid transition without noticeable swelling, dissolving or melting of the molecular material. © 2010 The Royal Society of Chemistry.

  14. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  15. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  16. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  17. Very Low-Power Consumption Analog Pulse Processing ASIC for Semiconductor Radiation Detectors

    International Nuclear Information System (INIS)

    Wessendorf, K.O.; Lund, J.C.; Brunett, B.A.; Laguna, G.R.; Clements, J.W.

    1999-01-01

    We describe a very-low power consumption circuit for processing the pulses from a semiconductor radiation detector. The circuit was designed for use with a cadmium zinc telluride (CZT) detector for unattended monitoring of stored nuclear materials. The device is intended to be battery powered and operate at low duty-cycles over a long period of time. This system will provide adequate performance for medium resolution gamma-ray pulse-height spectroscopy applications. The circuit incorporates the functions of a charge sensitive preamplifier, shaping amplifier, and peak sample and hold circuit. An application specific integrated circuit (ASIC) version of the design has been designed, built and tested. With the exception of the input field effect transistor (FET), the circuit is constructed using bipolar components. In this paper the design philosophy and measured performance characteristics of the circuit are described

  18. Flexible, Photopatterned, Colloidal CdSe Semiconductor Nanocrystal Integrated Circuits

    Science.gov (United States)

    Stinner, F. Scott

    As semiconductor manufacturing pushes towards smaller and faster transistors, a parallel goal exists to create transistors which are not nearly as small. These transistors are not intended to match the performance of traditional crystalline semiconductors; they are designed to be significantly lower in cost and manufactured using methods that can make them physically flexible for applications where form is more important than speed. One of the developing technologies for this application is semiconductor nanocrystals. We first explore methods to develop CdSe nanocrystal semiconducting "inks" into large-scale, high-speed integrated circuits. We demonstrate photopatterned transistors with mobilities of 10 cm2/Vs on Kapton substrates. We develop new methods for vertical interconnect access holes to demonstrate multi-device integrated circuits including inverting amplifiers with 7 kHz bandwidths, ring oscillators with NFC) link. The device draws its power from the NFC transmitter common on smartphones and eliminates the need for a fixed battery. This allows for the mass deployment of flexible, interactive displays on product packaging.

  19. The ATLAS semiconductor tracker: operations and performance

    CERN Document Server

    D'Auria, S; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar {it p}-in-{it n} technology. The signals are processed in the front-end ASICS ABCD3TA, working in binary readout mode. Data is transferred to the off-detector readout electronics via optical fibres. We find 99.3% of the SCT modules are operational, noise occupancy and hit efficiency exceed the design specifications. In the talk the current results from the successful operation of the SCT Detector at the LHC and its status af...

  20. The ATLAS Semiconductor tracker: operations and performance

    CERN Document Server

    Pani, P; The ATLAS collaboration

    2013-01-01

    Tracker After more than 3 years of successful operation at the LHC, we report on the operation and performance of the Semi-Conductor Tracker (SCT) functioning in a high luminosity, high radiation environment. The SCT is part of the ATLAS experiment at CERN and is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar p-in-n technology. The signals are processed in the front-end ABCD3TA ASICs, which use a binary readout architecture. Data is transferred to the off-detector readout electronics via optical fibers. We find 99.3% of the SCT modules are operational, noise occupancy and hit efficiency exceed the design specifications; the alignment is very cl...

  1. Advanced Microelectronics Technologies for Future Small Satellite Systems

    Science.gov (United States)

    Alkalai, Leon

    1999-01-01

    Future small satellite systems for both Earth observation as well as deep-space exploration are greatly enabled by the technological advances in deep sub-micron microelectronics technologies. Whereas these technological advances are being fueled by the commercial (non-space) industries, more recently there has been an exciting new synergism evolving between the two otherwise disjointed markets. In other words, both the commercial and space industries are enabled by advances in low-power, highly integrated, miniaturized (low-volume), lightweight, and reliable real-time embedded systems. Recent announcements by commercial semiconductor manufacturers to introduce Silicon On Insulator (SOI) technology into their commercial product lines is driven by the need for high-performance low-power integrated devices. Moreover, SOI has been the technology of choice for many space semiconductor manufacturers where radiation requirements are critical. This technology has inherent radiation latch-up immunity built into the process, which makes it very attractive to space applications. In this paper, we describe the advanced microelectronics and avionics technologies under development by NASA's Deep Space Systems Technology Program (also known as X2000). These technologies are of significant benefit to both the commercial satellite as well as the deep-space and Earth orbiting science missions. Such a synergistic technology roadmap may truly enable quick turn-around, low-cost, and highly capable small satellite systems for both Earth observation as well as deep-space missions.

  2. Process Engineering Technology Center Initiative

    Science.gov (United States)

    Centeno, Martha A.

    2002-01-01

    NASA's Kennedy Space Center (KSC) is developing as a world-class Spaceport Technology Center (STC). From a process engineering (PE) perspective, the facilities used for flight hardware processing at KSC are NASA's premier factories. The products of these factories are safe, successful shuttle and expendable vehicle launches carrying state-of-the-art payloads. PE is devoted to process design, process management, and process improvement, rather than product design. PE also emphasizes the relationships of workers with systems and processes. Thus, it is difficult to speak of having a laboratory for PE at K.S.C. because the entire facility is practically a laboratory when observed from a macro level perspective. However, it becomes necessary, at times, to show and display how K.S.C. has benefited from PE and how K.S.C. has contributed to the development of PE; hence, it has been proposed that a Process Engineering Technology Center (PETC) be developed to offer a place with a centralized focus on PE projects, and a place where K.S.C.'s PE capabilities can be showcased, and a venue where new Process Engineering technologies can be investigated and tested. Graphics for showcasing PE capabilities have been designed, and two initial test beds for PE technology research have been identified. Specifically, one test bed will look into the use of wearable computers with head mounted displays to deliver work instructions; the other test bed will look into developing simulation models that can be assembled into one to create a hierarchical model.

  3. 2,6-Bis(benzo[b]thiophen-2-yl-3,7-dipentadecyltetrathienoacene (DBT-TTAR2 as an Alternative of Highly Soluble p-type Organic Semiconductor for Organic Thin Film Transistor (OTFT Application

    Directory of Open Access Journals (Sweden)

    Mery B. Supriadi

    2013-03-01

    Full Text Available A new compound of organic semiconductor based on tetrathienoacene (TTA derivatives, DBT-TTAR2 was synthesized and characterized. The corporation of dibenzo[b,d]thiophene (DBT group and alkyl substituent in both ends of TTA core have a significant effect on their π-π molecular conjugation length, energy gaps value and solubility properties. DBT-TTAR2 is fabricated as p-type organic semiconductor of organic thin film transistor (OTFT by solution process at Industrial Technology Research Institute, Taiwan. A good optical, electrochemical, and thermal properties of DBT-TTAR2 showed that its exhibits a better performance as highly soluble p-type organic semiconductor.

  4. Technology development life cycle processes.

    Energy Technology Data Exchange (ETDEWEB)

    Beck, David Franklin

    2013-05-01

    This report and set of appendices are a collection of memoranda originally drafted in 2009 for the purpose of providing motivation and the necessary background material to support the definition and integration of engineering and management processes related to technology development. At the time there was interest and support to move from Capability Maturity Model Integration (CMMI) Level One (ad hoc processes) to Level Three. As presented herein, the material begins with a survey of open literature perspectives on technology development life cycles, including published data on %E2%80%9Cwhat went wrong.%E2%80%9D The main thrust of the material presents a rational expose%CC%81 of a structured technology development life cycle that uses the scientific method as a framework, with further rigor added from adapting relevant portions of the systems engineering process. The material concludes with a discussion on the use of multiple measures to assess technology maturity, including consideration of the viewpoint of potential users.

  5. Development of Industrial Process Diagnosis and Measurement Technology

    International Nuclear Information System (INIS)

    Jung, Sung Hee; Kim, Jong Bum; Moon, Jin Ho

    2010-04-01

    Section 1. Industrial Gamma CT Technology for Process Diagnosis: The project is aimed to develop industrial process gamma tomography system for investigation on structural and physical malfunctioning and process media distribution by means of sealed gamma source and radioactive materials. Section 2. Development of RI Hydraulic Detection Technology for Industrial Application: The objectives in this study are to develop the evaluation technology of the hydrological characteristics and the hydraulic detection technology using radioisotope, and to analyze the hydrodynamics and pollutant transport in water environment like surface and subsurface. Section 3. Development of RT-PAT System for Powder Process Diagnosis: The objective of this project is the development of a new radiation technology to improve the accuracy of the determination of moisture content in a powder sample by using radiation source through the so-called RT-PAT (Radiation Technology-Process Analytical Technology), which is a new concept of converging technology between the radiation technology and the process analytical technology

  6. Development of industrial process diagnosis and measurement technology

    International Nuclear Information System (INIS)

    Jung, Sunghee; Kim, Jongbum; Moon, Jinho; Suh, Kyungsuk; Kim, Jongyun

    2012-04-01

    Section1. Industrial Gamma CT Technology for Process Diagnosis The project is aimed to develop industrial process gamma tomography system for investigation on structural and physical malfunctioning and process media distribution by means of sealed gamma source and radioactive materials. Section2. Development of RI Hydraulic Detection Technology for Industrial Application The objectives in this study are to develop the evaluation technology of the hydrological characteristics and the hydraulic detection technology using radioisotope, and to analyze the hydrodynamics and pollutant transport in water environment like surface and subsurface. Section3. Development of RT-PAT System for Powder Process Diagnosis The objective of this project is the development of a new radiation technology to improve the accuracy of the determination of moisture content in a powder sample by using radiation source through the so-called RT-PAT (Radiation Technology-Process Analytical Technology), which is a new concept of converging technology between the radiation technology and the process analytical technology

  7. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  8. Organic Spin-Valves and Beyond: Spin Injection and Transport in Organic Semiconductors and the Effect of Interfacial Engineering.

    Science.gov (United States)

    Jang, Hyuk-Jae; Richter, Curt A

    2017-01-01

    Since the first observation of the spin-valve effect through organic semiconductors, efforts to realize novel spintronic technologies based on organic semiconductors have been rapidly growing. However, a complete understanding of spin-polarized carrier injection and transport in organic semiconductors is still lacking and under debate. For example, there is still no clear understanding of major spin-flip mechanisms in organic semiconductors and the role of hybrid metal-organic interfaces in spin injection. Recent findings suggest that organic single crystals can provide spin-transport media with much less structural disorder relative to organic thin films, thus reducing momentum scattering. Additionally, modification of the band energetics, morphology, and even spin magnetic moment at the metal-organic interface by interface engineering can greatly impact the efficiency of spin-polarized carrier injection. Here, progress on efficient spin-polarized carrier injection into organic semiconductors from ferromagnetic metals by using various interface engineering techniques is presented, such as inserting a metallic interlayer, a molecular self-assembled monolayer (SAM), and a ballistic carrier emitter. In addition, efforts to realize long spin transport in single-crystalline organic semiconductors are discussed. The focus here is on understanding and maximizing spin-polarized carrier injection and transport in organic semiconductors and insight is provided for the realization of emerging organic spintronics technologies. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Laser Processing Technology using Metal Powders

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jeong-Hwan; Moon, Young-Hoon [Pusan National University, Busan (Korea, Republic of)

    2012-03-15

    The purpose of this paper is to review the state of laser processing technology using metal powders. In recent years, a series of research and development efforts have been undertaken worldwide to develop laser processing technologies to fabricate metal-based parts. Layered manufacturing by the laser melting process is gaining ground for use in manufacturing rapid prototypes (RP), tools (RT) and functional end products. Selective laser sintering / melting (SLS/SLM) is one of the most rapidly growing rapid prototyping techniques. This is mainly due to the processes's suitability for almost any materials, including polymers, metals, ceramics and many types of composites. The interaction between the laser beam and the powder material used in the laser melting process is one of the dominant phenomena defining feasibility and quality. In the case of SLS, the powder is not fully melted during laser scanning, therefore the SLS-processed parts are not fully dense and have relatively low strength. To overcome this disadvantage, SLM and laser cladding (LC) processes have been used to enable full melting of the powder. Further studies on the laser processing technology will be continued due to the many potential applications that the technology offers.

  10. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  11. The materials processing research base of the Materials Processing Center

    Science.gov (United States)

    Latanision, R. M.

    1986-01-01

    An annual report of the research activities of the Materials Processing Center of the Massachusetts Institute of Technology is given. Research on dielectrophoresis in the microgravity environment, phase separation kinetics in immiscible liquids, transport properties of droplet clusters in gravity-free fields, probes and monitors for the study of solidification of molten semiconductors, fluid mechanics and mass transfer in melt crystal growth, and heat flow control and segregation in directional solidification are discussed.

  12. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    Science.gov (United States)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  13. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Semiconductor electrolyte photovoltaic energy converter

    Science.gov (United States)

    Anderson, W. W.; Anderson, L. B.

    1975-01-01

    Feasibility and practicality of a solar cell consisting of a semiconductor surface in contact with an electrolyte are evaluated. Basic components and processes are detailed for photovoltaic energy conversion at the surface of an n-type semiconductor in contact with an electrolyte which is oxidizing to conduction band electrons. Characteristics of single crystal CdS, GaAs, CdSe, CdTe and thin film CdS in contact with aqueous and methanol based electrolytes are studied and open circuit voltages are measured from Mott-Schottky plots and open circuit photo voltages. Quantum efficiencies for short circuit photo currents of a CdS crystal and a 20 micrometer film are shown together with electrical and photovoltaic properties. Highest photon irradiances are observed with the GaAs cell.

  15. Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste.

    Science.gov (United States)

    Xue, Mianqiang; Yan, Guoqing; Li, Jia; Xu, Zhenming

    2012-10-02

    Electrostatic separation has been widely used to separate conductors and nonconductors for recycling e-waste. However, the components of e-waste are complex, which can be classified as conductors, semiconductors, and nonconductors according to their conducting properties. In this work, we made a novel attempt to recover the mixtures containing conductors (copper), semiconductors (extrinsic silicon), and nonconductors (woven glass reinforced resin) by electrostatic separation. The results of binary mixtures separation show that the separation of conductor and nonconductor, semiconductor and nonconductor need a higher voltage level while the separation of conductor and semiconductor needs a higher roll speed. Furthermore, the semiconductor separation efficiency is more sensitive to the high voltage level and the roll speed than the conductor separation efficiency. An integrated process was proposed for the multiple mixtures separation. The separation efficiency of conductors and semiconductors can reach 82.5% and 88%, respectively. This study contributes to the efficient recycling of valuable resources from e-waste.

  16. Ultrafast laser-semiconductor interactions

    International Nuclear Information System (INIS)

    Schile, L.A.

    1996-01-01

    Studies of the ultrafast (< 100 fs) interactions of infrared, sub-100 fs laser pulses with IR, photosensitive semiconductor materials InGaAs, InSb, and HgCdTe are reported. Both the carrier dynamics and the associated Terahertz radiation from these materials are discussed. The most recent developments of femtosecond (< 100 fs) Optical Parametric Oscillators (OPO) has extended the wavelength range from the visible to 5.2 μm. The photogenerated semiconductor free carrier dynamics are determined in the 77 to 300 degrees K temperature range using the Transmission Correlation Peak (TCP) method. The electron-phonon scattering times are typically 200 - 600 fs. Depending upon the material composition and substrate on which the IR crystalline materials are deposited, the nonlinear TCP absorption gives recombination rates as fast as 10's of picoseconds. For the HgCdTe, there exists a 400 fs electron-phonon scattering process along with a much longer 3600 fs loss process. Studies of the interactions of these ultrashort laser pulses with semiconductors produce Terahertz (Thz) radiative pulses. With undoped InSb, there is a substantial change in the spectral content of this THz radiation between 80 - 260 degrees K while the spectrum of Te-doped InSb remains nearly unchanged, an effect attributed to its mobility being dominated by impurity scattering. At 80 degrees K, the terahertz radiation from undoped InSb is dependent on wavelength, with both a higher frequency spectrum and much larger amplitudes generated at longer wavelengths. No such effect is observed at 260 degrees K. Finally, new results on the dependence of the emitted THz radiation on the InSb crystal's orientation is presented

  17. Oxygen and carbon transfer during solidification of semiconductor grade silicon in different processes

    Science.gov (United States)

    Ribeyron, P. J.; Durand, F.

    2000-03-01

    A model is established for comparing the solute distribution resulting from four solidification processes currently applied to semiconductor grade silicon: Czochralski pulling (CZ), floating zone (FZ), 1D solidification and electromagnetic continuous pulling (EMCP). This model takes into account solid-liquid interface exchange, evaporation to or contamination by the gas phase, container dissolution, during steady-state solidification, and in the preliminary preparation of the melt. For simplicity, the transfers are treated in the crude approximation of perfectly mixed liquid and boundary layers. As a consequence, only the axial ( z) distribution can be represented. Published data on oxygen and carbon transfer give a set of acceptable values for the thickness of the boundary layers. In the FZ and EMCP processes, oxygen evaporation can change the asymptotic behaviour of the reference Pfann law. In CZ and in 1D-solidification, a large variety of solute profile curves can be obtained, because they are very sensitive to the balance between crucible dissolution and evaporation. The CZ process clearly brings supplementary degrees of freedom via the geometry of the crucible, important for the dissolution phenomena, and via the rotation rate of the crystal and of the crucible, important for acting on transfer kinetics.

  18. Radio frequency tags systems to initiate system processing

    Science.gov (United States)

    Madsen, Harold O.; Madsen, David W.

    1994-09-01

    This paper describes the automatic identification technology which has been installed at Applied Magnetic Corp. MR fab. World class manufacturing requires technology exploitation. This system combines (1) FluoroTrac cassette and operator tracking, (2) CELLworks cell controller software tools, and (3) Auto-Soft Inc. software integration services. The combined system eliminates operator keystrokes and errors during normal processing within a semiconductor fab. The methods and benefits of this system are described.

  19. Mathematical Modelling and Simulation of Electrical Circuits and Semiconductor Devices

    CERN Document Server

    Merten, K; Bulirsch, R

    1990-01-01

    Numerical simulation and modelling of electric circuits and semiconductor devices are of primal interest in today's high technology industries. At the Oberwolfach Conference more than forty scientists from around the world, in­ cluding applied mathematicians and electrical engineers from industry and universities, presented new results in this area of growing importance. The contributions to this conference are presented in these proceedings. They include contributions on special topics of current interest in circuit and device simulation, as well as contributions that present an overview of the field. In the semiconductor area special lectures were given on mixed finite element methods and iterative procedures for the solution of large linear systems. For three dimensional models new discretization procedures including software packages were presented. Con­ nections between semiconductor equations and the Boltzmann equation were shown as well as relations to the quantum transport equation. Other issues dis...

  20. Optoelectronic integrated circuits utilising vertical-cavity surface-emitting semiconductor lasers

    International Nuclear Information System (INIS)

    Zakharov, S D; Fyodorov, V B; Tsvetkov, V V

    1999-01-01

    Optoelectronic integrated circuits with additional optical inputs/outputs, in which vertical-cavity surface-emitting (VCSE) lasers perform the data transfer functions, are considered. The mutual relationship and the 'affinity' between optical means for data transfer and processing, on the one hand, and the traditional electronic component base, on the other, are demonstrated in the case of implementation of three-dimensional interconnects with a high transmission capacity. Attention is drawn to the problems encountered when semiconductor injection lasers are used in communication lines. It is shown what role can be played by VCSE lasers in solving these problems. A detailed analysis is made of the topics relating to possible structural and technological solutions in the fabrication of single lasers and of their arrays, and also of the problems hindering integrating of lasers into emitter arrays. Considerable attention is given to integrated circuits with optoelectronic smart pixels. Various technological methods for vertical integration of GaAs VCSE lasers with the silicon substrate of a microcircuit (chip) are discussed. (review)

  1. Large Bandgap Semiconductors for Solar Water Splitting

    DEFF Research Database (Denmark)

    Malizia, Mauro

    Photoelectrochemical water splitting represents an eco-friendly technology that could enable the production of hydrogen using water as reactant and solar energy as primary energy source. The exploitation of solar energy for the production of hydrogen would help modern society to reduce the reliance...... on fossil fuels as primary feedstock for hydrogen production and diminish the emission of greenhouse gases in the atmosphere, weakening the global warming phenomenon.The dissertation reports the development of GaP (gallium phosphide) photocathodes as a large bandgap semiconductor for photoelectrochemical...... water splitting devices having tandem design. The increase of the photovoltage produced by GaP under illumination was the main goal of this work. GaP has a bandgap of 2.25 eV and could in theory produce a photovoltage of approximately 1.7 V. Instead, the photovoltage produced by the semiconductor...

  2. PyCDT: A Python toolkit for modeling point defects in semiconductors and insulators

    Science.gov (United States)

    Broberg, Danny; Medasani, Bharat; Zimmermann, Nils E. R.; Yu, Guodong; Canning, Andrew; Haranczyk, Maciej; Asta, Mark; Hautier, Geoffroy

    2018-05-01

    Point defects have a strong impact on the performance of semiconductor and insulator materials used in technological applications, spanning microelectronics to energy conversion and storage. The nature of the dominant defect types, how they vary with processing conditions, and their impact on materials properties are central aspects that determine the performance of a material in a certain application. This information is, however, difficult to access directly from experimental measurements. Consequently, computational methods, based on electronic density functional theory (DFT), have found widespread use in the calculation of point-defect properties. Here we have developed the Python Charged Defect Toolkit (PyCDT) to expedite the setup and post-processing of defect calculations with widely used DFT software. PyCDT has a user-friendly command-line interface and provides a direct interface with the Materials Project database. This allows for setting up many charged defect calculations for any material of interest, as well as post-processing and applying state-of-the-art electrostatic correction terms. Our paper serves as a documentation for PyCDT, and demonstrates its use in an application to the well-studied GaAs compound semiconductor. We anticipate that the PyCDT code will be useful as a framework for undertaking readily reproducible calculations of charged point-defect properties, and that it will provide a foundation for automated, high-throughput calculations.

  3. Quantitative autoradiography of semiconductor base material

    International Nuclear Information System (INIS)

    Treutler, H.C.; Freyer, K.

    1983-01-01

    Autoradiographic methods for the quantitative determination of elements interesting in semiconductor technology and their distribution in silicon are described. Whereas the local concentration and distribution of phosphorus has been determined with the aid of silver halide films the neutron-induced autoradiography has been applied in the case of boron. Silicon disks containing diffused phosphorus or implanted or diffused boron have been used as standard samples. Different possibilities of the quantitative evaluation of autoradiograms are considered and compared

  4. Semiconductor detectors in the low countries

    CERN Document Server

    Heijne, Erik H M

    2003-01-01

    Several milestones in the development of semiconductor radiation imaging detectors are attributed to scientists from the Low Countries, the Netherlands and Belgium, and a few historical details will be highlighted. The very first usable semiconductor nuclear detector was made in Utrecht, around 1943, in the form of an AgCl crystal. The earliest large-scale application of monolithic, double- sided silicon strip detectors was in the BOL experiment around 1968 at IKO, now NIKHEF, in Amsterdam. The technology developed and patented by Philips and IKO was adapted by the author and coworkers in 1980 to produce the first silicon microstrip detector used for the reconstruction of events in a CERN fixed target experiment. An avalanche of developments then led to worldwide use of silicon microstrip detectors in elementary particle physics, motivated by the capability to reconstruct particles with lifetime similar to 10**- **1**2s, which decay on sub-millimeter scale. The intensive activity in silicon detector R&D c...

  5. Buried waste integrated demonstration technology integration process

    International Nuclear Information System (INIS)

    Ferguson, J.S.; Ferguson, J.E.

    1992-04-01

    A Technology integration Process was developed for the Idaho National Energy Laboratories (INEL) Buried Waste Integrated Demonstration (BWID) Program to facilitate the transfer of technology and knowledge from industry, universities, and other Federal agencies into the BWID; to successfully transfer demonstrated technology and knowledge from the BWID to industry, universities, and other Federal agencies; and to share demonstrated technologies and knowledge between Integrated Demonstrations and other Department of Energy (DOE) spread throughout the DOE Complex. This document also details specific methods and tools for integrating and transferring technologies into or out of the BWID program. The document provides background on the BWID program and technology development needs, demonstrates the direction of technology transfer, illustrates current processes for this transfer, and lists points of contact for prospective participants in the BWID technology transfer efforts. The Technology Integration Process was prepared to ensure compliance with the requirements of DOE's Office of Technology Development (OTD)

  6. Radioactive Dry Process Material Treatment Technology Development

    Energy Technology Data Exchange (ETDEWEB)

    Park, J. J.; Hung, I. H.; Kim, K. K. (and others)

    2007-06-15

    The project 'Radioactive Dry Process Material Treatment Technology Development' aims to be normal operation for the experiments at DUPIC fuel development facility (DFDF) and safe operation of the facility through the technology developments such as remote operation, maintenance and pair of the facility, treatment of various high level process wastes and trapping of volatile process gases. DUPIC Fuel Development Facility (DFDF) can accommodate highly active nuclear materials, and now it is for fabrication of the oxide fuel by dry process characterizing the proliferation resistance. During the second stage from march 2005 to February 2007, we carried out technology development of the remote maintenance and the DFDF's safe operation, development of treatment technology for process off-gas, and development of treatment technology for PWR cladding hull and the results was described in this report.

  7. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  8. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  9. Semiconductor industry wafer fab exhaust management

    CERN Document Server

    Sherer, Michael J

    2005-01-01

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application. Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separ...

  10. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  11. Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport

    Science.gov (United States)

    Su, Ching-Hua; Sha, Yi-Gao

    1995-01-01

    The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.

  12. Radiative heat transfer analysis in pure water heater used for semiconductor processing

    International Nuclear Information System (INIS)

    Liu, L.H.; Kudo, K.; Mochida, A.; Ogawa, T.; Kadotani, K.

    2004-01-01

    A simplified one-dimensional model is presented to analyze the non-gray radiative transfer in pure water heater used in the rinsing processes within semiconductor production lines, and the ray-tracing method is extended to simulate the radiative heat transfer. To examine the accuracy of the simplified model, the distribution of radiation absorption is determined by the ray-tracing method based the simplified model and compared with the data obtained by three-dimensional non-gray model in combination with Monte Carlo method in reference, and the effects of the water thickness on the radiation absorption are analyzed. The results show that the simplified model has a good accuracy in solving the radiation absorption in the pure water heater. The radiation absorption increases with the water thickness, but when the water thickness is greater than 50 mm, the radiation absorption increases very slowly with the water thickness

  13. Heat and mass transfer in semiconductor melts during single-crystal growth processes

    Science.gov (United States)

    Kakimoto, Koichi

    1995-03-01

    The quality of large semiconductor crystals grown from melts is significantly affected by the heat and mass transfer in the melts. The current understanding of the phenomena, especially melt convection, is reviewed starting from the results of visualization using model fluids or silicon melt, and continuing to the detailed numerical calculations needed for quantitative modeling of processing with solidification. The characteristics of silicon flows are also reviewed by focusing on the Coriolis force in the rotating melt. Descriptions of flow instabilities are included that show the level of understanding of melt convection with a low Prandtl number. Based on hydrodynamics, the origin of the silicon flow structure is reviewed, and it is discussed whether silicon flow is completely turbulent or has an ordered structure. The phase transition from axisymmetric to nonaxisymmetric flow is discussed using different geometries. Additionally, surface-tension-driven flow is reviewed for Czochralski crystal growth systems.

  14. Compact Submillimeter-Wave Receivers Made with Semiconductor Nano-Fabrication Technologies

    Science.gov (United States)

    Jung, C.; Thomas, B.; Lee, C.; Peralta, A.; Chattopadhyay, G.; Gill, J.; Cooper, K.; Mehdi, I.

    2011-01-01

    Advanced semiconductor nanofabrication techniques are utilized to design, fabricate and demonstrate a super-compact, low-mass (<10 grams) submillimeter-wave heterodyne front-end. RF elements such as waveguides and channels are fabricated in a silicon wafer substrate using deep-reactive ion etching (DRIE). Etched patterns with sidewalls angles controlled with 1 deg precision are reported, while maintaining a surface roughness of better than 20 nm rms for the etched structures. This approach is being developed to build compact 2-D imaging arrays in the THz frequency range.

  15. Studies of Thermophysical Properties of Metals and Semiconductors by Containerless Processing Under Microgravity

    Science.gov (United States)

    Seidel, A.; Soellner, W.; Stenzel, C.

    2012-01-01

    Electromagnetic levitation under microgravity provides unique opportunities for the investigation of liquid metals, alloys and semiconductors, both above and below their melting temperatures, with minimized disturbances of the sample under investigation. The opportunity to perform such experiments will soon be available on the ISS with the EML payload which is currently being integrated. With its high-performance diagnostics systems EML allows to measure various physical properties such as heat capacity, enthalpy of fusion, viscosity, surface tension, thermal expansion coefficient, and electrical conductivity. In studies of nucleation and solidification phenomena the nucleation kinetics, phase selection, and solidification velocity can be determined. Advanced measurement capabilities currently being studied include the measurement and control of the residual oxygen content of the process atmosphere and a complementary inductive technique to measure thermophysical properties.

  16. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  17. OPENING ADDRESS: Heterostructures in Semiconductors

    Science.gov (United States)

    Grimmeiss, Hermann G.

    1996-01-01

    Good morning, Gentlemen! On behalf of the Nobel Foundation, I should like to welcome you to the Nobel Symposium on "Heterostructures in Semiconductors". It gives me great pleasure to see so many colleagues and old friends from all over the world in the audience and, in particular, to bid welcome to our Nobel laureates, Prof. Esaki and Prof. von Klitzing. In front of a different audience I would now commend the scientific and technological importance of heterostructures in semiconductors and emphatically emphasise that heterostructures, as an important contribution to microelectronics and, hence, information technology, have changed societies all over the world. I would also mention that information technology is one of the most important global key industries which covers a wide field of important areas each of which bears its own character. Ever since the invention of the transistor, we have witnessed a fantastic growth in semiconductor technology, leading to more complex functions and higher densities of devices. This development would hardly be possible without an increasing understanding of semiconductor materials and new concepts in material growth techniques which allow the fabrication of previously unknown semiconductor structures. But here and today I will not do it because it would mean to carry coals to Newcastle. I will therefore not remind you that heterostructures were already suggested and discussed in detail a long time before proper technologies were available for the fabrication of such structures. Now, heterostructures are a foundation in science and part of our everyday life. Though this is certainly true, it is nevertheless fair to say that not all properties of heterostructures are yet understood and that further technologies have to be developed before a still better understanding is obtained. The organisers therefore hope that this symposium will contribute not only to improving our understanding of heterostructures but also to opening new

  18. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  19. Application of Java technology in radiation image processing

    International Nuclear Information System (INIS)

    Cheng Weifeng; Li Zheng; Chen Zhiqiang; Zhang Li; Gao Wenhuan

    2002-01-01

    The acquisition and processing of radiation image plays an important role in modern application of civil nuclear technology. The author analyzes the rationale of Java image processing technology which includes Java AWT, Java 2D and JAI. In order to demonstrate applicability of Java technology in field of image processing, examples of application of JAI technology in processing of radiation images of large container have been given

  20. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  1. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  2. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  3. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  4. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  5. Low-confinement high-power semiconductor lasers

    NARCIS (Netherlands)

    Buda, M.

    1999-01-01

    This thesis presents the results of studies related to optimisation of high power semiconductor laser diodes using the low confinement concept. This implies a different approach in designing the transversal layer structure before growth and in processing the wafer after growth, for providing the

  6. Technology strategy for subsea processing and transport; Technology Target Areas; TTA6 - Subsea processing and transportation

    Energy Technology Data Exchange (ETDEWEB)

    2008-07-01

    OG21 (www.OG21.org) Norway's official technology strategy for the petroleum sector issued a revised strategy document in November 2005 (new strategy planned in 2009). In this document 'Subsea processing and transport' was identified as one of the eight new technology target areas (TTAs). The overall OG21 strategy document is on an aggregated level, and therefore the Board of OG21 decided that a sub-strategy for each TTA was needed. This document proposes the sub-strategy for the technology target area 'Subsea processing and transport' which covers the technology and competence necessary to effectively transport well stream to a platform or to onshore facilities. This includes multiphase flow modelling, flow assurance challenges to avoid problems with hydrates, asphaltenes and wax, subsea or downhole fluid conditioning including bulk water removal, and optionally complete water removal, and sand handling. It also covers technologies to increase recovery by pressure boosting from subsea pumping and/or subsea compression. Finally it covers technologies to facilitate subsea processing such as control systems and power supply. The vision of the Subsea processing and transport TTA is: Norway is to be the leading international knowledge- and technology cluster in subsea processing and transport: Sustain increased recovery and accelerated production on the NCS by applying subsea processing and efficient transport solutions; Enable >500 km gas/condensate multiphase well stream transport; Enable >200 km oil-dominated multiphase well stream transport; Enable well stream transport of complex fluids; Enable subsea separation, boosting compression, and water injection; Enable deepwater developments; Enable environmentally friendly and energy efficient field development. Increase the export of subsea processing and transport technology: Optimize technology from the NCS for application worldwide; Develop new technology that can meet the challenges found in

  7. The rates of charge separation and energy destructive charge recombination processes within an organic dyad in presence of metal-semiconductor core shell nanocomposites.

    Science.gov (United States)

    Mandal, Gopa; Bhattacharya, Sudeshna; Das, Subrata; Ganguly, Tapan

    2012-01-01

    Steady state and time resolved spectroscopic measurements were made at the ambient temperature on an organic dyad, 1-(4-Chloro-phenyl)-3-(4-methoxy-naphthalen-1-yl)-propenone (MNCA), where the donor 1-methoxynaphthalene (1 MNT) is connected with the acceptor p-chloroacetophenone (PCA) by an unsaturated olefinic bond, in presence of Ag@TiO2 nanoparticles. Time resolved fluorescence and absorption measurements reveal that the rate parameters associated with charge separation, k(CS), within the dyad increases whereas charge recombination rate k(CR) reduces significantly when the surrounding medium is changed from only chloroform to mixture of chloroform and Ag@TiO2 (noble metal-semiconductor) nanocomposites. The observed results indicate that the dyad being combined with core-shell nanocomposites may form organic-inorganic nanocomposite system useful for developing light energy conversion devices. Use of metal-semiconductor nanoparticles may provide thus new ways to modulate charge recombination processes in light energy conversion devices. From comparison with the results obtained in our earlier investigations with only TiO2 nanoparticles, it is inferred that much improved version of light energy conversion device, where charge-separated species could be protected for longer period of time of the order of millisecond, could be designed by using metal-semiconductor core-shell nanocomposites rather than semiconductor nanoparticles only.

  8. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  9. Synthesis and characterization of a new organic semiconductor material

    Energy Technology Data Exchange (ETDEWEB)

    Tiffour, Imane [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); Dehbi, Abdelkader [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Mourad, Abdel-Hamid I., E-mail: ahmourad@uaeu.ac.ae [Mechanical Engineering Department, Faculty of Engineering, United Arab Emirates University, Al-Ain, P.O. Box 15551 (United Arab Emirates); Belfedal, Abdelkader [Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); LPCMME, Département de Physique, Université d' Oran Es-sénia, 3100 Oran (Algeria)

    2016-08-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε{sub r}, the activation energy E{sub a}, the optical transmittance T and the gap energy E{sub g} have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10{sup −5} S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10{sup −4} S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ{sub max}) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  10. Synthesis and characterization of a new organic semiconductor material

    International Nuclear Information System (INIS)

    Tiffour, Imane; Dehbi, Abdelkader; Mourad, Abdel-Hamid I.; Belfedal, Abdelkader

    2016-01-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε_r, the activation energy E_a, the optical transmittance T and the gap energy E_g have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10"−"5 S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10"−"4 S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ_m_a_x) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  11. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  12. Metastable states in amorphous chalcogenide semiconductors

    CERN Document Server

    Mikla, Victor I

    2009-01-01

    This book addresses an interesting and technologically important class of materials, the amorphous chalcogenide semiconductors. Experimental results on the structural and electronic metastable states in Se-rich chalcogenides are presented. Special attention is paid to the states in the mobility gap and their sensitivity to various factors such as irradiation, annealing and composition. Photoinduced changes of structure and physical properties are also considered and structural transformation at photocrystallization is studied in detail. Finally, the authors discuss potential applications of th

  13. Structure and magnetism of transition-metal implanted dilute magnetic semiconductors

    CERN Document Server

    Pereira, Lino; Temst, K; Araújo, JP; Wahl, U

    The discovery of a dilute magnetic semiconductor (DMS) in which ferromagnetism is carrier-mediated and persists above room temperature is a critical step towards the development of semiconductor-based spintronics. Among the many types of DMS materials which have been investigated, the current research interest can be narrowed down to two main classes of materials: (1) narrow-gap III-V semiconductors, mostly GaAs and InAs, doped with Mn; (2) wide-gap oxides and nitrides doped with 3d transition metals, mostly Mn- and Co-doped ZnO and Mn-doped GaN. With a number of interesting functionalities deriving from the carrier-mediated ferromagnetism and demonstrated in various proof-of-concept devices, Mn-doped GaAs has become, among DMS materials, one of the best candidates for technological application. However, despite major developments over the last 15 years, the maximum Curie temperature (185 K) remains well below room temperature. On the other hand, wide-gap DMS materials appear to exhibit ferromagnetic behavior...

  14. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  15. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  16. Ten years optically pumped semiconductor lasers: review, state-of-the-art, and future developments

    Science.gov (United States)

    Kannengiesser, Christian; Ostroumov, Vasiliy; Pfeufer, Volker; Seelert, Wolf; Simon, Christoph; von Elm, Rüdiger; Zuck, Andreas

    2010-02-01

    Optically Pumped Semiconductor Lasers - OPSLs - have been introduced in 2001. Their unique features such as power scalability and wavelength flexibility, their excellent beam parameters, power stability and reliability opened this pioneering technology access to a wide range of applications such as flow cytometry, confocal microscopy, sequencing, medical diagnosis and therapy, semiconductor inspection, graphic arts, forensic, metrology. This talk will introduce the OPSL principles and compare them with ion, diode and standard solid state lasers. It will revue the first 10 years of this exciting technology, its current state and trends. In particular currently accessible wavelengths and power ranges, frequency doubling, ultra-narrow linewidth possibilities will be discussed. A survey of key applications will be given.

  17. Semiconductor Pixel detectors and their applications in life sciences

    International Nuclear Information System (INIS)

    Jakubek, J

    2009-01-01

    Recent advances in semiconductor technology allow construction of highly efficient and low noise pixel detectors of ionizing radiation. Steadily improving quality of front end electronics enables fast digital signal processing in each pixel which offers recording of more complete information about each detected quantum (energy, time, number of particles). All these features improve an extend applicability of pixel technology in different fields. Some applications of this technology especially for imaging in life sciences will be shown (energy and phase sensitive X-ray radiography and tomography, radiography with heavy charged particles, neutron radiography, etc). On the other hand a number of obstacles can limit the detector performance if not handled. The pixel detector is in fact an array of individual detectors (pixels), each of them has its own efficiency, energy calibration and also noise. The common effort is to make all these parameters uniform for all pixels. However an ideal uniformity can be never reached. Moreover, it is often seen that the signal in one pixel can affect the neighbouring pixels due to various reasons (e.g. charge sharing). All such effects have to be taken into account during data processing to avoid false data interpretation. A brief view into the future of pixel detectors and their applications including also spectroscopy, tracking and dosimetry is given too. Special attention is paid to the problem of detector segmentation in context of the charge sharing effect.

  18. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  19. Hybrid High-Temperature-Superconductor–Semiconductor Tunnel Diode

    Directory of Open Access Journals (Sweden)

    Alex Hayat

    2012-12-01

    Full Text Available We report the demonstration of hybrid high-T_{c}-superconductor–semiconductor tunnel junctions, enabling new interdisciplinary directions in condensed matter research. The devices are fabricated by our newly developed mechanical-bonding technique, resulting in high-T_{c}-superconductor–semiconductor tunnel diodes. Tunneling-spectra characterization of the hybrid junctions of Bi_{2}Sr_{2}CaCu_{2}O_{8+δ} combined with bulk GaAs, or a GaAs/AlGaAs quantum well, exhibits excess voltage and nonlinearity, similarly to spectra obtained in scanning-tunneling microscopy, and is in good agreement with theoretical predictions for a d-wave-superconductor–normal-material junction. Additional junctions are demonstrated using Bi_{2}Sr_{2}CaCu_{2}O_{8+δ} combined with graphite or Bi_{2}Te_{3}. Our results pave the way for new methods in unconventional superconductivity studies, novel materials, and quantum technology applications.

  20. TECHNOLOGY MANAGEMENT PROCESS FRAMEWORK

    Directory of Open Access Journals (Sweden)

    Ikura Yamamoto

    2012-02-01

    Full Text Available The effective management of technology as a source of competitive advantage is of vital importance for many organizations. It is necessary to understand, communicate and integrate technology strategy with marketing, financial, operations and human resource strategies. This is of particular importance when one considers the increasing cost, pace and complexity of technology developments, combined with shortening product life cycles. A five process model provides a framework within which technology management activities can be understood: identification, selection, acquisition, exploitation and protection. Based on this model, a technology management assessment procedure has been developed, using an ``action research’’ approach. This paper presents an industrial case study describing the first full application of the procedure within a high-volume manufacturing business. The impact of applying the procedure is assessed in terms of benefits to the participating business, together with improvements to the assessment procedure itself, in the context of the action research framework. Keyword: Technology, Strategy, Management, Assessment

  1. Performance and operation of the semiconductor tracker (SCT)

    CERN Document Server

    Dervan, P; The ATLAS collaboration

    2013-01-01

    After more than 3 years of successful operation at the LHC, we report on the operation and performance of the ATLAS Semi-Conductor Tracker (SCT) functioning in a high luminosity, high radiation environment. The SCT is constructed of 4088 silicon detector modules, for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar p-in-n technology. The signals are processed in the front-end ABCD3TA ASICs, which use a binary readout architecture. Data is transferred to the off-detector readout electronics via optical fibres. We find 99.3% of the SCT modules are operational and the hit efficiency exceeds the design specifications. We will report on the operation and performance of the detector, including an ove...

  2. EDITORIAL: Non-polar and semipolar nitride semiconductors Non-polar and semipolar nitride semiconductors

    Science.gov (United States)

    Han, Jung; Kneissl, Michael

    2012-02-01

    Throughout the history of group-III-nitride materials and devices, scientific breakthroughs and technological advances have gone hand-in-hand. In the late 1980s and early 1990s, the discovery of the nucleation of smooth (0001) GaN films on c-plane sapphire and the activation of p-dopants in GaN led very quickly to the realization of high-brightness blue and green LEDs, followed by the first demonstration of GaN-based violet laser diodes in the mid 1990s. Today, blue InGaN LEDs boast record external quantum efficiencies exceeding 80% and the emission wavelength of the InGaN-based laser diode has been pushed into the green spectral range. Although these tremenduous advances have already spurred multi-billion dollar industries, there are still a number of scientific questions and technological issues that are unanswered. One key challenge is related to the polar nature of the III-nitride wurtzite crystal. Until a decade ago all research activities had almost exclusively concentrated on (0001)-oriented polar GaN layers and heterostructures. Although the device characteristics seem excellent, the strong polarization fields at GaN heterointerfaces can lead to a significant deterioration of the device performance. Triggered by the first demonstration non-polar GaN quantum wells grown on LiAlO2 by Waltereit and colleagues in 2000, impressive advances in the area of non-polar and semipolar nitride semiconductors and devices have been achieved. Today, a large variety of heterostructures free of polarization fields and exhibiting exceptional electronic and optical properties have been demonstrated, and the fundamental understanding of polar, semipolar and non-polar nitrides has made significant leaps forward. The contributions in this Semiconductor Science and Technology special issue on non-polar and semipolar nitride semiconductors provide an impressive and up-to-date cross-section of all areas of research and device physics in this field. The articles cover a wide range of

  3. Novel process windows, part 1: Boosted micro process technology

    NARCIS (Netherlands)

    Hessel, V.; Wang, Q.

    2011-01-01

    Novel Process Windows (NPW) is the use of highly intensified, unusual and typically harsh process conditions to boost micro process technology and flow chemistry for the production of high-added value fine chemicals, pharmaceuticals, etc.. It is far from conventional processing and also from

  4. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    International Nuclear Information System (INIS)

    Li Chensha; Loutfy, Rafik O; Li Yuning; Wu Yiliang; Ong, Beng S

    2008-01-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process

  5. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Li Chensha; Loutfy, Rafik O [Department of Chemical Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario L8S 4L7 (Canada); Li Yuning; Wu Yiliang; Ong, Beng S [Materials Design and Integration Laboratory, Xerox Research Centre of Canada, 2660 Speakman Drive, Mississauga, Ontario L5K 2L1 (Canada)], E-mail: lichnsa@163.com

    2008-06-21

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  6. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  7. MBE System for Antimonide Based Semiconductor Lasers

    National Research Council Canada - National Science Library

    Lester, Luke

    1999-01-01

    .... SLR-770 inductively coupled plasma (ICP) processing system. The SLR-770 has been invaluable in the study of plasma etching of AlGaAsSb and GaSb-materials that form the backbone of antimonide-based semiconductor lasers...

  8. Novel technologies for the lost foam casting process

    Science.gov (United States)

    Jiang, Wenming; Fan, Zitian

    2018-03-01

    Lost foam casting (LFC) is a green precision casting process categorized as a near net forming technology. Yet, despite its popularity, it still suffers from some technological problems, such as poor filling ability of the castings, coarse and non-dense microstructure, low mechanical properties for the Al and Mg LFC processes, and defective carburization for the low carbon steel LFC process. These drawbacks restrict the development and widespread application of the LFC process. To solve these problems, the present study developed several novel LFC technologies, namely, LFC technologies under vacuum and low pressure, vibration solidification, and pressure solidification conditions; expendable shell casting technology; and preparation technology of bimetallic castings based on the LFC process. The results showed that the LFC under vacuum and low pressure evidently improved the filling ability and solved the oxidization problem of the alloys, which is suitable for producing complex and thinwall castings. The vibration and pressure solidifications increased the compactness of the castings and refined the microstructure, significantly improving the mechanical properties of the castings. The expendable shell casting technology could solve the pore, carburization, and inclusion defects of the traditional LFC method, obtaining castings with acceptable surface quality. Moreover, the Al/Mg and Al/Al bimetallic castings with acceptable metallurgical bonding were successfully fabricated using the LFC process. These proposed novel LFC technologies can solve the current technological issues and promote the technological progress of the LFC process.

  9. Radiation processing technology in Malaysia

    International Nuclear Information System (INIS)

    Khairul Zaman Hj Mohd Dahlan

    2004-01-01

    Radiation processing technology is widely used in industry to enhance efficiency and productivity, improve product quality and competitiveness. Efforts have been made by MINT to expand the application of radiation processing technology for modification of indigenous materials such as natural rubber and rubber based products, palm oil and palm oil based products and polysaccharide into new and high value added products. This paper described MINT experiences on developing products through R and D from the laboratory to the pilot plant stage and commercialization. The paper also explained some issues and challenges that MINT encountered in the process of commercialization of its R and D results. (author)

  10. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  11. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  12. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  13. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  14. Noise properties of semiconductor waveguides with alternating sections of saturable gain and absorption

    DEFF Research Database (Denmark)

    Öhman, Filip; Bischoff, Svend; Tromborg, Bjarne

    We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration.......We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration....

  15. Characterization of Hydrogen Complex Formation in III-V Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Michael D

    2006-09-28

    Atomic hydrogen has been found to react with some impurity species in semiconductors. Hydrogenation is a methodology for the introduction of atomic hydrogen into the semiconductor for the express purpose of forming complexes within the material. Efforts to develop hydrogenation as an isolation technique for AlGaAs and Si based devices failed to demonstrate its commercial viability. This was due in large measure to the low activation energies of the formed complexes. Recent studies of dopant passivation in long wavelength (0.98 - 1.55m) materials suggested that for the appropriate choice of dopants much higher activation energies can be obtained. This effort studied the formation of these complexes in InP, This material is extensively used in optoelectronics, i.e., lasers, modulators and detectors. The experimental techniques were general to the extent that the results can be applied to other areas such as sensor technology, photovoltaics and to other material systems. The activation energies for the complexes have been determined and are reported in the scientific literature. The hydrogenation process has been shown by us to have a profound effect on the electronic structure of the materials and was thoroughly investigated. The information obtained will be useful in assessing the long term reliability of device structures fabricated using this phenomenon and in determining new device functionalities.

  16. 16th Russian Youth Conference on Physics of Semiconductors and Nanostructures, Opto- and Nanoelectronics

    Science.gov (United States)

    Suris, Robert A.; Vorobjev, Leonid E.; Firsov, Dmitry A.

    2015-01-01

    The 16th Russian Youth Conference on Physics of Semiconductors and Nanostructures, Opto- and Nanoelectronics was held on November 24 - 28 at St. Petersburg Polytechnic University. The program of the Conference included semiconductor technology, heterostructures with quantum wells and quantum dots, opto- and nanoelectronic devices, and new materials. A large number of participants with about 200 attendees from many regions of Russia provided a perfect platform for the valuable discussions between students and experienced scientists. The Conference included two invited talks given by a corresponding member of RAS P.S. Kopyev ("Nitrides: the 4th Nobel Prize on semiconductor heterostructures") and Dr. A.V. Ivanchik ("XXI century is the era of precision cosmology"). Students, graduate and postgraduate students presented their results on plenary and poster sessions. The total number of accepted papers published in Russian (the official conference language) was 92. Here we publish 18 of them in English. Like previous years, the participants were involved in the competition for the best report. Certificates and cash prizes were awarded to a number of participants for the presentations selected by the Program Committee. Two special E.F. Gross Prizes were given for the best presentations in semiconductor optics. Works with potential applications were recommended for participation in the following competition for support from the Russian Foundation for Assistance to Small Innovative Enterprises in Science and Technology. The Conference was supported by the Russian Foundation for Basic Research, the "Dynasty" foundation and the innovation company "ATC - Semiconductor Devices", St. Petersburg. The official Conference website is http://www.semicond.spbstu.ru/conf2014-eng.html

  17. Horizontal integration in markets for complementary components and vertical product differentiation: A case-based analysis in the semiconductor industry

    OpenAIRE

    Westbrock, B.

    2005-01-01

    Observations of recent mergers and acquisitions (M&A) in the semiconductor and computer industry indicate that activities concentrate on the technology leaders in this market. The author examines the influence of players’ heterogeneous product technologies on their involvement in M&A. He provides a rationale for the influence with the help of a case study and a two-stage non cooperative game. The case is about an acquisition wave between suppliers in two semiconductor component markets. Exe...

  18. Quantum theory of the electronic and optical properties of low-dimensional semiconductor systems

    Science.gov (United States)

    Lau, Wayne Heung

    This thesis examines the electronic and optical properties of low-dimensional semiconductor systems. A theory is developed to study the electron-hole generation-recombination process of type-II semimetallic semiconductor heterojunctions based on a 3 x 3 k·p matrix Hamiltonian (three-band model) and an 8 x 8 k·p matrix Hamiltonian (eight-band model). A novel electron-hole generation and recombination process, which is called activationless generation-recombination process, is predicted. It is demonstrated that the current through the type-II semimetallic semiconductor heterojunctions is governed by the activationless electron-hole generation-recombination process at the heterointerfaces, and that the current-voltage characteristics are essentially linear. A qualitative agreement between theory and experiments is observed. The numerical results of the eight-band model are compared with those of the threeband model. Based on a lattice gas model, a theory is developed to study the influence of a random potential on the ionization equilibrium conditions for bound electron-hole pairs (excitons) in III--V semiconductor heterostructures. It is demonstrated that ionization equilibrium conditions for bound electron-hole pairs change drastically in the presence of strong disorder. It is predicted that strong disorder promotes dissociation of excitons in III--V semiconductor heterostructures. A theory of polariton (photon dressed by phonon) spontaneous emission in a III--V semiconductor doped with semiconductor quantum dots (QDs) or quantum wells (QWs) is developed. For the first time, superradiant and subradiant polariton spontaneous emission phenomena in a polariton-QD (QW) coupled system are predicted when the resonance energies of the two identical QDs (QWs) lie outside the polaritonic energy gap. It is also predicted that when the resonance energies of the two identical QDs (QWs) lie inside the polaritonic energy gap, spontaneous emission of polariton in the polariton

  19. Spin drift and spin diffusion currents in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Idrish Miah, M [Nanoscale Science and Technology Centre and School of Biomolecular and Physical Sciences, Griffith University, Nathan, Brisbane, QLD 4111 (Australia)], E-mail: m.miah@griffith.edu.au

    2008-09-15

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  20. Spin drift and spin diffusion currents in semiconductors

    Directory of Open Access Journals (Sweden)

    M Idrish Miah

    2008-01-01

    Full Text Available On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  1. Spin drift and spin diffusion currents in semiconductors

    International Nuclear Information System (INIS)

    Idrish Miah, M

    2008-01-01

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  2. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  3. Identification of Spatial Fault Patterns in Semiconductor Wafers

    Data.gov (United States)

    National Aeronautics and Space Administration — Abstract The semiconductor industry is constantly searching for new ways to increase the rate of both process development and yield learning. As more data is being...

  4. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  5. The system of digital-image optical microscope in semiconductor particle detector development

    International Nuclear Information System (INIS)

    Han Lixiang; Li Zhankui; Jin Genming; Wang Zhusheng; Xiao Guoqing

    2009-01-01

    Optical microscopic detection is very important in the process of semiconductor particle detector development. A system of digital-image optical microscope has been constructed with rather low price, which performance is comparable with the moderate-level imports. The system mounts powerful dry objective, and a 2μm resolution could be achieved. Observations with bright and dark field, polarized light,and interference light can be carried out on it. The system have large area on-line monitor,and the photographic device can be controlled by PC. It can be used in the control of defects and contaminations, pattern test, identification of crystal backing, inspection of the smoothness and the flatness of the crystal surface. It can also be used in some precise procedures, such as test, assembly, packaging and repairing. The quality of the bond could be examined by observing the appearance of the bond point and the microscopic structure of the solder. The surface fluctuation can be precisely measured under the microscope with the technology of multi-beam interference. In the article, the application of this system for semiconductor particle detector development has been illustrated, and the construction information has been described in detail. (authors)

  6. Designing defect-based qubit candidates in wide-gap binary semiconductors for solid-state quantum technologies

    Science.gov (United States)

    Seo, Hosung; Ma, He; Govoni, Marco; Galli, Giulia

    2017-12-01

    The development of novel quantum bits is key to extending the scope of solid-state quantum-information science and technology. Using first-principles calculations, we propose that large metal ion-vacancy pairs are promising qubit candidates in two binary crystals: 4 H -SiC and w -AlN. In particular, we found that the formation of neutral Hf- and Zr-vacancy pairs is energetically favorable in both solids; these defects have spin-triplet ground states, with electronic structures similar to those of the diamond nitrogen-vacancy center and the SiC divacancy. Interestingly, they exhibit different spin-strain coupling characteristics, and the nature of heavy metal ions may allow for easy defect implantation in desired lattice locations and ensure stability against defect diffusion. To support future experimental identification of the proposed defects, we report predictions of their optical zero-phonon line, zero-field splitting, and hyperfine parameters. The defect design concept identified here may be generalized to other binary semiconductors to facilitate the exploration of new solid-state qubits.

  7. Benchmarking foreign electronics technologies

    Energy Technology Data Exchange (ETDEWEB)

    Bostian, C.W.; Hodges, D.A.; Leachman, R.C.; Sheridan, T.B.; Tsang, W.T.; White, R.M.

    1994-12-01

    This report has been drafted in response to a request from the Japanese Technology Evaluation Center`s (JTEC) Panel on Benchmarking Select Technologies. Since April 1991, the Competitive Semiconductor Manufacturing (CSM) Program at the University of California at Berkeley has been engaged in a detailed study of quality, productivity, and competitiveness in semiconductor manufacturing worldwide. The program is a joint activity of the College of Engineering, the Haas School of Business, and the Berkeley Roundtable on the International Economy, under sponsorship of the Alfred P. Sloan Foundation, and with the cooperation of semiconductor producers from Asia, Europe and the United States. Professors David A. Hodges and Robert C. Leachman are the project`s Co-Directors. The present report for JTEC is primarily based on data and analysis drawn from that continuing program. The CSM program is being conducted by faculty, graduate students and research staff from UC Berkeley`s Schools of Engineering and Business, and Department of Economics. Many of the participating firms are represented on the program`s Industry Advisory Board. The Board played an important role in defining the research agenda. A pilot study was conducted in 1991 with the cooperation of three semiconductor plants. The research plan and survey documents were thereby refined. The main phase of the CSM benchmarking study began in mid-1992 and will continue at least through 1997. reports are presented on the manufacture of integrated circuits; data storage; wireless technology; human-machine interfaces; and optoelectronics. Selected papers are indexed separately for inclusion in the Energy Science and Technology Database.

  8. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  9. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  10. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  11. Quantum wells, wires and dots theoretical and computational physics of semiconductor nanostructures

    CERN Document Server

    Harrison, Paul

    2016-01-01

    Quantum Wells, Wires and Dots provides all the essential information, both theoretical and computational, to develop an understanding of the electronic, optical and transport properties of these semiconductor nanostructures. The book will lead the reader through comprehensive explanations and mathematical derivations to the point where they can design semiconductor nanostructures with the required electronic and optical properties for exploitation in these technologies. This fully revised and updated 4th edition features new sections that incorporate modern techniques and extensive new material including: - Properties of non-parabolic energy bands - Matrix solutions of the Poisson and Schrodinger equations - Critical thickness of strained materials - Carrier scattering by interface roughness, alloy disorder and impurities - Density matrix transport modelling -Thermal modelling Written by well-known authors in the field of semiconductor nanostructures and quantum optoelectronics, this user-friendly guide is pr...

  12. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  13. Picosecond UV single photon detectors with lateral drift field: Concept and technologies

    Energy Technology Data Exchange (ETDEWEB)

    Yakimov, M.; Oktyabrsky, S.; Murat, P.

    2015-09-01

    Group III–V semiconductor materials are being considered as a Si replacement for advanced logic devices for quite some time. Advances in III–V processing technologies, such as interface and surface passivation, large area deep submicron lithography with high-aspect ratio etching primarily driven by the metal-oxide-semiconductor field-effect transistor development can also be used for other applications. In this paper we will focus on photodetectors with the drift field parallel to the surface. We compare the proposed concept to the state-of-the-art Si-based technology and discuss requirements which need to be satisfied for such detectors to be used in a single photon counting mode in blue and ultraviolet spectral region with about 10 ps photon timing resolution essential for numerous applications ranging from high-energy physics to medical imaging.

  14. The European answer to the integration issues of excimer laser annealing in MOS technology

    International Nuclear Information System (INIS)

    Privitera, V.; La Magna, A.; Fortunato, G.; Camalleri, M.; Magri, A.; Simon, F.; Svensson, B.G.

    2004-01-01

    Excimer laser annealing (ELA) of MOSFET devices is currently studied and evaluated within the frame of the IST project 'Fundamentals and applications of laser processing for highly innovative MOS technology' (FLASH), funded by the European Commission. This European consortium aim to demonstrate that ELA can be industrialized in the context of semiconductor device fabrication. The technical achievement of homogeneous irradiation of entire wafers by industrial line beam system set up has been combined with device design solutions, in order to avoid the detrimental effects of the laser beam on device structures and tackle the integration issues, main obstacles for the use of ELA in the semiconductor industry. The launch of ELA, to open a new market segment in the semiconductor industry, implies also the availability of reliable process simulation tools. Therefore, a simulation program based on the phase-field method was produced, fully working and available

  15. FY 2000 report on the development of ultra low loss power element technology. Commercialization of next generation power semiconductor device; 2000 nendo choteisonshitsu denryoku soshi gijutsu kaihatsu seika hokokusho. Jisedai power handotai device jitsuyoka chosa

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    For the purpose of contributing to the promotion of development of ultra low loss power element technology, survey was conducted on the present situation, future, etc. of various technologies/systems related to power semiconductor devices. In the industrial equipment field, it is predicted that power semiconductor devices will be increased in the field of application by enlargement of the defense field of IGBT, new MOS structure elements, etc. In the field of home appliances, possibilities are expected of switching loss reduction and electric noise reduction by making SiC high speed diode. As to the space photovoltaic power generation, SiC is expected for various semiconductors such as solar cells, FET for transmitter/amplifier of radio power electric transmission use micro waves, etc. Concerning the radio communication system plan using stratosphere platform, there are technical problems on communication equipment such as antenna and RF circuit, and the role of SiC device is expected to be large. The society where the electrification rate is 80% and fuel cell vehicles are used is a new paradigm, and it is necessary and indispensable to commercialize ultra low loss power elements using SiC. (NEDO)

  16. Programmable and coherent crystallization of semiconductors

    KAUST Repository

    Yu, Liyang

    2017-03-04

    The functional properties and technological utility of polycrystalline materials are largely determined by the structure, geometry, and spatial distribution of their multitude of crystals. However, crystallization is seeded through stochastic and incoherent nucleation events, limiting the ability to control or pattern the microstructure, texture, and functional properties of polycrystalline materials. We present a universal approach that can program the microstructure of materials through the coherent seeding of otherwise stochastic homogeneous nucleation events. The method relies on creating topographic variations to seed nucleation and growth at designated locations while delaying nucleation elsewhere. Each seed can thus produce a coherent growth front of crystallization with a geometry designated by the shape and arrangement of seeds. Periodic and aperiodic crystalline arrays of functional materials, such as semiconductors, can thus be created on demand and with unprecedented sophistication and ease by patterning the location and shape of the seeds. This approach is used to demonstrate printed arrays of organic thin-film transistors with remarkable performance and reproducibility owing to their demonstrated spatial control over the microstructure of organic and inorganic polycrystalline semiconductors.

  17. Conjoint Management of Business Processes and Information Technologies

    DEFF Research Database (Denmark)

    Siurdyban, Artur

    and improve business processes. As a consequence, there is a growing need to address managerial aspects of the relationships between information technologies and business processes. The aim of this PhD study is to investigate how the practice of conjoint management of business processes and information...... technologies can be supported and improved. The study is organized into five research papers and this summary. Each paper addresses a different aspect of conjoint management of business processes and information technologies, i.e. problem development and managerial practices on software...... and information technologies in a project environment. It states that both elements are intrinsically related and should be designed and considered together. The second case examines the relationships between information technology management and business process management. It discusses the multi-faceted role...

  18. Analysis of small-signal intensity modulation of semiconductor ...

    Indian Academy of Sciences (India)

    Computer simulation of the model is applied to 1.55-µm ... Semiconductor laser; small-signal modulation; modulation response; gain suppression. ... originates from intraband relaxation processes of charge carriers that extend for times as ...

  19. Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders

    CERN Multimedia

    Joram, C; Gregor, I; Dierlamm, A H; Wilson, F F; Sloan, T; Tuboltsev, Y V; Marone, M; Artuso, M; Cindro, V; Bruzzi, M; Bhardwaj, A; Bohm, J; Mikestikova, M; Walz, M; Breindl, M A; Ruzin, A; Marunko, S; Guskov, J; Haerkoenen, J J; Pospisil, S; Fadeyev, V; Makarenko, L; Kaminski, P; Zelazko, J; Pintilie, L; Radu, R; Nistor, S V; Ullan comes, M; Storasta, J V; Gaubas, E; Lacasta llacer, C; Kilminster, B J; Garutti, E; Buhmann, P; Khomenkov, V; Poehlsen, J A; Fernandez garcia, M; Buttar, C; Eklund, L M; Munoz sanchez, F J; Eremin, V; Aleev, A; Modi, B; Sicho, P; Gisen, A J; Nikolopoulos, K; Van beuzekom, M G; Kozlowski, R; Lozano fantoba, M; Leroy, C; Pernegger, H; Del burgo, R; Vila alvarez, I; Palomo pinto, F R; Lounis, A; Eremin, I; Fadeeva, N; Rogozhkin, S; Shivpuri, R K; Arsenovich, T; Ott, J; Abt, M; Loenker, J; Savic, N; Monaco, V; Visser, J; Lynn, D; Horazdovsky, T; Solar, M; Dervan, P J; Meng, L; Spencer, E N; Kazuchits, N; Brzozowski, A; Kozubal, M; Nistor, L C; Marti i garcia, S; Gomez camacho, J J; Fretwurst, E; Hoenniger, F; Schwandt, J; Hartmann, F; Marchiori, G; Maneuski, D; De capua, S; Williams, M R J; Mandic, I; Gadda, A; Preiss, J; Macchiolo, A; Nisius, R; Grinstein, S; Gonella, L; Wennloef, H L O; Slavicek, T; Masek, P; Casse, G; Flores, D; Tuuva, T; Jimenez ramos, M D C; Charron, S; Rubinskiy, I; Jansen, H; Eichhorn, T V; Matysek, M; Andersson-lindstroem, G; Donegani, E; Bomben, M; Oshea, V; Muenstermann, D; Holmkvist, C W; Oh, A; Lopez paz, I; Verbitskaya, E; Mitina, D; Grigoriev, E; Zaluzhnyy, A; Mikuz, M; Kramberger, G; Scaringella, M; Ranjeet, R; Jain, A; Luukka, P R; Tuominen, E M; Allport, P P; Cartiglia, N; Brigljevic, V; Kohout, Z; Quirion, D; Lauer, K; Collins, P; Gallrapp, C; Rohe, T V; Chauveau, J; Villani, E G; Fox, H; Parkes, C J; Nikitin, A; Spiegel, L G; Creanza, D M; Menichelli, D; Mcduff, H; Carna, M; Weers, M; Weigell, P; Bortoletto, D; Staiano, A; Bellan, R; Szumlak, T; Sopko, V; Pawlowski, M; Pintilie, I; Pellegrini, G; Rafi tatjer, J M; Moll, M; Eckstein, D; Klanner, R; Gomez, G; Gersabeck, M; Cobbledick, J L; Shepelev, A; Golubev, A; Apresyan, A; Lipton, R J; Borgia, A; Zavrtanik, M; Manna, N; Ranjan, K; Chhabra, S; Beyer, J; Korolkov, I; Heintz, U; Sadrozinski, H; Seiden, A; Surma, B; Esteban, S; Kazukauskas, V; Kalendra, V; Mekys, A; Nachman, B P; Tackmann, K; Steinbrueck, G; Pohlsen, T; Calderini, G; Svihra, P; Murray, D; Bolla, G; Zontar, D; Focardi, E; Seidel, S C; Winkler, A D; Altenheiner, S; Parzefall, U; Moser, H; Sopko, B; Buckland, M D; Vaitkus, J V; Ortlepp, T

    2002-01-01

    The requirements at the Large Hadron Collider (LHC) at CERN have pushed the present day silicon tracking detectors to the very edge of the current technology. Future very high luminosity colliders or a possible upgrade scenario of the LHC to a luminosity of 10$^{35}$ cm$^{-2}$s$^{-1}$ will require semiconductor detectors with substantially improved properties. Considering the expected total fluences of fast hadrons above 10$^{16}$ cm$^{-2}$ and a possible reduced bunch-crossing interval of $\\approx$10 ns, the detector must be ultra radiation hard, provide a fast and efficient charge collection and be as thin as possible.\\\\ We propose a research and development program to provide a detector technology, which is able to operate safely and efficiently in such an environment. Within this project we will optimize existing methods and evaluate new ways to engineer the silicon bulk material, the detector structure and the detector operational conditions. Furthermore, possibilities to use semiconductor materials othe...

  20. Attachment to a mass spectrometer for studying the processes of semiconductor compound deposition from a gaseous phase

    International Nuclear Information System (INIS)

    Belousov, V.I.; Zhuravlev, G.I.; Popenko, N.I.; Novozhilov, A.F.; Matveev, I.V.; Murav'ev, V.V.

    1984-01-01

    An attachment to the mass spectrometer for studying the processes of semiconductor compounds deposition from a gaseous phase at the pressure of 1x10 5 Pa and the temperature of 400-1300 K is described. The attachment consists of the Neer ion source with ionization section cooled upto the temperature of liquid nitrogen, a two-zone vacuum furnace, and a quartz epitaxy reactor of the horzontal type.The attachment is equipped with the systems of process gas distribution in 5 flows and temperature stabilization. The rate of mass spectrum recording constitutes 2 mass/s at the resolution being equal to 1000 at the 10% level. The sensitivity at the steam-gas mixture components partial pressure determination constitutes 1x10 -4 Pa

  1. Fast digitization and digital receiver technology

    International Nuclear Information System (INIS)

    Kimball, Ralph C.

    2002-01-01

    The potentially lucrative wireless market has led to technological advances in mixed signal devices such as high speed, high resolution A/D and D/A converters. This same market has also driven the development of high performance multi-channel digital receiver and digital transmitter ICs. Similarly, advances in semiconductor processes, coupled with the need for reduced time-to-market, has led to the development of large, enhanced performance, in-circuit programmable logic devices. A review of the key characteristics of these mixed-signal, signal processing and programmable logic devices is presented. The application of these devices and technologies to the instrumentation of Accelerators and Storage Rings is discussed and presented by way of examples. Issues relating to the requirements associated with real-time processing, I/O throughput, reconfigurability, reliability, maintainability and packaging requirements are also addressed

  2. Gas-phase synthesis of semiconductor nanocrystals and its applications

    Science.gov (United States)

    Mandal, Rajib

    Luminescent nanomaterials is a newly emerging field that provides challenges not only to fundamental research but also to innovative technology in several areas such as electronics, photonics, nanotechnology, display, lighting, biomedical engineering and environmental control. These nanomaterials come in various forms, shapes and comprises of semiconductors, metals, oxides, and inorganic and organic polymers. Most importantly, these luminescent nanomaterials can have different properties owing to their size as compared to their bulk counterparts. Here we describe the use of plasmas in synthesis, modification, and deposition of semiconductor nanomaterials for luminescence applications. Nanocrystalline silicon is widely known as an efficient and tunable optical emitter and is attracting great interest for applications in several areas. To date, however, luminescent silicon nanocrystals (NCs) have been used exclusively in traditional rigid devices. For the field to advance towards new and versatile applications for nanocrystal-based devices, there is a need to investigate whether these NCs can be used in flexible and stretchable devices. We show how the optical and structural/morphological properties of plasma-synthesized silicon nanocrystals (Si NCs) change when they are deposited on stretchable substrates made of polydimethylsiloxane (PDMS). Synthesis of these NCs was performed in a nonthermal, low-pressure gas phase plasma reactor. To our knowledge, this is the first demonstration of direct deposition of NCs onto stretchable substrates. Additionally, in order to prevent oxidation and enhance the luminescence properties, a silicon nitride shell was grown around Si NCs. We have demonstrated surface nitridation of Si NCs in a single step process using non?thermal plasma in several schemes including a novel dual-plasma synthesis/shell growth process. These coated NCs exhibit SiNx shells with composition depending on process parameters. While measurements including

  3. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  4. Resonant inelastic scattering in dilute magnetic semiconductors by x-ray fluorescence spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Lawniczak-Jablonska, K. [Lawrence Berkeley National Lab., CA (United States)]|[Institute of Physics, Warsaw (Poland); Jia, J.J.; Underwood, J.H. [Lawrence Berkeley National Lab., CA (United States)] [and others

    1997-04-01

    As modern, technologically important materials have become more complex, element specific techniques have become invaluable in studying the electronic structure of individual components from the system. Soft x-ray fluorescence (SXF) and absorption (SXA) spectroscopies provide a unique means of measuring element and angular momentum density of electron states, respectively, for the valence and conducting bands in complex materials. X-ray absorption and the decay through x-ray emission are generally assumed to be two independent one-photon processes. Recent studies, however have demonstrated that SXF excited near the absorption threshold generate an array of spectral features that depend on nature of materials, particularly on the localization of excited states in s and d-band solids and that these two processes can no be longer treated as independent. Resonant SXF offers thus the new way to study the dynamics of the distribution of electronic valence states in the presence of a hole which is bound to the electron low lying in the conduction band. This process can simulate the interaction between hole-electron pair in wide gap semiconductors. Therefore such studies can help in understanding of transport and optics phenomena in the wide gap semiconductors. The authors report the result of Mn and S L-resonant emission in Zn{sub 1{minus}x}Mn{sub x}S (with x=0.2 and 0.3) and MnS as the energy of exciting radiation is tuned across the Mn and S L{sub 3,2} absorption edge, along with the resonant excited spectra from elemental Mn as a reference.

  5. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  6. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  7. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  8. Sustaining high energy efficiency in existing processes with advanced process integration technology

    International Nuclear Information System (INIS)

    Zhang, Nan; Smith, Robin; Bulatov, Igor; Klemeš, Jiří Jaromír

    2013-01-01

    Highlights: ► Process integration with better modelling and more advanced solution methods. ► Operational changes for better environmental performance through optimisation. ► Identification of process integration technology for operational optimisation. ► Systematic implementation procedure of process integration technology. ► A case study with crude oil distillation to demonstrate the operational flexibility. -- Abstract: To reduce emissions in the process industry, much emphasis has been put on making step changes in emission reduction, by developing new process technology and making renewable energy more affordable. However, the energy saving potential of existing systems cannot be simply ignored. In recent years, there have been significant advances in process integration technology with better modelling techniques and more advanced solution methods. These methods have been applied to the new design and retrofit studies in the process industry. Here attempts are made to apply these technologies to improve the environmental performance of existing facilities with operational changes. An industrial project was carried out to demonstrate the importance and effectiveness of exploiting the operational flexibility for energy conservation. By applying advanced optimisation technique to integrate the operation of distillation and heat recovery in a crude oil distillation unit, the energy consumption was reduced by 8% without capital expenditure. It shows that with correctly identified technology and the proper execution procedure, significant energy savings and emission reduction can be achieved very quickly without major capital expenditure. This allows the industry to improve its economic and environment performance at the same time.

  9. Introduction to Innovative Food Processing and Technology

    OpenAIRE

    Tokusoglu, Ozlem

    2015-01-01

    Consumers, the food industry and the regulatory agencies demand the innovative technologies to provide safe and stable foods. Nonthermal processing technologies offer unprecedented opportunities and challenges for the food industry to market safe, high quality health-promoting foods. Those innovative food processing is often perceived as an alternative to thermal food processing, yet there are many nonthermal preparatory unit operations as well as food processing and preservation opportunitie...

  10. Semiconductor quantum dots: synthesis and water-solubilization for biomedical applications.

    Science.gov (United States)

    Yu, William W

    2008-10-01

    Quantum dots (QDs) are generally nanosized inorganic particles. They have distinctive size-dependent optical properties due to their very small size (mostly semiconductor QDs (mainly metal-chalcogenide compounds) and forming biocompatible structures for biomedical applications are discussed in this paper. This information may facilitate the research to create new materials/technologies for future clinical applications.

  11. The process for technology transfer in Baltimore

    Science.gov (United States)

    Golden, T. S.

    1978-01-01

    Ingredients essential for a successful decision process relative to proper technological choices for a large city were determined during four years of experience in the NASA/Baltimore Applications Project. The general approach, rationale, and process of technology transfer are discussed.

  12. Technology Summary Advancing Tank Waste Retrieval And Processing

    International Nuclear Information System (INIS)

    Sams, T.L.; Mendoza, R.E.

    2010-01-01

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them. This technology overview provides a high-level summary of technologies being investigated, developed, and deployed by WRPS to advance Hanford Site tank waste retrieval and processing. Transformational technologies are needed to complete Hanford tank waste retrieval and treatment by 12/31/2047. Hanford's underground waste storage tanks hold approximately 57 million gallons of radiochemical waste from nuclear defense production - more tank waste than any other site in the United States. In addition, the waste is uniquely complicated because it contains constituents from at least six major radiochemical processes and several lesser processes. It is intermixed and complexed more than any other waste collection known to exist in the world. The multi-faceted nature of Hanford's tank waste means that legally binding agreements in the Federal Facility Agreement and Consent Order (known as the Tri-Party Agreement) and between the Department of Energy (DOE) and its contractors may not be met using current vitrification schedules, plans, and methods. WRPS and the DOE are developing, testing, and deploying technologies to meet the necessary commitments and complete the DOE's River Protection Project (RPP) mission within environmentally acceptable requirements. Technology solutions are outlined, along with processes and priorities for selecting and developing them. DOE's Office of Environmental Management (EM) identifies the environmental management technology needs and the activities necessary to address them. The U.S. Congress then funds these activities through EM or the DOE field offices. Finally, an array of entities that include DOE site prime contractors and

  13. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    Science.gov (United States)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  14. Research and development project of regional consortiums in fiscal 1998. Research and development of regional consortium energy (development of measuring technology to aid energy conservation in electronic device manufacturing processes (design and trial production of IMI) (Report on the result in the first year)); 1998 nendo chiiki consortium energy kenkyu kaihatsu. Denshi kikirui seizo process no sho energy shien keisoku seigyo gijutsu no kaihatsu (IMI no sekkei to shisaku) (dai 1 nendo)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    This paper summarizes the development of intelligent micro instruments (IMI) inaugurated in fiscal 1998 as the wide-area consortium project for the Tama area. Research and development will be carried out on the following items: IMI substrate elements utilizing micro machining technology, applicable to micro sensors and micro probes, semiconductor process sensors, electronic device measuring probes, signal processing and communication circuits for wireless sensing. This paper describes the achievements during fiscal 1998. Technologies were transferred from the Mechanical Engineering Laboratory of the Agency of Industrial Science and Technology on silicon micro machining and PZT piezoelectric thin film formation. An IMI research laboratory was installed at the Tokyo Metropolitan University. In developing the IMI substrate elements, different beams applicable to sensors and probes were fabricated on a trial basis, and their mechanical properties were measured. For the semiconductor process sensors, discussions were given on micronization on a chlorine ion analyzer. In developing the electronic device measuring probes, the target was placed on measurement of in-situ characteristics of IC chips on a wafer. A prototype transmitting and receiving circuit board was fabricated for developing the wireless sensing. (NEDO)

  15. PREFACE: 16th Nordic Semiconductor Meeting

    Science.gov (United States)

    Pétur Gíslason, Hafliði; Guðmundsson, Viðar

    1994-01-01

    Some 30 years ago an informal meeting of the few Nordic specialists in semiconductor physics marked the beginning of what has become a biannual meeting of some hundred physicists and physics students from all the Nordic countries. The 16th Nordic Semiconductor Meeting took place at Laugarvatn, Iceland, June 12-15,1994. As a regional meeting the Nordic Semiconductor meeting has three characteristic features all of which distinguish it from more traditional international meetings in the field. First, it has the purpose of promoting Nordic cooperation in the international field of semiconductor physics. Research in the fields of advanced science and technology in the Nordic countries is likely to benefit from joining national forces before participating in the increasing European integration. Second, there is an unusually large fraction of graduate students amongst the participants of the Nordic Semiconductor Meeting. In fact, attending this conference is traditionally a part of the graduate program in seniconductor physics and technology. The Nordic Semiconductor Meeting is often the first conference of international character that graduate students attend in order to present a paper of poster. Third, there is an interdisciplinary quality of the meeting which is normally not the case for meetings of this size. In particular, the number of professional scientists from industry is comparable to the number of their academic colleagues. This is important for both groups, but perhaps the graduate students benefit most from presenting their results to both groups. The 16th Nordic Semiconductor Meeting, the first one in this series held in Iceland, attracted 129 active participants. The scientific programme was divided in twelve oral sessions. A novelty of this meeting was the emphasis on more fundamental physics in one of the two parallel sessions but more applied topics in the other, although the distinction was sometimes a matter of predilection. A poster session

  16. Desalination processes and technologies

    International Nuclear Information System (INIS)

    Furukawa, D.H.

    1996-01-01

    Reasons of the development of desalination processes, the modern desalination technologies, such as multi-stage flash evaporation, multi-effect distillation, reverse osmosis, and the prospects of using nuclear power for desalination purposes are discussed. 9 refs

  17. NASA Information Technology Implementation Plan

    Science.gov (United States)

    2000-01-01

    NASA's Information Technology (IT) resources and IT support continue to be a growing and integral part of all NASA missions. Furthermore, the growing IT support requirements are becoming more complex and diverse. The following are a few examples of the growing complexity and diversity of NASA's IT environment. NASA is conducting basic IT research in the Intelligent Synthesis Environment (ISE) and Intelligent Systems (IS) Initiatives. IT security, infrastructure protection, and privacy of data are requiring more and more management attention and an increasing share of the NASA IT budget. Outsourcing of IT support is becoming a key element of NASA's IT strategy as exemplified by Outsourcing Desktop Initiative for NASA (ODIN) and the outsourcing of NASA Integrated Services Network (NISN) support. Finally, technology refresh is helping to provide improved support at lower cost. Recently the NASA Automated Data Processing (ADP) Consolidation Center (NACC) upgraded its bipolar technology computer systems with Complementary Metal Oxide Semiconductor (CMOS) technology systems. This NACC upgrade substantially reduced the hardware maintenance and software licensing costs, significantly increased system speed and capacity, and reduced customer processing costs by 11 percent.

  18. Legitimation problems of participatory processes in technology assessment and technology policy.

    Science.gov (United States)

    Saretzki, Thomas

    2012-11-01

    Since James Carroll (1971) made a strong case for "participatory technology", scientists, engineers, policy-makers and the public at large have seen quite a number of different approaches to design and implement participatory processes in technology assessment and technology policy. As these participatory experiments and practices spread over the last two decades, one could easily get the impression that participation turned from a theoretical normative claim to a working practice that goes without saying. Looking beyond the well-known forerunners and considering the ambivalent experiences that have been made under different conditions in various places, however, the "if" and "how" of participation are still contested issues when questions of technology are on the agenda. Legitimation problems indicate that attempts to justify participation in a given case have not been entirely successful in the eyes of relevant groups among the sponsors, participants, organizers or observers. Legitimation problems of participatory processes in technology assessment and technology policy vary considerably, and they do so not only with the two domains and the ways of their interrelation or the specific features of the participatory processes. If we ask whether or not participation is seen as problematic in technology assessment and technology policy-making and in what sense it is being evaluated as problematic, then we find that the answer depends also on the approaches and criteria that have been used to legitimize or delegitimize the call for a specific design of participation.

  19. The uranium enrichment industry and the SILEX process

    International Nuclear Information System (INIS)

    Goldsworthy, M.

    1999-01-01

    Silex Systems Limited has been developing a new laser isotope separation process since 1992. The principle application of the SILEX Technology is Uranium Enrichment, the key step in the production of fuel for nuclear power plants. The Uranium Enrichment industry, today worth ∼ US$3.5 Billion p.a., is dominated by four major players, the largest being USEC with almost 40% of the market. In 1996, an agreement was signed between Silex and USEC to develop SILEX Technology for potential application to Uranium Enrichment. The SILEX process is a low cost, energy efficient scheme which may provide significant commercial advantage over current technology and competing laser processes. Silex is also investigating possible application to the enrichment of Silicon, Carbon and other materials. Significant markets may develop for such materials, particularly in the semiconductor industry

  20. Research on process management of nuclear power technological innovation

    International Nuclear Information System (INIS)

    Yang Hua; Zhou Yu

    2005-01-01

    Different from the other technological innovation processes, the technological innovation process of nuclear power engineering project is influenced deeply by the extensive environmental factors, the technological innovation of nuclear power engineering project needs to make an effort to reduce environmental uncertainty. This paper had described the mechanism of connection technological innovation process of nuclear power engineering project with environmental factors, and issued a feasible method based on model of bargaining to incorporate technological innovation process management of nuclear power engineering project with environmental factors. This method has realistic meanings to guide the technological innovation of nuclear power engineering project. (authors)