WorldWideScience

Sample records for technology evaluation write

  1. Peer Evaluation in CMC Learning Environment and Writing Skill

    Directory of Open Access Journals (Sweden)

    Morteza Mellati

    2014-09-01

    Full Text Available Peer evaluation and technology-based instruction as the various domains of language teaching perspectives might affect language development. Group work in a technology-based environment might be more successful when learners are involved in developing the assessment process particularly peer assessment. This study investigated the effectiveness of peer evaluation in technology-based language environment and its effects on English writing ability. To reach this goal, 70 Iranian learners were participated in English language writing context. They were divided into two groups, one group assigned to CMC (Computer-Mediated Communication language learning context and the other assigned to a traditional learning environment. Both groups were encouraged to evaluate their classmates’ writing tasks. In addition, interviews were conducted with two learners. Comparing these two groups provides comprehensive guidelines for teachers as well as curriculum designers to set adjusted writing language environment for more effective and creative language teaching and learning. E-collaboration classroom tasks have high intrinsic motivation as well as significant effects on learners’ outcomes. Cooperative tasks specifically in technology-based environment lead learners to group working and consequently group learning. Computer-Mediated Communication is meaningful, especially in contexts in which teachers stimulate group work activities.

  2. Writing, Literacy and Technology: Toward a Cyborg Writing.

    Science.gov (United States)

    Olson, Gary A.

    1996-01-01

    Presents an interview with feminist social critic Donna Haraway about her call for "cyborg writing," writing that replaces the idea of an authoritative or dominant story with an acknowledgment of the wide range of narratives to be told in science, technology, and other areas. Also questions Haraway about activism for academics, particularly as it…

  3. Technical writing in the radiologic technology curriculum.

    Science.gov (United States)

    Bell, R

    1979-01-01

    Although courses in technical writing are no longer suggested in the Curriculum Guide for Programs in Radiologic Technology, the writer believes that writing is essential to the growth of the profession and development of the professional. Emphasis is placed on some of the benefits that accrue to students who are exposed to technical writing as part of their technology curriculum.

  4. Critical Evaluation as an Aid to Improved Report Writing: A Case Study

    Science.gov (United States)

    Walker, Mirabelle; Williams, Judith

    2014-01-01

    Report writing is an important employability skill for Engineers and Technologists, and this case study describes how a Technology degree module took a novel approach to developing students' report writing skills. Students learned how to use a criterion-referenced critical evaluation framework for reports and other technological documents. They…

  5. Critical evaluation as an aid to improved report writing: a case study

    Science.gov (United States)

    Walker, Mirabelle; Williams, Judith

    2014-05-01

    Report writing is an important employability skill for Engineers and Technologists, and this case study describes how a Technology degree module took a novel approach to developing students' report writing skills. Students learned how to use a criterion-referenced critical evaluation framework for reports and other technological documents. They were given opportunities to practise using the framework both through exemplars and through evaluating the work of their peers. They also carried out self-assessment. The authors' analysis of this novel approach shows that most students responded well to it and benefited from it. Lessons are drawn from this work for others who wish to improve their students' report writing skills.

  6. On Gendered Technologies and Cyborg Writing

    DEFF Research Database (Denmark)

    Muhr, Sara Louise; Rehn, Alf

    2015-01-01

    Since Hélène Cixous introduced it in 1975, the notion of a specifically feminine writing — écriture féminine — has been discussed as a provocative and potentially disruptive form of representation that breaks with masculine and authoritarian modes thereof. However, in this paper we will discuss how......, as the writer — when writing/publishing — is always already embedded in the technologies of the publishing machine, turning (academic) writing into something akin to cyborg writing. We further suggest that an understanding of the cyborg nature of writing can introduce a parallel mode of inquiry, which holds...

  7. Performance Evaluation of Two Different Usability Evaluation Methods in the Context of Collaborative Writing Systems

    OpenAIRE

    Bakhtyar, Shoaib; Afridi, Qaisar Zaman

    2010-01-01

    In today’s world of rapid technological development one cannot deny the importance of collaborative writing systems. Besides many advantages of a collaborative writing system the major one is to allow its end users to work in collaboration with each other without having to physically meet. In the past various researches has been carried out for the usability evaluation of collaborative writing systems using the think aloud protocol method however there is no study conducted on the comparison ...

  8. Technology-enhanced writing therapy for people with aphasia: results of a quasi-randomized waitlist controlled study.

    Science.gov (United States)

    Marshall, Jane; Caute, Anna; Chadd, Katie; Cruice, Madeline; Monnelly, Katie; Wilson, Stephanie; Woolf, Celia

    2018-05-10

    Acquired writing impairment, or dysgraphia, is common in aphasia. It affects both handwriting and typing, and may recover less well than other aphasic symptoms. Dysgraphia is an increasing priority for intervention, particularly for those wishing to participate in online written communication. Effective dysgraphia treatment studies have been reported, but many did not target, or did not achieve, improvements in functional writing. Functional outcomes might be promoted by therapies that exploit digital technologies, such as voice recognition and word prediction software. This study evaluated the benefits of technology-enhanced writing therapy for people with acquired dysgraphia. It aimed to explore the impact of therapy on a functional writing activity, and to examine whether treatment remediated or compensated for the writing impairment. The primary question was: Does therapy improve performance on a functional assessment of writing; and, if so, do gains occur only when writing is assisted by technology? Secondary measures examined whether therapy improved unassisted written naming, functional communication, mood and quality of life. The study employed a quasi-randomized waitlist controlled design. A total of 21 people with dysgraphia received 12 h of writing therapy either immediately or after a 6-week delay. The primary outcome measure was a functional assessment of writing, which was administered in handwriting and on a computer with assistive technology enabled. Secondary measures were: The Boston Naming Test (written version), Communication Activities of Daily Living-2, Visual Analogue Mood Scales (Sad question), and the Assessment of Living with Aphasia. Analyses of variance (ANOVA) were used to examine change on the outcome measures over two time points, between which the immediate group had received therapy but the delayed group had not. Pre-therapy, post-therapy and follow-up scores on the measures were also examined for all participants. Time × group

  9. Integrating Technology, Art, and Writing to Create Comic Books

    Science.gov (United States)

    Vega, Edwin S.; Schnakenberg, Heidi L.

    2006-01-01

    In this article, the authors talk about the Summer Safari program that is designed for 9-to 14-year-old students. It targets individuals with an interest in comic books and a penchant for writing stories and/or drawing. The highlight of this multidisciplinary workshop is the seamless integration of writing, fine arts, and computer technology to…

  10. Impacts of Online Technology Use in Second Language Writing: A Review of the Literature

    Science.gov (United States)

    Lin, Show Mei; Griffith, Priscilla

    2014-01-01

    This article reviews the literature on computer-supported collaborative learning in second language and foreign language writing. While research has been conducted on the effects of online technology in first language reading and writing, this article explores how online technology affects second and foreign language writing. The goal of this…

  11. Impact of a Technology-Infused Middle School Writing Program on Sixth-Grade Students' Writing Ability and Engagement

    Science.gov (United States)

    Goldenberg, Lauren; Meade, Terri; Midouhas, Emily; Cooperman, Naomi

    2011-01-01

    Process-oriented approaches are increasingly used in schools to improve writing. One of these approaches, known as the writing workshop model, is challenging for teachers to implement without supports. This quasi-experimental study evaluated the effectiveness of a middle school writing program that incorporates this model along with technological…

  12. Enhancing Poetry Writing through Technology: The Yin and the Yang.

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Schmidt, Denise

    2002-01-01

    Describes the outcome of an innovative mentoring program that paired technology faculty and methods faculty in order to form partnerships to facilitate the modeling of technology for preservice teachers. Discusses the creation of useful applications for enhancing poetry writing through technology for elementary school students. (SG)

  13. Technological mediation as a learning tool for writing and reading

    Directory of Open Access Journals (Sweden)

    Gladys Molano Caro

    2015-12-01

    Full Text Available This article disclosed the progress a technological mediation has built to the adquisition, use and development of reading and writing from Cognitive Affective Method for Learning -MACPA-. A development like the one being proposed, is an option for children and young people to, activate, promote, develop and / or enhance the learning of reading and writing. Likewise, it is an option to consider the results achieved in the PISA test and case reports, done by teachers by teachers, showing that that elementary students do not perform production of texts so spontaneous or directed; and they fail to make progress in reading comprehension levels. Given this context, the partial results achieved in the second phase of the research aims to implement a technology platform based mediation MACPA as an educational resource to enhance the processes of reading and writing among students from first to fourth grades of primary education. Accordingly, through Article basis be found in a software for reading and writing that takes into account the particularities of learning of students with intellectual disabilities, learning disabilities in students who have not evidenced difficulties in academic learning processes, though they require a new method to accelerate learning.

  14. Programmatic Knowledge Management: Technology, Literacy, and Access in 21st-Century Writing Programs

    Science.gov (United States)

    York, Eric James

    2015-01-01

    Growing out of research in Technical Communication, Composition Studies, and Writing Program Administration, the articles in this dissertation explicitly seek to address changes in the practices and products of writing and writing studies wrought by the so-called "digital revolution" in communication technology, which has been ongoing in…

  15. Using tracking software for writing instruction

    Directory of Open Access Journals (Sweden)

    Sane M. Yagi

    2011-08-01

    Full Text Available Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product; it is there that they could find sources of writing problems. Despite all controversy evoked by post-process approaches with respect to process writing, information technology has lately offered tools that can shed new light on how writing takes place. Software that can record keyboard, mouse, and screen activities is capable of unraveling mysteries of the writing process. Technology has given teachers and learners the option of examining the writing process as it unfolds, enabling them to diagnose strategy as well as wording problems, thus empowering teachers to guide learners individually in how to think about each of their trouble spots in the context of a specific product of writing. With these advances in information technology, metacognitive awareness and strategy training begin to acquire new dimensions of meaning. Technology lays open aspects of the writing process, offering unprecedented insight into creative text production as well. This paper attempts to explain how tracking software can influence writing instruction. It briefly examines the process and post-process approaches to assess their viability, explains the concept of tracking software, proposes methodology needed for the adoption of this technology, and then discusses the pedagogical implications of these issues.

  16. Blending Web 2.0 Technologies with Developing of Writing Skills in ESL Classroom: Some Insights

    Directory of Open Access Journals (Sweden)

    Hind Talal Mashrah

    2017-06-01

    Full Text Available Recently, the educational field has adopted a new route for improving and increasing the way we learn languages, particularly English language, through using social networking services such as; Facebook, Twitter, YouTube, and other technologies in writing skills. In this respect, this paper discusses how ESL classroom can apply the social networking services or Web 2.0. Technologies effectively to promote learners' writing skills. The paper first details research studies about the characteristics of two social networking, Blogs and Wikis, to show the importance of implementing Web 2.0 technologies in writing skills. Then the benefits of applying social networking services as an essential approach for teaching and learning writing skills in L2 are presented. Paper also discusses the counter –argument, as opposite perspectives, that applying social networking websites is not always considered as a proper method to improve writing skills due to many reasons which may lead decreasing learners' level of English or make them far behind because of their difficulties they face when they use these technologies

  17. Binary codes storage and data encryption in substrates with single proton beam writing technology

    International Nuclear Information System (INIS)

    Zhang Jun; Zhan Furu; Hu Zhiwen; Chen Lianyun; Yu Zengliang

    2006-01-01

    It has been demonstrated that characters can be written by proton beams in various materials. In contributing to the rapid development of proton beam writing technology, we introduce a new method for binary code storage and data encryption by writing binary codes of characters (BCC) in substrates with single proton beam writing technology. In this study, two kinds of BCC (ASCII BCC and long bit encrypted BCC) were written in CR-39 by a 2.6 MeV single proton beam. Our results show that in comparison to directly writing character shapes, writing ASCII BCC turned out to be about six times faster and required about one fourth the area in substrates. The approach of writing long bit encrypted BCC by single proton beams supports preserving confidential information in substrates. Additionally, binary codes fabricated by MeV single proton beams in substrates are more robust than those formed by lasers, since MeV single proton beams can make much deeper pits in the substrates

  18. Using Web 2.0 technologies and collaborative work in teaching academic writing

    OpenAIRE

    Jekiel, Mateusz

    2014-01-01

    Paper presented at YLMP 2014 Teaching academic writing to ESL learners can be a difficult task: students are usually unfamiliar with academic style, have difficulties in producing a structured piece of writing and get easily discouraged by an exam­oriented approach, having to use old school pen and paper with no access to technology. Indeed, the gap between everyday writing tasks and the exam is significant: access to online dictionaries, linguistic corpora and academic articles, as well a...

  19. Improving Writing Quality: Evaluation Report and Executive Summary

    Science.gov (United States)

    Torgerson, David; Torgerson, Carole; Ainsworth, Hannah; Buckley, Hannah; Heaps, Clare; Hewitt, Catherine; Mitchell, Natasha

    2014-01-01

    Self-Regulated Strategy Development (SRSD) is a writing process model in which students are encouraged to plan, draft, edit, and revise their writing. In this evaluation 23 primary schools and their Year 6 teachers in the Calderdale area of West Yorkshire were randomly allocated to receive training in the SRSD approach from an external consultant.…

  20. Free Computer-Based Assistive Technology to Support Students with High-Incidence Disabilities in the Writing Process

    Science.gov (United States)

    Bouck, Emily C.; Meyer, Nancy K.; Satsangi, Rajiv; Savage, Melissa N.; Hunley, Megan

    2015-01-01

    Written expression is a neglected but critical component of education; yet, the writing process--from prewriting, to writing, and postwriting--is often an area of struggle for students with disabilities. One strategy to assist students with disabilities struggling with the writing process is the use of computer-based technology. This article…

  1. Writing, Evaluating and Assessing Data Response Items in Economics.

    Science.gov (United States)

    Trotman-Dickenson, D. I.

    1989-01-01

    Describes some of the problems in writing data response items in economics for use by A Level and General Certificate of Secondary Education (GCSE) students. Examines the experience of two series of workshops on writing items, evaluating them and assessing responses from schools. Offers suggestions for producing packages of data response items as…

  2. Evaluating undergraduate nursing students' self-efficacy and competence in writing: Effects of a writing intensive intervention.

    Science.gov (United States)

    Miller, Louise C; Russell, Cynthia L; Cheng, An-Lin; Skarbek, Anita J

    2015-05-01

    While professional nurses are expected to communicate clearly, these skills are often not explicitly taught in undergraduate nursing education. In this research study, writing self-efficacy and writing competency were evaluated in 52 nontraditional undergraduate baccalaureate completion students in two distance-mediated 16-week capstone courses. The intervention group (n = 44) experienced various genres and modalities of written assignments set in the context of evidence-based nursing practice; the comparison group (n = 8) received usual writing undergraduate curriculum instruction. Self-efficacy, measured by the Post Secondary Writerly Self-Efficacy Scale, indicated significant improvements for all self-efficacy items (all p's = 0.00). Writing competency, assessed in the intervention group using a primary trait scoring rubric (6 + 1 Trait Writing Model(®) of Instruction and Assessment), found significant differences in competency improvement on five of seven items. This pilot study demonstrated writing skills can improve in nontraditional undergraduate students with guided instruction. Further investigation with larger, culturally diverse samples is indicated to validate these results. Copyright © 2014 Elsevier Ltd. All rights reserved.

  3. Commentary: Writing and evaluating qualitative research reports

    Science.gov (United States)

    An overview of qualitative methods is provided, particularly for reviewers and authors who may be less familiar with qualitative research. A question and answer format is used to address considerations for writing and evaluating qualitative research. When producing qualitative research, individuals ...

  4. Cloud Computing Technologies in Writing Class: Factors Influencing Students’ Learning Experience

    Directory of Open Access Journals (Sweden)

    Jenny WANG

    2017-07-01

    Full Text Available The proposed interactive online group within the cloud computing technologies as a main contribution of this paper provides easy and simple access to the cloud-based Software as a Service (SaaS system and delivers effective educational tools for students and teacher on after-class group writing assignment activities. Therefore, this study addresses the implementation of the most commonly used cloud applications, Google Docs, in a higher education course. The learning environment integrated Google Docs that students are using to develop and deploy writing assignments in between classes has been subjected to learning experience assessment. Using the questionnaire as an instrument to study participants (n=28, the system has provided an effective learning environment in between classes for the students and the instructor to stay connected. Factors influencing students’ learning experience based on cloud applications include frequency of interaction online and students’ technology experience. Suggestions to cope with challenges regarding the use of them in higher education including the technical issues are also presented. Educators are therefore encouraged to embrace cloud computing technologies as they design the course curriculum in hoping to effectively enrich students’ learning.

  5. Evaluating guilt and shame in an expressive writing alcohol intervention.

    Science.gov (United States)

    Rodriguez, Lindsey M; Young, Chelsie M; Neighbors, Clayton; Campbell, Michelle T; Lu, Qian

    2015-08-01

    Expressive writing interventions have shown positive physical and psychological health benefits over time, with the presumed mechanism being emotional disclosure. However, work utilizing expressive writing in behavior change has been minimal. The current research applied the expressive writing paradigm to reduce drinking intentions among college students, and evaluated the role of event-related guilt and shame in intervention effects. College students (N=429) completed a baseline survey and were randomly assigned to one of three conditions: Negative (write about a heavy drinking event that was negative); Positive (write about a heavy drinking event that was positive); or Neutral (write about their first day of college). After writing, readiness to change and future drinking intentions were assessed. Results revealed intervention effects on intended drinks per week and intended number of drinks during peak and typical drinking occasions. Participants in the negative condition also displayed higher levels of event-related guilt and shame. Results showed that guilt mediated intervention effects on readiness to change, which also mediated the association between guilt-reparative behavior and drinking intentions. Results provide initial support for an expressive writing intervention on alcohol use and underscore the importance of eliciting emotions associated with reparative behavior when considering negative past experiences and future behavior change. Copyright © 2015 Elsevier Inc. All rights reserved.

  6. Let Them Have Their Cell Phone (And Let Them Read to It Too): Technology, Writing Instruction and Textual Obsolescence

    Science.gov (United States)

    Shahar, Jed

    2012-01-01

    Cell phone ubiquity enables students to record and share audio file versions of their essays for proofreading purposes. Adopting this practice in community college developmental writing classes leads to an investigation of both writing as a technology and the influence of modern technology on composition and composition pedagogy.

  7. Best practices in writing instruction

    CERN Document Server

    Fitzgerald, Jill; MacArthur, Charles A

    2014-01-01

    An indispensable teacher resource and course text, this book presents evidence-based practices for helping all K-12 students develop their skills as writers. Every chapter draws clear connections to the Common Core State Standards (CCSS). Leading authorities describe how to teach the skills and strategies that students need to plan, draft, evaluate, and revise multiple types of texts. Also addressed are ways for teachers to integrate technology into the writing program, use assessment to inform instruction, teach writing in the content areas, and tailor instruction for English language learner

  8. Methods for evaluating educational programs: does Writing Center participation affect student achievement?

    Science.gov (United States)

    Bredtmann, Julia; Crede, Carsten J; Otten, Sebastian

    2013-02-01

    This paper evaluates the effectiveness of the introduction of a Writing Center at a university, which aims at improving students' scientific writing abilities. In order to deal with the presumed limited utility of student feedback surveys for evaluating the effectiveness of educational programs, we use students' actual learning outcomes as our quality measure. Based on this objective measure, different statistical evaluation methods established in the labor market treatment literature are applied. We present and discuss the validity of these methods to evaluate educational programs and compare the results of these approaches to implications obtained using corresponding student surveys. Although almost all students reported the writing courses to be helpful, we find no significant effect of course participation on students' grades. This result highlights the need for institutions not to rely solely on student course evaluations for evidence-based policy decisions. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. The Importance of Innovation: Diffusion Theory and Technological Progress in Writing Centers.

    Science.gov (United States)

    Inman, James A.

    2000-01-01

    Suggests that all stakeholders should share a focus on "innovations," referring here simultaneously to technologies and their social, cultural, political, and historical contexts. Introduces a new perspective through which writing center professionals can approach collaborative relationships with other stakeholders in the move towards…

  10. Cloud Computing Technologies in Writing Class: Factors Influencing Students' Learning Experience

    Science.gov (United States)

    Wang, Jenny

    2017-01-01

    The proposed interactive online group within the cloud computing technologies as a main contribution of this paper provides easy and simple access to the cloud-based Software as a Service (SaaS) system and delivers effective educational tools for students and teacher on after-class group writing assignment activities. Therefore, this study…

  11. Using Television Technology to Teach Technical Writing.

    Science.gov (United States)

    Wallisch, Bill

    Technical writing teachers at the U.S. Air Force Academy enhance student motivation by bringing real Air Force writing situations into the classroom through short videotapes which allow students to see how scientists and engineers cope with report writing in their daily work. Also, a special English honors course, which is part of the "Blue…

  12. The Impact of Digital Tools on Student Writing and How Writing Is Taught in Schools

    Science.gov (United States)

    Purcell, Kristen; Buchanan, Judy; Friedrich, Linda

    2013-01-01

    A survey of 2,462 Advanced Placement (AP) and National Writing Project (NWP) teachers finds that digital technologies are shaping student writing in myriad ways and have also become helpful tools for teaching writing to middle and high school students. These teachers see the internet and digital technologies such as social networking sites, cell…

  13. Disruptive Technology: What Is It? How Can It Work for Professional Writing?

    Science.gov (United States)

    Godwin, Mary

    2010-01-01

    Writing in 1995 for the "Harvard Business Review" audience of executive managers, Harvard business professor Clayton Christensen coined the term "disruptive technologies" to describe innovations that improve a product, service, or operation in ways wholly unanticipated by leaders of existing markets. Christensen's economic theory offers a launch…

  14. The Generational Impact of Technology on Formal Writing of Rural Middle School Students

    Science.gov (United States)

    Land, Martha Ann

    2012-01-01

    The purpose of this study was to determine the impact text messages, social networks, Instant Messaging, email, and blogging had on rural middle school students' ability to decipher between formal and informal writing. Students completed self-evaluation logs, completed Formal and Informal Writing Assessments, and were scored on their use of…

  15. Assessing writing ability in primary education: on the evaluation of text quality and text complexity

    NARCIS (Netherlands)

    Feenstra, Hiske

    2014-01-01

    Writing is a complex ability, and measuring writing ability is a notoriously complex task. The assessment of writing ability is complicated by the multi-faceted nature of this productive language ability on one hand, and the difficulty of evaluating writing performances on the other hand. In this

  16. Successful grant proposals in science, technology, and medicine a guide to writing the narrative

    CERN Document Server

    Oster, Sandra

    2015-01-01

    There are many resources on grant writing in science, technology and medicine, but most do not provide the practical advice needed to write the narratives of grant proposals. Designed to help novice and experienced investigators write compelling narratives and acquire research funding, this is a detailed guide to the content, organisation, layout, phrasing, and scientific argumentation of narratives. The authors draw on more than twenty years of research and analysis of grant proposals, having worked extensively with investigators at different levels, from pre-doctoral students to senior scientists. They have used this experience to design a framework for scientific writing that you can apply directly to narratives. The guidelines and advice offered are applicable across many funding agencies, including the NIH and NSF. Featuring many real-life examples, the book covers a range of topics, from organisational alternatives to best practices in grammar and editing, overview visuals, and working with contributors...

  17. Teaching English Medical Writing in a Blended Setting

    Directory of Open Access Journals (Sweden)

    Jafar Asgari Arani

    2012-12-01

    Full Text Available Medical writing activities which may have a context and seem to be engaging may be perceived as demotivating by the students of medicine. This opinion was confirmed by the learners' responses to the open-ended question given to them prior to this study. In their responses students evaluated the writing section of English course negatively. The negative views about the writing course posed a problem to the class teacher. The computer technology and the Internet can easily be integrated into language classroom if activities are designed carefully, and carried out systematically. These attempts brought about a new understanding to teaching and learning: blended learning (BL. The purpose of this research was to investigate students of medicine attitude to blended writing classes. It was conducted with second year learners in the Faculty of Medicine at Kashan University of Medical Sciences. The first reflection aimed at finding out medical students' attitude toward blended writing lessons. Although learners' attitude to writing lessons was negative in the first reflections, they changed into positive in the latter ones. The findings indicated that blended writing class had changed students' perception of writing lessons positively. Therefore, this kind of classes may help students develop a positive attitude towards writing by providing meaningful writing opportunities. Like the student portfolio before it, the weblog faces challenges with practicality and security, but ultimately provides an alternative way to teach and assess authentic writing and reading skills. Blog Assisted Language Learning not only provides teachers with an exciting new way to approach communicative language learning, it also gives the students a new reason to enjoy writing! The paper concludes that Internet tools have the potential to be a transformational technology for teaching and learning writing, and teachers ought to give strong consideration to the setting up their

  18. Writing and speaking in the technology professions a practical guide

    CERN Document Server

    2003-01-01

    An updated edition of the classic guide to technical communication. Consider that 20 to 50 percent of a technology professional’s time is spent communicating with others. Whether writing a memo, preparing a set of procedures, or making an oral presentation, effective communication is vital to your professional success. This anthology delivers concrete advice from the foremost experts on how to communicate more effectively in the workplace. The revised and expanded second edition of this popular book completely updates the original, providing authoritative guidance on communicating via modern technology in the contemporary work environment. Two new sections on global communication and the Internet address communicating effectively in the context of increased e-mail and web usage.

  19. Evaluation of technological and economic standard of the mining industry. [USSR

    Energy Technology Data Exchange (ETDEWEB)

    Evdokimov, F.I.; Nekhaev, S.A.; Laptev, I.V.

    1983-02-01

    In order to assure constant growth in coal production, as stipulated in the five-year plan, an evaluation scheme has been devised. The technological and economic standard is judged by indicators such as productivity, self sufficiency, capital write-off and investment, as well as factors such as working conditions, financial incentives, health services, and absenteeism. The method of canonical analysis yields expressions for technological and economic factors, and a coefficient for the utilization of resources. Relationship of these coefficients and factors is demonstrated graphically.

  20. Evaluating Writing Instruction through an Investigation of Students' Experiences of Learning through Writing

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2005-01-01

    Learning through writing is a way of learning not only the appropriate written expression of disciplinary knowledge, but also the knowledge itself through reflection and revision. This study investigates the quality of a writing experience provided to university students in a first-year biology subject. The writing instruction methodology used is…

  1. Socializing the public: invoking Hannah Arendt's critique of modernity to evaluate reproductive technologies.

    Science.gov (United States)

    Sperling, Daniel

    2012-02-01

    The article examines the writings of one of the most influential political philosophers, Hannah Arendt, and specifically focuses on her views regarding the distinction between the private and the public and the transformation of the public to the social by modernity. Arendt's theory of human activity and critique of modernity are explored to critically evaluate the social contributions and implications of reproductive technologies especially where the use of such technologies is most dominant within Western societies. Focusing on empirical studies on new reproductive technologies in Israel, it is argued, powerfully demonstrates Arendt's theory, and broadens the perspectives through which society should evaluate these new technologies towards a more reflective understanding of its current laws and policies and their affect on women more generally.

  2. Future direction of direct writing

    Science.gov (United States)

    Kim, Nam-Soo; Han, Kenneth N.

    2010-11-01

    Direct write technology using special inks consisting of finely dispersed metal nanoparticles in liquid is receiving an undivided attention in recent years for its wide range of applicability in modern electronic industry. The application of this technology covers radio frequency identification-tag (RFID-tag), flexible-electronics, organic light emitting diodes (OLED) display, e-paper, antenna, bumpers used in flip-chip, underfilling, frit, miniresistance applications and biological uses, artificial dental applications and many more. In this paper, the authors have reviewed various direct write technologies on the market and discussed their advantages and shortfalls. Emphasis has given on microdispensing deposition write (MDDW), maskless mesoscale materials deposition (M3D), and ink-jet technologies. All of these technologies allow printing various patterns without employing a mask or a resist with an enhanced speed with the aid of computer. MDDW and M3D are capable of drawing patterns in three-dimension and MDDW, in particular, is capable of writing nanoinks with high viscosity. However, it is still far away for direct write to be fully implemented in the commercial arena. One of the hurdles to overcome is in manufacturing conductive inks which are chemically and physically stable, capable of drawing patterns with acceptable conductivity, and also capable of drawing patterns with acceptable adhesiveness with the substrates. The authors have briefly discussed problems involved in manufacturing nanometal inks to be used in various writing devices. There are numerous factors to be considered in manufacturing such inks. They are reducing agents, concentrations, oxidation, compact ability allowing good conductivity, and stability in suspension.

  3. Supporting academic publication: evaluation of a writing course combined with writers' support group.

    Science.gov (United States)

    Rickard, Claire M; McGrail, Matthew R; Jones, Rebecca; O'Meara, Peter; Robinson, Anske; Burley, Mollie; Ray-Barruel, Gillian

    2009-07-01

    Publication rates are a vital measure of individual and institutional performance, yet many nurse academics publish rarely or not at all. Despite widespread acceptance of the need to increase academic publication rates and the pressure university faculty may experience to fulfil this obligation, little is known about the effectiveness of practical strategies to support academic writing. In this small cohort study (n=8) comprising nurses and other professionals involved in university education, a questionnaire survey was used to evaluate the effectiveness of a one-week "Writing for Publication" course combined with a monthly writers support group to increase publication rates. Two year pre and post submissions increased from 9 to 33 articles in peer-reviewed journals. Publications (in print) per person increased from a baseline of 0.5-1.2 per year. Participants reported increased writing confidence and greater satisfaction with the publishing process. Peer support and receiving recognition and encouragement from line managers were also cited as incentives to publish. Writing for publication is a skill that can be learned. The evaluated model of a formal writing course, followed by informal monthly group support meetings, can effectively increase publication rates.

  4. Live from the Writing Center: Technological Demands and Multiliterate Practice in a Virtual Writing Center.

    Science.gov (United States)

    Swarts, Jason

    "Online Writing Tutorial" (OWI) was designed and piloted in the summer of 2000 as a one to two credit writing course intended for Rensselaer Polytechnic students on co-op assignment in New York and across the country. Similar to its ancestor course, "Writing Workshop" (WW), which was a one-credit course designed to fit the…

  5. An evaluation of college and low-income youth writing together: self-discovery and cultural connection.

    Science.gov (United States)

    Chandler, Genevieve E

    2002-01-01

    Although the health and healing effects of writing have been documented in the literature, most of the studies have focused primarily on individuals writing alone. This formative evaluation is a component of an intervention reported elsewhere. The evaluation describes the experience of low-income youth and college students (n = 7) writing in a group during a 10-week workshop. The results revealed the development of protective processes of self-esteem, self-efficacy, coping strategies, social support, and cultural connections. In the weekly 2-hr writing sessions, using the Amherst Writers and Artists method, participants were encouraged to write their stories in their own voices in response to prompts, followed by reading aloud and positive feedback. At the end of the 10 weeks participants were interviewed about their experience within the group and outside the group. Analysis of interviews revealed two themes that emerged from the experience of writing together: connection to self through feelings, reflection, and behaviors; and connection to others through learning and empathy. The results suggest that writing in a group using a specific approach facilitated emotional catharsis, increased self knowledge, coping strategies, and understanding and appreciating of others.

  6. Using Writing as a Constructivist Instructional Tool

    Science.gov (United States)

    Narayanan, M.

    2006-12-01

    Researchers in the area of cognitive science and educational psychology have shown that instructors who encourage student writing are actually helping in motivating a reluctant pupil. It has also been reported that writing indirectly rewards an individual with dynamic interest. Furthermore, it is believed that writing strengthens the self-confidence of a lethargic learner. (Kosakowski, 1998). All in all, promoting writing helps and supports learners cultivate a positive attitude toward the subject matter in question. The constructivist approach promotes a learning paradigm and helps individuals learn and understand by "constructing" knowledge. Learners are effectively encouraged to generate and build their own knowledge base. Learners document progress by constructing new concepts based on previously gained knowledge. The role of the teacher is actually to facilitate the creation of a learning environment. The constructivist approach when used in the classroom enables the students to become more active, independent thinkers of knowledge. Education World writer Gloria Chaika (Chaika, 2000) states that "Talent is important, but practice creates the solid base that allows that unique talent to soar. Like athletes, writers learn by doing. Good writing requires the same kind of dedicated practice that athletes put in. Young writers often lack the support they need to practice writing and develop their talent to the fullest, though." The author has successfully utilized some of these principles and techniques in a senior level course he teaches. He has encouraged students to try to solve problems their own way and has asked them to observe, document, assess and evaluate the results. In the classroom, the author takes the role of a coach and helps the students approach the problem with a different viewpoint. Eventually the students document their conclusions in a page-long essay. This type of writing assignment not only builds critical thinking abilities but also

  7. Tracking the Mind's Eye: A New Technology for Researching Twenty-First-Century Writing and Reading Processes

    Science.gov (United States)

    Anson, Chris M.; Schwegler, Robert A.

    2012-01-01

    This article describes the nature of eye-tracking technology and its use in the study of discourse processes, particularly reading. It then suggests several areas of research in composition studies, especially at the intersection of writing, reading, and digital media, that can benefit from the use of this technology. (Contains 2 figures.)

  8. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  9. The Use of Information and Communication Technology (ICT) in Teaching ESL Writing Skills

    Science.gov (United States)

    Yunus, Melor Md; Nordin, Norazah; Salehi, Hadi; Embi, Mohamed Amin; Salehi, Zeinab

    2013-01-01

    Despite the existence of many studies showing positive effects of using Information and Communication Technology (ICT) in the teaching and learning process in general, the use of ICT in teaching writing skills in English as a Second Language (ESL) classrooms is still not very encouraging. This study attempts to seek findings on the use of ICT in…

  10. Spiritualist Writing Machines: Telegraphy, Typtology, Typewriting

    Directory of Open Access Journals (Sweden)

    Anthony Enns

    2015-09-01

    Full Text Available This paper examines how religious concepts both reflected and informed the development of new technologies for encoding, transmitting, and printing written information. While many spiritualist writing machines were based on existing technologies that were repurposed for spirit communication, others prefigured or even inspired more advanced technological innovations. The history of spiritualist writing machines thus not only represents a response to the rise of new media technologies in the nineteenth century, but it also reflects a set of cultural demands that helped to shape the development of new technologies, such as the need to replace handwriting with discrete, uniform lettering, which accelerated the speed of composition; the need to translate written information into codes, which could be transmitted across vast distances; and the need to automate the process of transmitting, translating, and transcribing written information, which seemed to endow the machines themselves with a certain degree of autonomy or even intelligence. While spiritualists and inventors were often (but not always motivated by different goals, the development of spiritualist writing machines and the development of technological writing machines were nevertheless deeply interrelated and interdependent.

  11. Conversations with Technical Writing Teachers: Defining a Problem.

    Science.gov (United States)

    Selting, Bonita R.

    2002-01-01

    Considers if teaching technology is problematic for technical writing instructors. Presents ideas of 64 Association of Teachers of Technical Writing (ATTW) members who were queried on their roles as teachers of technical writing in relation to the demands made upon them to also be teachers of technology skills. Concludes with a call for more…

  12. Applying Technological Pedagogical and Content Knowledge (TPACK) model to develop an online English writing course for nursing students.

    Science.gov (United States)

    Tai, Hung-Cheng; Pan, Mei-Yu; Lee, Bih-O

    2015-06-01

    Learning English as foreign language and computer technology are two crucial skills for nursing students not only for the use in the medical institutions but also for the communication needs following the trend of globalization. Among language skills, writing has long been ignored in the curriculums although it is a core element of language learning. To apply the TPACK (Technological Pedagogical and Content Knowledge) model to design an online English writing course for nursing students, and to explore the effects of the course to the students' learning progress as well as their satisfactions and perceptions. A single-group experimental study, utilizing the CEEC (College Entrance Examination Center) writing grading criteria and a self-designed course satisfaction questionnaire, is used. Fifty one nursing students who were in their first/four semesters of the two year vocational pre-registration nursing course in a Taiwan university were selected using convenience sampling. Quantitative data were analyzed using descriptive statistics and repeated measure MANOVA. Qualitative data were analyzed by content analysis. Students' writing competence had been improved significantly in every dimension after the instruction. Only half of the learners preferred online writing compared to the traditional way of writing by hand. Additionally, participants reported that they would prefer to receive feedback from the teacher than peers, yet they did not like the indirect feedback. The teacher perceived the course as meaningful but demanding for both learning and teaching sides. To implement the peer review activities and give feedback on time were two major challenges during the cycles. The TPACK model suggests a comprehensive and effective teaching approach that can help enhance nursing students' English writing performance. Teachers are advised to consider its implementation when designing their syllabus. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Evaluation of Twitter Users Writings about Teachers in Turkey

    Science.gov (United States)

    Yavuz, Mustafa

    2014-01-01

    As a social sharing network whose number of users worldwide continues to rapidly increase, Twitter has become an active network for individuals to share their thoughts and feelings at any given time. The purpose of this work, then, is to evaluate Twitter users of Turkey in terms of how they write about their teachers on Twitter. In order to…

  14. Teaching Technical and Business Writing: Strategies and Evaluation.

    Science.gov (United States)

    Alexander, Clara

    1985-01-01

    Describes a course that gives students the kinds of writing and oral communication experiences they will need on the job. The course gives students information about effective speaking and teaches them how to write business letters, prepare simple visuals for written and oral reports, and write formal proposals. (EL)

  15. Just-in-Time Teaching Techniques through Web Technologies for Vocational Students' Reading and Writing Abilities

    Science.gov (United States)

    Chantoem, Rewadee; Rattanavich, Saowalak

    2016-01-01

    This research compares the English language achievements of vocational students, their reading and writing abilities, and their attitudes towards learning English taught with just-in-time teaching techniques through web technologies and conventional methods. The experimental and control groups were formed, a randomized true control group…

  16. Enabling devices, empowering people: the design and evaluation of Trackball EdgeWrite.

    Science.gov (United States)

    Wobbrock, Jacob O; Myers, Brad A

    2008-01-01

    To describe the research and development that led to Trackball EdgeWrite, a gestural text entry method that improves desktop input for some people with motor impairments. To compare the character-level version of this technique with a new word-level version. Further, to compare the technique with competitor techniques that use on-screen keyboards. A rapid and iterative design-and-test approach was used to generate working prototypes and elicit quantitative and qualitative feedback from a veteran trackball user. In addition, theoretical modelling based on the Steering law was used to compare competing designs. One result is a refined software artifact, Trackball EdgeWrite, which represents the outcome of this investigation. A theoretical result shows the speed benefit of word-level stroking compared to character-level stroking, which resulted in a 45.0% improvement. Empirical results of a trackball user with a spinal cord injury indicate a peak performance of 8.25 wpm with the character-level version of Trackball EdgeWrite and 12.09 wpm with the word-level version, a 46.5% improvement. Log file analysis of extended real-world text entry shows stroke savings of 43.9% with the word-level version. Both versions of Trackball EdgeWrite were better than on-screen keyboards, particularly regarding user preferences. Follow-up correspondence shows that the veteran trackball user with a spinal cord injury still uses Trackball EdgeWrite on a daily basis 2 years after his initial exposure to the software. Trackball EdgeWrite is a successful new method for desktop text entry and may have further implications for able-bodied users of mobile technologies. Theoretical modelling is useful in combination with empirical testing to explore design alternatives. Single-user lab and field studies can be useful for driving a rapid iterative cycle of innovation and development.

  17. Evaluation of Candidate Teachers Related to the Weblog Writing Process

    Science.gov (United States)

    Çelik, Tugba; Demirgünes, Sercan

    2016-01-01

    Weblogs offer a new writing and reading environment. Most people in the education process may improve their writing skills and achieve new perspectives related to writing via weblogs. In this study the changes that weblog writing process created in undergraduates'/candidate teachers' minds regarding writing are revealed. The weblog writing process…

  18. The Politics of Writing, Writing Politics: Virginia Woolf’s A [Virtual] Room of One’s Own

    Directory of Open Access Journals (Sweden)

    Tegan Zimmerman

    2012-12-01

    Full Text Available This article revisits A Room of One’s Own, Virginia Woolf’s foundational 1929 text on women’s writing. I examine from a feminist materialist perspective the relevance of Woolf’s notion of a “room” in our globalized and technological twenty-first century. I first review Woolf’s position on the material conditions necessary for women writers in her own time and then the applicability of her thinking for contemporary women writers on a global scale. I emphasize that the politics of writing, and in particular writing by women, that Woolf puts forth gives feminists the necessary tools to reevaluate and rethink women’s writing both online and offline. I therefore argue that Woolf’s traditional work on materiality can be updated and developed to further inform what is now, in the twenty-first century, an urgent need for women writers, a feminist philosophy of sexual difference in relation to technology, and an e-feminism of online spaces and women’s online writing.

  19. The Challenge of Evaluating Students' Scientific Literacy in a Writing-to-Learn Context

    Science.gov (United States)

    Tomas, Louisa; Ritchie, Stephen M.

    2015-01-01

    This paper reports on the challenge of evaluating students' scientific literacy in a writing-to-learn context, as illustrated by our experience with an online science-writing project. In this mixed methods study, year 9 students in a case study class (13-14 year olds, n?=?26) authored a series of two "hybridised" short stories that…

  20. The Write Stuff: Teaching the Introductory Public Relations Writing Course.

    Science.gov (United States)

    King, Cynthia M.

    2001-01-01

    Outlines an introductory public relations writing course. Presents course topics and objectives, and assignments designed to meet them. Provides a sample grading rubric and evaluates major public relations writing textbooks. Discusses learning and assessment strategies. (SR)

  1. Learners’ preferences towards Corrective feedback in writing assignments in tertiary education

    Directory of Open Access Journals (Sweden)

    Horbacauskiene Jolita

    2015-12-01

    Full Text Available For several decades, there has been a heated debate about the value of providing corrective feedback in writing assignments in English as a foreign language (EFL classes. Despite the fact that corrective feedback in writing has been analysed from various angles, learners’ expectations regarding feedback given by language instructors are still to be considered, especially in different learning settings. Student attitudes have been found to be associated with motivation, proficiency, learner anxiety, autonomous learning, etc. (Elwood & Bode, 2014. Thus, the aim of this paper was to compare EFL learners’ attitudes towards corrective feedback and self-evaluation of writing skills in different learning settings. Students at two technological universities in France and Lithuania were surveyed and asked to complete an anonymous questionnaire combining the Likert scale and rank order questions. The results indicate that frequency of writing assignments seems to have little or no impact on students’ self-evaluation of writing skills. Moreover, although the two groups of students showed preference for feedback on different error types (e.g., feedback on structure vs. feedback on grammar, nevertheless, indirect corrective feedback with a clue was favoured by all the respondents.

  2. Young People's Writing: Attitudes, Behaviour and the Role of Technology

    Science.gov (United States)

    Clark, Christina; Dugdale, George

    2009-01-01

    Writing is an important issue in the UK today. While children's and young people's writing standards steadily improved until 2006, levels have not increased in recent years. Writing is much more than just an educational issue--it is an essential skill that allows people to participate fully in today's society and to contribute to the economy.…

  3. ICT in the Writing Classroom: The Pros and the Cons

    Directory of Open Access Journals (Sweden)

    Tareq Boudjadar

    2015-01-01

    Full Text Available Being one of the four language skills, writing poses its own challenges for EFL learners. Over time, educators have suggested different methods to cure some of these challenges faced by students. In the 21st century, we suppose that technology should be part of the solutions to be introduced. The potential of technology in teaching writing is able to yield positive results compared to pen-and-paper writing. From drafting to publishing, technology eases the matter a lot. However, technology seems to have some shortcomings that may hinder both teachers and learners from achieving favourable results. This paper is about weighing some advantages and disadvantages of introducing ICT in the writing classroom.

  4. Writing to Learn: An Evaluation of the Calibrated Peer Review™ Program in Two Neuroscience Courses

    Science.gov (United States)

    Prichard, J. Roxanne

    2005-01-01

    Although the majority of scientific information is communicated in written form, and peer review is the primary process by which it is validated, undergraduate students may receive little direct training in science writing or peer review. Here, I describe the use of Calibrated Peer Review™ (CPR), a free, web-based writing and peer review program designed to alleviate instructor workload, in two undergraduate neuroscience courses: an upper- level sensation and perception course (41 students, three assignments) and an introductory neuroscience course (50 students; two assignments). Using CPR online, students reviewed primary research articles on assigned ‘hot’ topics, wrote short essays in response to specific guiding questions, reviewed standard ‘calibration’ essays, and provided anonymous quantitative and qualitative peer reviews. An automated grading system calculated the final scores based on a student’s essay quality (as determined by the average of three peer reviews) and his or her accuracy in evaluating 1) three standard calibration essays, 2) three anonymous peer reviews, and 3) his or her self review. Thus, students were assessed not only on their skill at constructing logical, evidence-based arguments, but also on their ability to accurately evaluate their peers’ writing. According to both student self-reports and instructor observation, students’ writing and peer review skills improved over the course of the semester. Student evaluation of the CPR program was mixed; while some students felt like the peer review process enhanced their understanding of the material and improved their writing, others felt as though the process was biased and required too much time. Despite student critiques of the program, I still recommend the CPR program as an excellent and free resource for incorporating more writing, peer review, and critical thinking into an undergraduate neuroscience curriculum. PMID:23493247

  5. Impact Evaluation of the National Writing Project's College-Ready Writing Project in High Poverty Rural Districts

    Science.gov (United States)

    Gallagher, H. Alix; Arshan, Nicole; Woodworth, Katrina

    2016-01-01

    Writing is an essential skill for participating in modern American society. Although it is crucial to careers and civic engagement, student writing falls far short of national expectations (College Board, 2004; NCES, 2012; Persky, Daane, & Jin, 2003). The Common Core State Standards (CCSS) seek to increase the rigor of writing instruction…

  6. Associated Effects of Automated Essay Evaluation Software on Growth in Writing Quality for Students with and without Disabilities

    Science.gov (United States)

    Wilson, Joshua

    2017-01-01

    The present study examined growth in writing quality associated with feedback provided by an automated essay evaluation system called PEG Writing. Equal numbers of students with disabilities (SWD) and typically-developing students (TD) matched on prior writing achievement were sampled (n = 1196 total). Data from a subsample of students (n = 655)…

  7. Elementary Teachers' Views on the Creative Writing Process: An Evaluation

    Science.gov (United States)

    Akkaya, Nevin

    2014-01-01

    The goal of this study is to discover and evaluate both the areas of personal interest and the views of 4th and 5th grade classroom teachers regarding the creative writing process. In this study, one of the qualitative study methods, state study, and related to this, single state design which refers to the whole has been chosen. Research was…

  8. Implementing and Evaluating a Writing Course for Psychology Majors

    Science.gov (United States)

    Goddard, Perilou

    2003-01-01

    In this article, I describe Writing in Psychology, a semester-length 3-credit elective course designed to improve students' writing skills, familiarize them with psychology's writing conventions, and teach them American Psychological Association (APA) style. Students produced a case report, a report of an empirical study, a conference abstract,…

  9. A Heuristic Tool for Teaching Business Writing: Self-Assessment, Knowledge Transfer, and Writing Exercises

    Science.gov (United States)

    Ortiz, Lorelei A.

    2013-01-01

    To teach effective business communication, instructors must target students’ current weaknesses in writing. One method for doing so is by assigning writing exercises. When used heuristically, writing exercises encourage students to practice self-assessment, self-evaluation, active learning, and knowledge transfer, all while reinforcing the basics…

  10. Additive direct-write microfabrication for MEMS: A review

    Science.gov (United States)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  11. Using Desktop Publishing To Enhance the "Writing Process."

    Science.gov (United States)

    Millman, Patricia G.; Clark, Margaret P.

    1997-01-01

    Describes the development of an instructional technology course at Fairmont State College (West Virginia) for education majors that included a teaching module combining steps of the writing process to provide for the interdisciplinary focus of writing across the curriculum. Discusses desktop publishing, the National Writing Project, and student…

  12. Commentary: Writing and Evaluating Qualitative Research Reports

    Science.gov (United States)

    Thompson, Deborah; Aroian, Karen J.; McQuaid, Elizabeth L.; Deatrick, Janet A.

    2016-01-01

    Objective To provide an overview of qualitative methods, particularly for reviewers and authors who may be less familiar with qualitative research. Methods A question and answer format is used to address considerations for writing and evaluating qualitative research. Results and Conclusions When producing qualitative research, individuals are encouraged to address the qualitative research considerations raised and to explicitly identify the systematic strategies used to ensure rigor in study design and methods, analysis, and presentation of findings. Increasing capacity for review and publication of qualitative research within pediatric psychology will advance the field’s ability to gain a better understanding of the specific needs of pediatric populations, tailor interventions more effectively, and promote optimal health. PMID:27118271

  13. From reading to writing: Evaluating the Writer's Craft as a means of assessing school student writing

    Directory of Open Access Journals (Sweden)

    Pauline Sangster, Graeme Trousdale & Charles Anderson

    2012-06-01

    Full Text Available This article reports on part of a study investigating a new writing assessment, the Writer's Craft, which requires students to read a stimulus passage and then write a continuation adopting the style of the original. The article provides a detailed analysis of stimulus passages employed within this assessment scheme and students' written continuations of these passages. The findings reveal that this is a considerably more challenging assessment writing task than has previously been recognised; and that questions arise concerning the nature of the stimulus passages and the extent to which the assessment criteria captured what the students had achieved in their writing. The implications of these findings are discussed and recommendations are made.

  14. Writing(s and subjectivation: From the modern citizen to the contemporary young subjectivity

    Directory of Open Access Journals (Sweden)

    Monica Maria Bermudez Grajales

    2015-12-01

    Full Text Available The present text is related to the preponderant status that writing occupied in the political configuration of the modern citizen. Writing practices conceived for development of autonomy, self-control and domestication of passions were some of the ideas that promoted the construction of a rational individual who was able to participate in the public arena and activities conceived by the Nation-State. Nowadays, the meaning of modern writing has varied. Other writing styles are being developed in parallel to the economic, social and technological transitions. In fact, we do not require such a kind of modern writing as the only condition for the political participation. At present, social movements and the communicative and digital interactions of many youngsters, and their hyper textual narratives, show us a vindication of the oral, resounding and iconic as process of a political subjectivity that does not become a rational one in the modern sense but in a nomadic, vernacular and sensitive one.

  15. FROM NEEDS ANALYSIS TO DESIGNING ACADEMIC WRITING MATERIALS FOR DIPLOMA STUDENTS OF MARA UNIVERSITY OF TECHNOLOGY (UITM, MALAYSIA

    Directory of Open Access Journals (Sweden)

    Nancy Chiuh @ Noemi

    2015-12-01

    Full Text Available When students begin their undergraduate studies, they will need to adjust to the demands of the undergraduate studies with regard to academic English at university level. Dudley-Evans & St. John (1998, p.37 maintain that “their English tuition up to the tertiary level will generally have been in the area of General English, and is unlikely to have included specific preparation for study at university level…” Barker (2000, p.8, in his study on first year students’ perception of writing difficulties, found that the students “come to realise during first semester that they are not adequately prepared for the writing demands required at university”. Pecorari (as cited in Phakiti & Li, 2011 found that Asian ESL students had problems in academic writing; “the students begin their aca-demic writing from ‘copying’ which implies a lack of training in academic writing and arouses accusations of plagiarism in their writing” (p.232. Being an English-medium public university in Malaysia, MARA University of Technology (UiTM poses challenges to both its students and instructors, as a good command of English is essential. In its attempt to equip its undergraduate students with language skills, UiTM has introduced credit-bearing English courses. This paper presents the findings from a research project to identify the academic writing needs of first-year Diploma in Public Administration students in UiTM Sabah. A total of 110 Diploma in Public Administration students and six instructors responded to the questionnaires. The research examined the students’ and instructors’ perceptions of the importance of academic writing skills the students need in order to complete their undergraduate programmes, assessment of the students’ academic writing skills, and the difficulty of academic writing skills. The findings indicated that there was consistency of response between the students and instructors. The follow-up interviews and focus groups with

  16. Writing for the web composing, coding, and constructing web sites

    CERN Document Server

    Applen, JD

    2013-01-01

    Writing for the Web unites theory, technology, and practice to explore writing and hypertext for website creation. It integrates such key topics as XHTML/CSS coding, writing (prose) for the Web, the rhetorical needs of the audience, theories of hypertext, usability and architecture, and the basics of web site design and technology. Presenting information in digestible parts, this text enables students to write and construct realistic and manageable Web sites with a strong theoretical understanding of how online texts communicate to audiences. Key features of the book

  17. Comparing Technologies for Online Writing Conferences: Effects of Medium on Conversation

    Science.gov (United States)

    Wolfe, Joanna; Griffin, Jo Ann

    2012-01-01

    This study directly compares face-to-face writing center consultations with two closely related variations of Online Writing Instruction (OWI). Although the study takes place in a busy, dynamic writing center, the authors try to make their comparisons as systematic as possible so they can better foreground some of the benefits and disadvantages of…

  18. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  19. Effects of Guided Writing Strategies on Students' Writing Attitudes Based on Media Richness Theory

    Science.gov (United States)

    Lan, Yu-Feng; Hung, Chun-Ling; Hsu, Hung-Ju

    2011-01-01

    The purpose of this paper is to develop different guided writing strategies based on media richness theory and further evaluate the effects of these writing strategies on younger students' writing attitudes in terms of motivation, enjoyment and anxiety. A total of 66 sixth-grade elementary students with an average age of twelve were invited to…

  20. Assessing the Overall Value of an Online Writing Community

    Science.gov (United States)

    Mohapatra, Sanjay; Mohanty, Sukriti

    2017-01-01

    The advent of internet has brought in changes to many existing stable business models. With the technological shift, the concept of community writing has undergone several changes. Using a sample of 181 participants, it was found that online community, of late, has been greatly impacted by technology. Community writing involves amalgamation of…

  1. SOME THOUGHTS ON WRITING SKILLS

    Directory of Open Access Journals (Sweden)

    Sim Monica Ariana

    2010-07-01

    Full Text Available Writing is one of the central pillars of language learning and should be of major interest and concern to teachers, students and researchers. This paper is intended to be a plea for writing and explores issues regarding instruction and evaluation of writing skills of nonnative speaker students. It examines expectations of nonnative speakers writing quality and performance on writing proficiency exams, as well. Finally, it is trying to ring a bell about this skill that has been neglected in spite of its importance when it comes to foreign language acquisition

  2. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  3. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia: initial evidence from a study utilizing assistive writing software.

    Science.gov (United States)

    Thiel, Lindsey; Sage, Karen; Conroy, Paul

    2017-01-01

    Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single-word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some initial evidence that assistive writing software use can lead to qualitative and quantitative improvements to spontaneous writing. This within-participants case series design study aimed to investigate the effects of using assistive writing software to improve email writing in participants with dysgraphia related to aphasia. Eight participants worked through a hierarchy of writing tasks of increasing complexity within broad topic areas that incorporate the spheres of writing need of the participants: writing for domestic needs, writing for social needs and writing for business/administrative needs. Through completing these tasks, participants had the opportunity to use the various functions of the software, such as predictive writing, word banks and text to speech. Therapy also included training and practice in basic computer and email skills to encourage increased independence. Outcome measures included email skills, keyboard skills, email writing and written picture description tasks, and a perception of disability assessment. Four of the eight participants showed statistically significant improvements to spelling accuracy within emails when using the software. At a group level there was a significant increase in word length with the software; while four participants showed noteworthy changes to the range of word classes used. Enhanced independence in email use and improvements in participants' perceptions of their writing skills were also noted. This study provided some initial evidence

  4. The Effects of Writing Anxiety and Motivation on EFL College Students' Self-Evaluative Judgments of Corrective Feedback.

    Science.gov (United States)

    Tsao, Jui-Jung; Tseng, Wen-Ta; Wang, Chaochang

    2017-04-01

    Feedback is regarded as a way to foster students' motivation and to ensure linguistic accuracy. However, mixed findings are reported in the research on written corrective feedback because of its multifaceted nature and its correlations with learners' individual differences. It is necessary, therefore, to conduct further research on corrective feedback from the student's perspective and to examine how individual differences in terms of factors such as writing anxiety and motivation predict learners' self-evaluative judgments of both teacher-corrected and peer-corrected feedback. For this study, 158 Taiwanese college sophomores participated in a survey that comprised three questionnaires. Results demonstrated that intrinsic motivation and different types of writing anxiety predicted English as foreign language learners' evaluative judgments of teacher and peer feedback. The findings have implications for English-writing instruction.

  5. Technical writing practically unified through industry

    Science.gov (United States)

    Houston, L. S.

    1981-01-01

    General background details in the development of a university level technical writing program, based upon the writing tasks of the student's occupations, are summarized. Objectives and methods for unifying the courses of study with the needs of industry are discussed. Four academic course divisions, Industries Technologies, in which preparation and training are offered are: Animal, Horticulture, Agriculture, and Agricultural Business. Occupational competence is cited as the main goal for these programs in which technical writing is to be practically unified through industry. Course descriptions are also provided.

  6. Improving report writing by peer assessment using Coursera

    DEFF Research Database (Denmark)

    Christiansen, Henrik Lehrmann

    2015-01-01

    report writing. In the case of report writing active learning could include peer evaluation which is what is investigated in this paper. This paper presents a case study from the Technical University of Denmark. A course on mobile communication was redesigned to include peer evaluation as a tool......Report writing is a general engineering competence and it should therefore be part of any university engineering education to learn how to write a good report. Active leaning methods are well-known to be effective in supporting student learning; hence it should preferably also be used for teaching...... for improving report writing skills. The peer evaluation process was automated using the elearning tool Coursera. What was investigated was the improvement in report writing as well as the consistency and quality of the peer assessed grades....

  7. Longitudinal Relationships of Levels of Language in Writing and between Writing and Reading in Grades 1 to 7

    Science.gov (United States)

    Abbott, Robert D.; Berninger, Virginia W.; Fayol, Michel

    2010-01-01

    Longitudinal structural equation modeling was used to evaluate longitudinal relationships across adjacent grade levels 1 to 7 for levels of language in writing (Model 1, subword letter writing, word spelling, and text composing) or writing and reading (Model 2, subword letter writing and word spelling and reading; Model 3, word spelling and…

  8. A Brief Study of Scoring in Chinese Writing

    Directory of Open Access Journals (Sweden)

    Sofi Zhang

    2013-10-01

    Full Text Available A writing test is a comprehensive test. It not only tests students' vocabulary, grammar, usage of language elements, but also tests their constructional ability, analytical ability, expressional ability, logical reasoning, on a variety of stylistic mastery. This paper tries to describe and summarize the problems on Chinese writing as second language learning with the theories of writing evaluation and the writing evaluation of research results.  

  9. Technology transfer of Cornell university

    International Nuclear Information System (INIS)

    Yoo, Wan Sik

    2010-01-01

    This book introduces technology transfer of Cornell university which deals with introduction of Cornell university, composition of organization and practice of technology transfer : a research contract, research perform, invention report, evaluation and succession of invention, a patent application and management, marketing, negotiation and writing contract, management of contract, compensation, result of technology transfer, cases of success on technical commercialization and daily life of technology transfer center.

  10. Scaffolding Collaborative Technical Writing with Procedural Facilitation and Synchronous Discussion

    Science.gov (United States)

    Yeh, Shiou-Wen; Lo, Jia-Jiunn; Huang, Jeng-Jia

    2011-01-01

    With the advent of computer technology, researchers and instructors are attempting to devise computer support for effective collaborative technical writing. In this study, a computer-supported environment for collaborative technical writing was developed. This system (Process-Writing Wizard) provides process-oriented scaffolds and a synchronous…

  11. Development of the Write Process for Pipeline-Ready Heavy Oil

    Energy Technology Data Exchange (ETDEWEB)

    Lee Brecher; Charles Mones; Frank Guffey

    2009-03-07

    Work completed under this program advances the goal of demonstrating Western Research Institute's (WRI's) WRITE{trademark} process for upgrading heavy oil at field scale. MEG Energy Corporation (MEG) located in Calgary, Alberta, Canada supported efforts at WRI to develop the WRITE{trademark} process as an oil sands, field-upgrading technology through this Task 51 Jointly Sponsored Research project. The project consisted of 6 tasks: (1) optimization of the distillate recovery unit (DRU), (2) demonstration and design of a continuous coker, (3) conceptual design and cost estimate for a commercial facility, (4) design of a WRITE{trademark} pilot plant, (5) hydrotreating studies, and (6) establish a petroleum analysis laboratory. WRITE{trademark} is a heavy oil and bitumen upgrading process that produces residuum-free, pipeline ready oil from heavy material with undiluted density and viscosity that exceed prevailing pipeline specifications. WRITE{trademark} uses two processing stages to achieve low and high temperature conversion of heavy oil or bitumen. The first stage DRU operates at mild thermal cracking conditions, yielding a light overhead product and a heavy residuum or bottoms material. These bottoms flow to the second stage continuous coker that operates at severe pyrolysis conditions, yielding light pyrolyzate and coke. The combined pyrolyzate and mildly cracked overhead streams form WRITE{trademark}'s synthetic crude oil (SCO) production. The main objectives of this project were to (1) complete testing and analysis at bench scale with the DRU and continuous coker reactors and provide results to MEG for process evaluation and scale-up determinations and (2) complete a technical and economic assessment of WRITE{trademark} technology to determine its viability. The DRU test program was completed and a processing envelope developed. These results were used for process assessment and for scaleup. Tests in the continuous coker were intended to

  12. Business Writing in Freshman English.

    Science.gov (United States)

    Larmouth, Donald W.

    1980-01-01

    Suggests incorporating business writing into a freshman English course. Outlines three writing and research assignments: a financial status memorandum, a management analysis report, and an evaluation of applicants for a position at a university. (TJ)

  13. The Word Writing CAFE: Assessing Student Writing for Complexity, Accuracy, and Fluency

    Science.gov (United States)

    Leal, Dorothy J.

    2005-01-01

    The Word Writing CAFE is a new assessment tool designed for teachers to evaluate objectively students' word-writing ability for fluency, accuracy, and complexity. It is designed to be given to the whole class at one time. This article describes the development of the CAFE and provides directions for administering and scoring it. The author also…

  14. The Effect of Speech-to-Text Technology on Learning a Writing Strategy

    Science.gov (United States)

    Haug, Katrina N.; Klein, Perry D.

    2018-01-01

    Previous research has shown that speech-to-text (STT) software can support students in producing a given piece of writing. This is the 1st study to investigate the use of STT to teach a writing strategy. We pretested 45 Grade 5 students on argument writing and trained them to use STT. Students participated in 4 lessons on an argument writing…

  15. Towards a more explicit writing pedagogy: The complexity of teaching argumentative writing

    Directory of Open Access Journals (Sweden)

    Jacqui Dornbrack

    2014-04-01

    Full Text Available Advances in technology, changes in communication practices, and the imperatives of the workplace have led to the repositioning of the role of writing in the global context. This has implications for the teaching of writing in schools. This article focuses on the argumentative essay, which is a high-stakes genre. A sample of work from one Grade 10 student identified as high performing in a township school in Cape Town (South Africa is analysed. Drawing on the work of Ormerod and Ivanic, who argue that writing practices can be inferred from material artifacts, as well as critical discourse analysis, we show that the argumentative genre is complex, especially for novice first additional language English writers. This complexity is confounded by the conflation of the process and genre approaches in the Curriculum and Assessment Policy Statement (CAPS document. Based on the analysis we discuss the implications of planning, particularly in relation to thinking and reasoning, the need to read in order to write argument and how social and school capital are insufficient without explicit instruction of the conventions of this complex genre. These findings present some insights into particular input needed to improve writing pedagogy for specific genres.

  16. Commentary: Writing and Evaluating Qualitative Research Reports.

    Science.gov (United States)

    Wu, Yelena P; Thompson, Deborah; Aroian, Karen J; McQuaid, Elizabeth L; Deatrick, Janet A

    2016-06-01

    To provide an overview of qualitative methods, particularly for reviewers and authors who may be less familiar with qualitative research. A question and answer format is used to address considerations for writing and evaluating qualitative research. When producing qualitative research, individuals are encouraged to address the qualitative research considerations raised and to explicitly identify the systematic strategies used to ensure rigor in study design and methods, analysis, and presentation of findings. Increasing capacity for review and publication of qualitative research within pediatric psychology will advance the field's ability to gain a better understanding of the specific needs of pediatric populations, tailor interventions more effectively, and promote optimal health. © The Author 2016. Published by Oxford University Press on behalf of the Society of Pediatric Psychology. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  17. MINERGY CORPORATION GLASS FURNACE TECHNOLOGY EVALUATION: INNOVATION TECHNOLOGY EVALUATION REPORT

    Science.gov (United States)

    This report presents performance and economic data for a U.S. Environmental Protection Agency (EPA) Superfund Innovative Technology Evaluation (SITE) Program demonstration of the Minergy Corporation (Minergy) Glass Furnace Technology (GFT). The demonstration evaluated the techno...

  18. The Evolution of a Writing Program.

    Science.gov (United States)

    White, Bonnie J; Lamson, Karen S

    2017-07-01

    Scholarly writing is required in nursing, and some students are unable to communicate effectively through writing. Faculty members may struggle with the grading of written assignments. A writing team, consisting of a nursing faculty member, the school of nursing library liaison, and members from academic support services, implemented strategies including workshops, handouts, and use of exemplars to improve student writing and to provide support to faculty. Few students sought help from the writing team. An online writing center within the existing learning management system was developed to address nursing students' and faculty's scholarly writing needs. The writing center includes guides, tutorials, and exemplars. Anecdotal evidence indicates the use of the writing center during afternoons and evenings and prior to due dates of written assignments. Online writing resources were used more frequently than face-to-face support. Further research is needed to evaluate the effectiveness of the program. [J Nurs Educ. 2017;56(7):443-445.]. Copyright 2017, SLACK Incorporated.

  19. Writing on Pigments in Natural History and Art Technology in Sixteenth-Century Germany and Switzerland.

    Science.gov (United States)

    Oltrogge, Doris

    2015-01-01

    Renaissance painters used a number of inorganic color materials. The development of mineralogy as a discipline opened a new discourse on mineral pigments. Agricola and other naturalists were familiar with the contemporary writings on art technology, but their focus was different. Therefore, the exchange of knowledge between these two color worlds remained selective. One possible meeting point was the Kunstkammer where the study of natural objects and materials was combined with an interest in the manual execution of a painting.

  20. Potential of Mobile Learning in Teaching of ESL Academic Writing

    Science.gov (United States)

    Zaki, Arlina Ahmad; Yunus, Melor Md

    2015-01-01

    The potentials of mobile learning in teaching academic writing skills for ESL students are explored in this paper. Although there have been studies on MALL to improve writing skills, academic writing was never really touched. Few aspects are covered like the changes in educational technology, defining MALL, identifying issues in academic writing…

  1. The Nevada Proficiency Examination Program: Evaluating the Writing Samples.

    Science.gov (United States)

    Howard, Edward H.

    Writing tests are part of the mandated statewide proficiency examination in Nevada. The ninth-grade screening test and the eleventh-grade diploma-certifying test require that a student write a paragraph and a business letter, each on an assigned topic and each at an acceptable level of proficiency. Pilot tests, extended discussion, and statistical…

  2. Kindergarten Predictors of Third Grade Writing

    Science.gov (United States)

    Kim, Young-Suk; Al Otaiba, Stephanie; Wanzek, Jeanne

    2015-01-01

    The primary goal of the present study was to examine the relations of kindergarten transcription, oral language, word reading, and attention skills to writing skills in third grade. Children (N = 157) were assessed on their letter writing automaticity, spelling, oral language, word reading, and attention in kindergarten. Then, they were assessed on writing in third grade using three writing tasks – one narrative and two expository prompts. Children’s written compositions were evaluated in terms of writing quality (the extent to which ideas were developed and presented in an organized manner). Structural equation modeling showed that kindergarten oral language and lexical literacy skills (i.e., word reading and spelling) were independently predicted third grade narrative writing quality, and kindergarten literacy skill uniquely predicted third grade expository writing quality. In contrast, attention and letter writing automaticity were not directly related to writing quality in either narrative or expository genre. These results are discussed in light of theoretical and practical implications. PMID:25642118

  3. Google Docs as a Tool for Collaborative Writing in the Middle School Classroom

    Directory of Open Access Journals (Sweden)

    Yanan Fan

    2017-10-01

    Full Text Available Aim/Purpose: In this study, the authors examine how an online word processing tool can be used to encourage participation among students of different language back-grounds, including English Language Learners. To be exact, the paper discusses whether student participation in anonymous collaborative writing via Google Docs can lead to more successful products in a linguistically diverse eighth-grade English Language Arts classroom. Background: English Language Learners (ELLs make up a considerable portion of elementary and secondary public school students, as language and ethnic diversity has become the norm in the United States. The research literature finds that ELLs are statistically behind their monolingual peers on such key language and academic development indicators as writing. Educators and researchers then turn to collaborative writing with the assistance of online technology. Although it is shown in literature to be a worthwhile endeavor for students of all ages and ability levels, no studies have investigated the differences it makes, namely, in comparison to traditional face-to-face collaboration in the classroom, and to anonymous online collaboration in the virtual space. Methodology: Through face-to-face, online, and anonymous writing activities, a rubric, and a survey, this quantitative study asks if anonymous collaborative writing, com-pared to other modalities, equalizes participation among students of varying language fluencies, and if anonymous collaborative writing, compared to other modalities, affect student comfort levels. Contribution: This builds on research of online collaborative writing tools and suggests that using such tools (Google Docs in particular is beneficial, especially for students who are building their language abilities. The study further reveals varied degree of success and student comfort level in participating writing tasks in three modalities. Findings: We ascertain that students of varying language

  4. Highlighting professional writing : on screen note-taking as part of writing from sources by professionals

    NARCIS (Netherlands)

    Melenhorst, M.S.

    2006-01-01

    Professionals in organizations often make use of source material in order to write an evaluation, a report or a recommendation. This task, also known as writing-from-sources, is increasingly being performed, either totally or partially, in a digital environment. Reasons for this could be that the

  5. Facilitating Interactivity in an Online Business Writing Course.

    Science.gov (United States)

    Mabrito, Mark

    2001-01-01

    Suggests ways of developing an online business writing course that uses technology to simulate features of the face-to-face classroom and that achieves an interactive learning experience for students. Uses the author's online business writing class as an example of one which manages to simulate, through the judicious use of software, the…

  6. Comprehension and Writing Strategy Training Improves Performance on Content-Specific Source-Based Writing Tasks

    Science.gov (United States)

    Weston-Sementelli, Jennifer L.; Allen, Laura K.; McNamara, Danielle S.

    2018-01-01

    Source-based essays are evaluated both on the quality of the writing and the content appropriate interpretation and use of source material. Hence, composing a high-quality source-based essay (an essay written based on source material) relies on skills related to both reading (the sources) and writing (the essay) skills. As such, source-based…

  7. Defining "Good Writing": A Cross-Cultural Perspective.

    Science.gov (United States)

    Reichelt, Melinda

    2003-01-01

    Compares evaluation criteria for writing held in two contexts; explores the criteria used to evaluate English-language student writing by German and United States secondary school teachers; and compares the rank ordering of three essays given by teachers from these three groups. Presents results that have important implications for writing…

  8. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  9. Meta-Cognitive Awareness of Writing Strategy Use among Iranian EFL Learners and Its Impact on Their Writing Performance

    Directory of Open Access Journals (Sweden)

    Muhammad Azizi

    2017-03-01

    Full Text Available It is believed that by improving students’ meta-cognitive awareness of elements of language, learning can be enhanced. Therefore, this study consisted of two main objectives. First, it aimed at examining meta-cognitive awareness of writing strategy use among Iranian EFL learners. Using a Friedman test to check if there was any significant difference among the participants in their use of writing strategies, it was found that the differences among the strategies were not significant. The second objective of the study was to examine the impact of the participants’ meta-cognitive awareness of writing strategy use on their L2 writing performance. This was answered using two statistical techniques, namely Pearson correlation and Multiple Regression. Using Pearson Correlation, it was found that there was a significant relationship between writing performance and all writing strategy categories (planning, monitoring, evaluation, and self-awareness. Moreover, using Multiple Regression, it was found that the p–value was significant only for evaluation strategy category, but not for the rest. That is, it was found that strategy categories such as planning, monitoring, and self-awareness did not predict students’ writing performance. The result of this study responds to the ongoing problems students have in their meta-cognitive awareness of writing strategy use which can contribute to raising proficiency levels in shorter time frames.

  10. Teaching technical writing in multilingual contexts: a meta-analysis

    NARCIS (Netherlands)

    Winberg, Christine; van der Geest, Thea; Lehman, Barbara; Nduna, Joyce

    2010-01-01

    Teachers of technical and professional writing in Science, Engineering and Technology (SET) Programmes need to understand the particular needs and social contexts of students for whom English is not a first language. The focus of this paper is on technical writing, and the paper presents the

  11. Writing to Learn and Learning to Write across the Disciplines: Peer-to-Peer Writing in Introductory-Level MOOCs

    Directory of Open Access Journals (Sweden)

    Denise K. Comer

    2014-11-01

    Full Text Available This study aimed to evaluate how peer-to-peer interactions through writing impact student learning in introductory-level massive open online courses (MOOCs across disciplines. This article presents the results of a qualitative coding analysis of peer-to-peer interactions in two introductory level MOOCs: English Composition I: Achieving Expertise and Introduction to Chemistry. Results indicate that peer-to-peer interactions in writing through the forums and through peer assessment enhance learner understanding, link to course learning objectives, and generally contribute positively to the learning environment. Moreover, because forum interactions and peer review occur in written form, our research contributes to open distance learning (ODL scholarship by highlighting the importance of writing to learn as a significant pedagogical practice that should be encouraged more in MOOCs across disciplines.

  12. Reticles, write time, and the need for speed

    Science.gov (United States)

    Ackmann, Paul W.; Litt, Lloyd C.; Ning, Guo Xiang

    2014-10-01

    Historical data indicates reticle write times are increasing node-to-node. The cost of mask sets is increasing driven by the tighter requirements and more levels. The regular introduction of new generations of mask patterning tools with improved performance is unable to fully compensate for the increased data and complexity required. Write time is a primary metric that drives mask fabrication speed. Design (Raw data) is only the first step in the process and many interactions between mask and wafer technology such as OPC used, OPC efficiency for writers, fracture engines, and actual field size used drive total write time. Yield, technology, and inspection rules drive the remaining raw cycle time. Yield can be even more critical for speed of delivery as it drives re-writes and wasted time. While intrinsic process yield is important, repair capability is the reason mask delivery is still able to deliver 100% good reticles to the fab. Advanced nodes utilizing several layers of multiple patterning may require mask writer tool dedication to meet image placement specifications. This will increase the effective mask cycle time for a layer mask set and drive the need for additional mask write capability in order to deliver masks at the rate required by the wafer fab production schedules.

  13. Should Scientists Be Involved in Teaching Science Writing and If So, How?

    Science.gov (United States)

    Goodell, Rae

    Realizing the importance of writing skills in communicating with other professionals and in educating the public, scientists and scientific institutions have renewed their interest in the writing education of science students. Informal surveys show that technological and engineering schools are reinstituting writing requirements and staffing the…

  14. A One-Day Dental Faculty Workshop in Writing Multiple-Choice Questions: An Impact Evaluation

    NARCIS (Netherlands)

    AlFaris, E.; Naeem, N; Irfan, F.; Qureshi, R.; Saad, H.; Sadhan, R. Al; Abdulghani, H.M.; Vleuten, C. van der

    2015-01-01

    Long training workshops on the writing of exam questions have been shown to be effective; however, the effectiveness of short workshops needs to be demonstrated. The aim of this study was to evaluate the impact of a one-day, seven-hour faculty development workshop at the College of Dentistry, King

  15. Students' Evaluation of Writing Assignments in an Abnormal Psychology Course.

    Science.gov (United States)

    Procidano, Mary E.

    1991-01-01

    Presents a study in which students in an abnormal psychology class rated the usefulness of drafts for two writing assignments. Reports that a research proposal was more effective than a case study in generating interest in psychology and opportunity for creativity. Concludes that writing assignments should reflect important aspects of a…

  16. Utility in a Fallible Tool: A Multi-Site Case Study of Automated Writing Evaluation

    Science.gov (United States)

    Grimes, Douglas; Warschauer, Mark

    2010-01-01

    Automated writing evaluation (AWE) software uses artificial intelligence (AI) to score student essays and support revision. We studied how an AWE program called MY Access![R] was used in eight middle schools in Southern California over a three-year period. Although many teachers and students considered automated scoring unreliable, and teachers'…

  17. Holistic versus Analytic Evaluation of EFL Writing: A Case Study

    Science.gov (United States)

    Ghalib, Thikra K.; Al-Hattami, Abdulghani A.

    2015-01-01

    This paper investigates the performance of holistic and analytic scoring rubrics in the context of EFL writing. Specifically, the paper compares EFL students' scores on a writing task using holistic and analytic scoring rubrics. The data for the study was collected from 30 participants attending an English undergraduate program in a Yemeni…

  18. The Effect of Dialogue Journal Writing on EFL Learners' Descriptive Writing Performance: A Quantitative Study

    Directory of Open Access Journals (Sweden)

    Ali Dabbagh

    2017-03-01

    Full Text Available This study sought to evaluate the effect of dialogue journal writing on writing performance as well as its different sub-components, namely content, organization, vocabulary, language use, and mechanics (Following Polio, 2013. Participants were 84 EFL intermediate learners who were selected based on their performance on Oxford Quick Placement Test (2004 and divided randomly into experimental and control groups. While the participants in the control group took part in descriptive writing pre and post-tests only, their counterparts in experimental group were asked to write 3 journals a week for about 6 months in the period between the pre- and post-tests. The instructor of the experimental group provided feedback to each journal entry mostly on its content and message to which the participants replied in a dialogic manner. Results of independent sample t-test located a significant difference between the experimental and control group regarding the overall writing performance, as well as the sub-components of content, organization, and vocabulary in the post-test. However, the obtained results did not reveal a significant effect of dialogue journal writing on language use and mechanics of writing performance. The results which promise implications for writing instructors, curriculum developers, and material designers are fully discussed.

  19. Evaluative conditioning of food technologies

    DEFF Research Database (Denmark)

    Loebnitz, Natascha; Grunert, Klaus G

    2015-01-01

    Consumer attitudes play an important role in the acceptance of new technologies. The success of food innovations depends on understanding how consumers form and change attitudes toward food technologies. Earlier post hoc explanations suggest that evaluative conditioning can change consumer...... attitudes toward food technologies. The present study tests how evaluative conditioning can affect consumer acceptance of new food technologies. Furthermore, authors investigate whether evaluative conditioning is resistant to extinction after a two-month period and whether the evaluative conditioning effect...... prevails in a product-related context. Within an evaluative conditioning paradigm including between-subjects control groups in addition to standard within-subjects control conditions, participants were presented with three food technologies (conventional, enzyme, and genetic technology) paired...

  20. The Development of Writing Learning Model Based on the Arces Motivation for Students of Senior High School

    Directory of Open Access Journals (Sweden)

    Andreas Kosasih

    2014-08-01

    Full Text Available This research obtains some of the findings which in a word can be described as follows: (1 the step of Introduction (exploration: through study library and observation, it can be found that the quality of writing learning and the need of a better writing learning model, and it is formulated the prototype of writing learning model based on the ARCES motivation, serta dirumuskan prototipe model pembelajaran menulis berbasis motivasi ARCES after the draft is validated by the Indonesian language experts and education technology experts. (2 The step of model development: through development of preliminary model and development of  main model and after it is done by  monitoring, evaluation, focus group discussion and revision, then it is produced a better writing learning model based on ARCES motivation. (3 The step of model effectiveness examination: through pre-test, treatment, and post-test which is produced writing learning model  based on ARCES motivation. From the effectiveness test result of model, it can be concluded that writing learning based on ARCES motivation is more effective (in average value of post test is 83,94 than writing learning conventionally (in average value of post-test is 75,79.

  1. Examining pre-service teacher views on the implementation of screen-based writing instruction

    Directory of Open Access Journals (Sweden)

    Mehmet Tok

    2015-03-01

    Full Text Available Today, as new technological developments continue to emerge, education, like many other fields, is going through major changes. Technological developments are causing changes to many common concepts. In particular, studies that benefit from technology in the field of education are becoming increasingly widespread, opening the door for the emergence of new teaching methods by abandoning traditional ones. New technologies, and computers in particular, can benefit the teaching of writing, the most complex of the four basic language skills (reading, writing, speaking, and listening. This study aims to explore pre-service teachers' views on screen-based writing practices via a course they attended. A qualitative case study method (holistic single-case design was employed to explore pre-service teachers’ views. The study participants were selected using a purposeful sampling method among 4th year students majoring in Turkish Language Teaching at a major state university. The study group consisted of sixty-two pre-service teachers who were enrolled in the “Written Expression” I and II courses in the 2013-2014 education year. The study was conducted both in Fall and Spring semesters. All writing activities were conducted in a digital environment. The study results revealed that a majority (77% of the pre-service teachers favored continuation of the screen-based writing instruction. The study supported that digital literacy is important and the advantages of screen-based writing instruction outweighed its disadvantages. Screen-based writing activities should be integrated into the courses and instruction materials of pre-service Turkish teachers’ education programs.

  2. Evaluating New Technology.

    Science.gov (United States)

    Carniol, Paul J; Heffelfinger, Ryan N; Grunebaum, Lisa D

    2018-05-01

    There are multiple complex issues to consider when evaluating any new technology. First evaluate the efficacy of the device. Then considering your patient population decide whether this technology brings an added benefit to your patients. If it meets these 2 criteria, then proceed to the financial analysis of acquiring this technology. The complete financial analysis has several important components that include but are not limited to cost, value, alternatives, return on investment, and associated marketing expense. Copyright © 2018 Elsevier Inc. All rights reserved.

  3. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  4. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  5. Progress in the development of the write process

    Energy Technology Data Exchange (ETDEWEB)

    Guffey, F.D.; Fahy, J.; Worman, D.; Lowry, C.; Mones, C. [Western Research Inst., Laramie, WY (United States); Corscadden, T.; Diduch, G. [MEG Energy Corp., Calgary, AB (Canada)

    2009-07-01

    This presentation described the commercialization of a field deployable upgrader developed by the Western Research Institute (WRI). The WRI Thermal Enhancement (WRITE) process was first tested in a 1-bbl/day bench scale unit. Based on the results of the testing program, a 5 bbl/day WRITE Process pilot plant was designed and built. It is currently in operation at WRI's Advanced Technology Center in Laramie, Wyoming. The 5 bbl/day WRITE Process pilot plant includes a 5 bbl/day distillate recovery unit (DRU) and a continuous coker that receives the produced bottoms. The DRU recovers diluent for recycling. The bitumen undergoes thermal conversion in the WRITE reactor to produce a pipeline quality product. The pyrolyzate produced by the coker is blended with the DRU to produce a synthetic crude oil (SCO) that is pipelined to existing refineries. Studies are currently underway at the pilot plant using dilbit produced at EMG's Christina Lake site. This presentation described the experimental program that is in progress. It also discussed the commercial viability of the technology for producing a pipeline quality product. tabs., figs.

  6. Importance of Technical Writing in Engineering Education

    Science.gov (United States)

    Narayanan, M.

    2010-12-01

    ., Grasha, A., & Richlin, L. (1997, March). Town meeting. Between teaching model and learning model: Adapting and adopting bit by bit. Paper presented at the ninth annual Lilly Conference on College and University Teaching - West, Lake Arrowhead, CA. Narayanan, Mysore (2009). Assessment Based on the principles of Theodore Marchese. ASEE 116th Annual Conference and Exposition, Austin, TX. June 14-17, 2009. Paper # AC 2009-1532. Saxe, S. (1990, June). Peer influence and learning. Training and Development Journal, 42 (6), 50-53. Senge, P. M. (1990). The fifth discipline: The art and practice of the learning organization. New York: Currency Doubleday. Sims, R. R. (1992, Fall). Developing the learning climate in public sector training programs. Public Personnel Management, 21 (3), 335-346. Kosakowski, John, (1998). The Benefits of Information Technology. ERIC Digests; Technology Integration; Technology Role, ED0-IR-98-04 Chaika, Gloria (2000), Encourage Student Writing: Published on the Web, Education World http://www.education-world.com/a_tech/tech042.shtml

  7. Soil washing technology evaluation

    International Nuclear Information System (INIS)

    Suer, A.

    1995-04-01

    Environmental Restoration Engineering (ERE) continues to review innovative, efficient, and cost effective technologies for SRS soil and/or groundwater remediation. As part of this effort, this technical evaluation provides review and the latest information on the technology for SRS soil remediation. Additional technology evaluation reports will be issued periodically to update these reports. The purpose of this report is to review the soil washing technology and its potential application to SRS soil remediation. To assess whether the Soil Washing technology is a viable option for SRS soil remediation, it is necessary to review the technology/process, technology advantages/limitations, performance, applications, and cost analysis

  8. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  9. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  10. Student perceptions of writing projects in a university differential-equations course

    Science.gov (United States)

    Latulippe, Christine; Latulippe, Joe

    2014-01-01

    This qualitative study surveyed 102 differential-equations students in order to investigate how students participating in writing projects in university-level mathematics courses perceive the benefits of writing in the mathematics classroom. Based on previous literature on writing in mathematics, students were asked specifically about the benefits of writing projects as a means to explore practical uses of mathematics, deepen content knowledge, and strengthen communication. Student responses indicated an awareness of these benefits, supporting justifications commonly cited by instructors assigning writing projects. Open-ended survey responses highlighted additional themes which students associated with writing in mathematics, including using software programs and technology, working in groups, and stimulating interest in mathematics. This study provides student feedback to support the use of writing projects in mathematics, as well as student input, which can be utilized to strengthen the impact of writing projects in mathematics.

  11. Laser writing of coherent colour centres in diamond

    Science.gov (United States)

    Chen, Yu-Chen; Salter, Patrick S.; Knauer, Sebastian; Weng, Laiyi; Frangeskou, Angelo C.; Stephen, Colin J.; Ishmael, Shazeaa N.; Dolan, Philip R.; Johnson, Sam; Green, Ben L.; Morley, Gavin W.; Newton, Mark E.; Rarity, John G.; Booth, Martin J.; Smith, Jason M.

    2017-02-01

    Optically active point defects in crystals have gained widespread attention as photonic systems that could be applied in quantum information technologies. However, challenges remain in the placing of individual defects at desired locations, an essential element of device fabrication. Here we report the controlled generation of single negatively charged nitrogen-vacancy (NV-) centres in diamond using laser writing. Aberration correction in the writing optics allows precise positioning of the vacancies within the diamond crystal, and subsequent annealing produces single NV- centres with a probability of success of up to 45 ± 15%, located within about 200 nm of the desired position in the transverse plane. Selected NV- centres display stable, coherent optical transitions at cryogenic temperatures, a prerequisite for the creation of distributed quantum networks of solid-state qubits. The results illustrate the potential of laser writing as a new tool for defect engineering in quantum technologies, and extend laser processing to the single-defect domain.

  12. Observation of peers in learning to write: Practice and research

    Directory of Open Access Journals (Sweden)

    Rijlaarsdam, Gert

    2008-01-01

    Full Text Available Elke Van Steendam, Anne Toorenaar,Journal of Writing Research 1(1, 53-83In this paper we discuss the role of observation in learning to write. We argue that the acquisition of skill in such a complex domain as writing relies on observation, the classical imitatio. An important phase in learning to write, at all ages, is learning to write by observing and evaluating relevant processes: writing processes, reading processes or communication processes between writers and readers.First, we present two practical cases: writing lessons in which observation and inquiry are amongst other key elements and where students participate in a community of learners. Then, we review research that may inspire and substantiate proposals for implementing observation as a learning activity in writing education. Two types of studies are discussed: studies in which learners acquire strategies by observing and evaluating writing and reading processes of peers, as a prewriting instructional activity, and studies in which learners are stimulated to 'pre-test' and then revise their first draft, as a post writing instructional activity. The paper closes with some recommendations for further research.

  13. Framework for Students’ Online Collaborative Writing

    DEFF Research Database (Denmark)

    Sørensen, Birgitte Holm; Levinsen, Karin Tweddell; Holm, Madeleine Rygner

    2016-01-01

    The paper focuses on collaborative writing in Google Docs and presents a framework for how students can develop methods for collaborations that include human and non-human actors. The paper is based on the large-scale research and development project Students’ Digital Production and Students...... shows that teachers do not introduce or refer the students to online collaborative strategies, roles or communications. The students’ online collaborative writing is entirely within the students’ domain. On this basis, the paper focuses on how teachers’ awareness and articulation of the students’ online...... collaborative writing within a framework can qualify students´ methods to collaborate online with the intention to improve their learning results. In relation to this, the paper explores how digital technologies may act as co-participants in collaboration, production and reflection. Moreover, the framework...

  14. Evaluating Technology Transfer and Diffusion.

    Science.gov (United States)

    Bozeman, Barry; And Others

    1988-01-01

    Four articles discuss the evaluation of technology transfer and diffusion: (1) "Technology Transfer at the U.S. National Laboratories: A Framework for Evaluation"; (2) "Application of Social Psychological and Evaluation Research: Lessons from Energy Information Programs"; (3) "Technology and Knowledge Transfer in Energy R and D Laboratories: An…

  15. Partners in Crime: Integrating Forensic Science and Writing

    Science.gov (United States)

    Hein, Erik

    2006-01-01

    Forensic science lends itself to many academic areas. Aside from the science itself, writing plays a major role in the investigation process as well as in the courtroom. It is paramount that students learn how to write proficiently when recording results or writing evaluations and reports, just as forensic scientists do. This can also be done…

  16. Business plan writing for physicians.

    Science.gov (United States)

    Cohn, Kenneth H; Schwartz, Richard W

    2002-08-01

    Physicians are practicing in an era in which they are often expected to write business plans in order to acquire, develop, and implement new technology or programs. This task is yet another reminder of the importance of business principles in providing quality patient care amid allocation of increasingly scarce resources. Unfortunately, few physicians receive training during medical school, residencies, or fellowships in performing such tasks. The process of writing business plans follows an established format similar to writing a consultation, in which the risks, benefits, and alternatives to a treatment option are presented. Although administrative assistance may be available in compiling business plans, it is important for physicians to understand the rationale, process, and pitfalls of business planning. Writing a business plan will serve to focus, clarify, and justify a request for scarce resources, and thus, increase its chance of success, both in terms of funding and implementation. A well-written business plan offers a plausible, coherent story of an uncertain future. Therefore, a business plan is not merely an exercise to obtain funding but also a rationale for investment that can help physicians reestablish leadership in health care.

  17. Persuasive Writing with Mobile-Based Graphic Organizers in Inclusive Classrooms across the Curriculum

    Science.gov (United States)

    Regan, Kelley; Evmenova, Anya S.; Good, Kevin; Legget, Alicia; Ahn, Soo Y.; Gafurov, Boris; Mastropieri, Margo

    2018-01-01

    As writing instruction expands beyond the language arts classroom, students with disabilities, English language learners, and others who struggle with writing continue to need support with written expression. A timely practice to support student writing is the use of technology. This study used a quasi-experimental group design to examine the…

  18. An Investigation into the Methods of Teaching Creative English Writing

    Directory of Open Access Journals (Sweden)

    Anastasiia Riabokrys

    2016-08-01

    Full Text Available The article is devoted to the actual problem of teaching creative writing at the English lessons. The value of writing in the process of teaching English language is revealed. The principles and peculiarities of evaluation of creative writing are analyzed. The strategy of choosing methods in teaching creative writing is identified. The benefits of creative writing for learner and teachers are considered.

  19. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  20. How we may think: Imaging and writing technologies across the history of the neurosciences.

    Science.gov (United States)

    Borck, Cornelius

    2016-06-01

    In the neurosciences, two alternative regimes of visualization can be differentiated: anatomical preparations for morphological images and physiological studies for functional representations. Adapting a distinction proposed by Peter Galison, this duality of visualization regimes is analyzed here as the contrast between an imaging and a writing approach: the imaging approach, focusing on mimetic representations, preserving material and spatial relations, and the writing approach as used in physiological studies, retaining functional relations. After a dominance of morphological images gathering iconic representations of brains and architectural brain theories, the advent of electroencephalography advanced writing approaches with their indexical signs. Addressing the brain allegedly at its mode of operation, electroencephalography was conceived as recording the brain's intrinsic language, extending the writing approach to include symbolic signs. The availability of functional neuroimaging signaled an opportunity to overcome the duality of imaging and writing, but revived initially a phrenological conflation of form and function, suppressing the writing approach in relation to imaging. More sophisticated visualization modes, however, converted this reductionism to the ontological productivity of social neuroscience and recuperated the theorizing from the writing approach. In light of the ongoing instrumental mediations between brains, data and theories, the question of how we may think, once proposed by Vannevar Bush as a prospect of enhanced human-machine interaction, has become the state of affairs in the entanglements of instruments and organic worlds. Copyright © 2016 Elsevier Ltd. All rights reserved.

  1. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  2. DEVELOPING WRITING ABILITIES OF EFL STUDENTS THROUGH BLOGGING

    Directory of Open Access Journals (Sweden)

    Orachorn KITCHAKARN

    2014-10-01

    Full Text Available Due to a rapid development and expansion of technology and, as a result, Web 2.0 technologies are providing both teachers and learners with new solutions to the limitations of traditional method in the field of language teaching and learning. The research compared students’ writing ability before and after they were taught through blog, a new medium or tool for written communication and interaction in many different languages around the world. The research design is a kind of one group pretest posttest. Participants were 35 first-year students. They were divided into eight groups. Four or five students in each group created a blog, www.blogger.com, and they worked together for twelve weeks to produce six pieces of writing assignments. Each member in the group worked through providing comments, editing and revising on the blog until the group got a final writing and submitted that to the teacher for grading. The instruments used in this study were: Ø two writing tests Ø a questionnaire surveying students’ attitude toward learning through blogs, and Ø postings on blogs to reflect their learning experiences. The results revealed that after the students worked together on weblogs, their English writing mean score of the posttest was higher than that of the pretest, and they had positive attitudes towards using weblogs in learning. Regarding cooperative learning experiences through using weblogs, most students thought that it was interesting, a new experience to work with their friends on the weblogs.

  3. Writing for publication in medical education: the benefits of a faculty development workshop and peer writing group.

    Science.gov (United States)

    Steinert, Yvonne; McLeod, Peter J; Liben, Stephen; Snell, Linda

    2008-01-01

    Although educational innovations in medical education are increasing in number, many educators do not submit their ideas for publication. The goal of this initiative was to assist faculty members write about their educational innovations. Twenty-four faculty members participated in this intervention, which consisted of a half-day workshop, three peer writing groups, and independent study. We assessed the impact of this intervention through post-workshop evaluations, a one-year follow-up questionnaire, tracking of manuscript submissions, and an analysis of curriculum vitae. The workshop evaluations and one-year follow-up demonstrated that participants valued the workshop small groups, self-instructional workbook, and peer support and feedback provided by the peer writing groups. One year later, nine participants submitted a total of 14 manuscripts, 11 of which were accepted for publication. In addition, 10 participants presented a total of 38 abstracts at educational meetings. Five years later, we reviewed the curriculum vitae of all participants who had published or presented their educational innovation. Although the total number of publications remained the same, the number of educationally-related publications and presentations at scientific meetings increased considerably. A faculty development workshop and peer writing group can facilitate writing productivity and presentations of scholarly work in medical education.

  4. Triangulating Teacher Perception, Classroom Observations, and Student Work to Evaluate Secondary Writing Programs

    Science.gov (United States)

    Henderson, Daphne Carr; Rupley, William H.; Nichols, Janet Alys; Nichols, William Dee; Rasinski, Timothy V.

    2018-01-01

    Current professional development efforts in writing at the secondary level have not resulted in student improvement on large-scale writing assessments. To maximize funding resources and instructional time, school leaders need a way to determine professional development content for writing teachers that aligns with specific student outcomes. The…

  5. Technical Writing 1987: Galloping Off in at Least Two Directions.

    Science.gov (United States)

    Stohrer, Freda F.

    Technical writing instructors generally agree about the absolute need for communication skills throughout the technological work place, but a survey of technical writing journal articles shows a lack of focus on ways to address business's needs for on-the-job literacy. One major advance within the profession in recent years has been the…

  6. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  7. Utilising Social Networking Sites to Improve Writing: A Case Study with Chinese Students in Malaysia

    Science.gov (United States)

    Vikneswaran, Thulasi; Krish, Pramela

    2016-01-01

    With the advancement of technology, writing in English is no longer confined to the classroom as nowadays students are exposed to various forms of writing on the Internet. Specifically with Generation Y in mind, online writing is a new method that needs to be implemented to enhance Malaysian students' writing skills. This article aims at…

  8. Using Tracking Software for Writing Instruction

    Science.gov (United States)

    Yagi, Sane M.; Al-Salman, Saleh

    2011-01-01

    Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product;…

  9. Evaluation of effects of different treatments for the wrist joints of subdominant hands using joint proprioception and writing time.

    Science.gov (United States)

    Hu, Chunying; Huang, Qiuchen; Yu, Lili; Hu, Yue; Rongming, Xia; Li, Zhou; Xiaojiao, Fu; Gu, Rui; Cui, Yao; Ge, Meng; Xu, Yanfeng; Liu, Jianfeng

    2016-05-01

    [Purpose] The purpose of this study was to examine immediate effects of strength training and NJF distal resistance training in wrist joints by using writing time and evaluation of proprioception using the JPE test. [Subjects and Methods] The subjects were 12 young healthy people (24.2 ± 3.1 y, 169.7 ± 6.5 cm, 65.3 ± 12.6 kg). Two isotonic contraction techniques were applied on the wrist joint: wrist joint extension muscle strength training (MST) and the wrist joint extension pattern of NJF. The uppercase English alphabet writing time and joint position errors of the left upper limb were measured before and after one intervention session of MST and NJF. [Results] The decrease in errors in wrist extension angle repetition and the writing time represented the improvement resulting from NJF. [Conclusion] This result suggests that the subdominant hands wrist joint proprioception and writing function can be improved by NJF together with proximal resistance training.

  10. Evaluation of a computer-based prompting intervention to improve essay writing in undergraduates with cognitive impairment after acquired brain injury.

    Science.gov (United States)

    Ledbetter, Alexander K; Sohlberg, McKay Moore; Fickas, Stephen F; Horney, Mark A; McIntosh, Kent

    2017-11-06

    This study evaluated a computer-based prompting intervention for improving expository essay writing after acquired brain injury (ABI). Four undergraduate participants aged 18-21 with mild-moderate ABI and impaired fluid cognition at least 6 months post-injury reported difficulty with the writing process after injury. The study employed a non-concurrent multiple probe across participants, in a single-case design. Outcome measures included essay quality scores and number of revisions to writing counted then coded by type using a revision taxonomy. An inter-scorer agreement procedure was completed for quality scores for 50% of essays, with data indicating that agreement exceeded a goal of 85%. Visual analysis of results showed increased essay quality for all participants in intervention phase compared with baseline, maintained 1 week after. Statistical analyses showed statistically significant results for two of the four participants. The authors discuss external cuing for self-monitoring and tapping of existing writing knowledge as possible explanations for improvement. The study provides preliminary evidence that computer-based prompting has potential to improve writing quality for undergraduates with ABI.

  11. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  12. Writing Skill and Categorical Error Analysis: A Study of First Year Undergraduate University Students

    Directory of Open Access Journals (Sweden)

    Adnan Satariyan

    2014-09-01

    Full Text Available Abstract This study identifies and analyses the common errors in writing skill of the first year students of Azad University of South Tehran Branch in relation to their first language (L1, the type of high school they graduated, and their exposure to media and technology in order to learn English. It also determines the categories in which the errors are committed (content, organisation/discourse, vocabulary, mechanics, or syntax and whether or not there is a significant difference in the percentage of errors committed and these categories. Participants of this study are 190 first year students that are asked to write an essay. An error analysis model adapted from Brown (2001 and Gayeta (2002 is then used to evaluate the essay writings in terms of content, organisation, vocabulary, mechanics, and syntax or language use. The results of the study show that the students have greater difficulties in organisation, content, and vocabulary and experience less difficulties in mechanics and syntax.

  13. 76 FR 30696 - Technology Evaluation Process

    Science.gov (United States)

    2011-05-26

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... (DOE) seeks comments and information related to a commercial buildings technology evaluation process... evaluation efforts. The goal of creating this standard process is to evaluate energy-saving technologies in a...

  14. Writing to the Common Core: Teachers' Responses to Changes in Standards and Assessments for Writing in Elementary Schools

    Science.gov (United States)

    Wilcox, Kristen Campbell; Jeffery, Jill V.; Gardner-Bixler, Andrea

    2016-01-01

    This multiple case study investigated how the Common Core State Standards (CCSS) for writing and teacher evaluation system based in part on CCSS assessments might be influencing writing instruction in elementary schools. The sample included nine schools: Six achieved above-predicted performance on English Language Arts (ELA) as well as prior ELA…

  15. Improving Undergraduates’ Argumentative Group Essay Writing through Self-assessment

    Directory of Open Access Journals (Sweden)

    Yong Mei Fung

    2015-10-01

    Full Text Available When writing an argumentative essay, writers develop and evaluate arguments to embody, initiate, or simulate various kinds of interpersonal and textual interaction for reader consideration (Wu & Allison, 2003. This is quite challenging for English as a second language (ESL learners. To improve the quality of their writing, students need to review their draft throughout the writing process. This study aimed to investigate the effect of self-assessment in group writing and how group work improves students’ writing ability. An intact class comprising 22 first-year undergraduates participated in the study.  Data were collected from pre- and post-treatment writing tests, semi-structured interview and reflection entries. The results revealed that self-assessment has a significant effect on students’ writing performance. Group work also enhanced social and cognitive development of the students. This study provides insights into the use of self-assessment in writing class to develop learner autonomy and improve writing ability. Keywords: Argumentative essay, Self-assessment, Learner autonomy, Group writing, ESL learners

  16. Development of the Writing Readiness Inventory Tool in Context (WRITIC)

    NARCIS (Netherlands)

    van Hartingsveldt, Margo J.; de Vries, Liesbeth; Cup, Edith HC; de Groot, Imelda JM; Nijhuis-van der Sanden, Maria WG

    2014-01-01

    This article describes the development of the Writing Readiness Inventory Tool in Context (WRITIC), a measurement evaluating writing readiness in Dutch kindergarten children (5 and 6 years old). Content validity was established through 10 expert evaluations in three rounds. Construct validity was

  17. Thailand through travel writings in English: An evaluation and representation

    Directory of Open Access Journals (Sweden)

    Soranat Tailanga

    2016-01-01

    The analytical research studied representation of Thailand in travel writings in English through a stylistic approach, discourse analysis, and conceptions of Orientalism. It found that the writings provide a socio-cultural overview of Thailand and details of tourist attractions. The otherness of “Thainess” is constructed through Thailand's exotic beauty, dangers, social problems, political instability, inadequate freedom of expression, and ‘other habitus’ of Thais. These conceptualizations construct the readers' or tourists' identities as quality travelers and highly knowledgeable and moral individuals.

  18. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  19. Taking a Closer Look at Writing Conferences.

    Science.gov (United States)

    Florio-Ruane, Susan

    Current literature on writing instruction focuses on the writing conference, which accompanies a shift in emphasis from product to process and potentially transforms the teacher's role from task master and evaluator to respondent, opening the door to greater peer interaction in literacy learning. However desirable this ideal may be, extant…

  20. Writing Matters to Urban Middle Level Students

    Science.gov (United States)

    Yost, Deborah S.; Vogel, Robert

    2012-01-01

    This article provides an overview of the Writers Matter program, which allows adolescents to use their life stories as a vehicle for self-expression and writing skill development. Evaluations of the program have show increased writing skills among participating students in the areas of focus, content, organization, and grammar. Additional benefits…

  1. Critical thinking evaluation in reflective writing: Development and testing of Carter Assessment of Critical Thinking in Midwifery (Reflection).

    Science.gov (United States)

    Carter, Amanda G; Creedy, Debra K; Sidebotham, Mary

    2017-11-01

    develop and test a tool designed for use by academics to evaluate pre-registration midwifery students' critical thinking skills in reflective writing. a descriptive cohort design was used. a random sample (n = 100) of archived student reflective writings based on a clinical event or experience during 2014 and 2015. a staged model for tool development was used to develop a fifteen item scale involving item generation; mapping of draft items to critical thinking concepts and expert review to test content validity; inter-rater reliability testing; pilot testing of the tool on 100 reflective writings; and psychometric testing. Item scores were analysed for mean, range and standard deviation. Internal reliability, content and construct validity were assessed. expert review of the tool revealed a high content validity index score of 0.98. Using two independent raters to establish inter-rater reliability, good absolute agreement of 72% was achieved with a Kappa coefficient K = 0.43 (pcritical thinking in reflective writing. Validation with large diverse samples is warranted. reflective practice is a key learning and teaching strategy in undergraduate Bachelor of Midwifery programmes and essential for safe, competent practice. There is the potential to enhance critical thinking development by assessingreflective writing with the CACTiM (reflection) tool to provide formative and summative feedback to students and inform teaching strategies. Crown Copyright © 2017. Published by Elsevier Ltd. All rights reserved.

  2. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  3. 76 FR 37344 - Technology Evaluation Process

    Science.gov (United States)

    2011-06-27

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... seeks comments and information related to a commercial buildings technology evaluation process. DOE is seeking to create a process for evaluating emerging and underutilized energy efficient technologies for...

  4. Create, compose, connect! reading, writing, and learning with digital tools

    CERN Document Server

    Hyler, Jeremy

    2014-01-01

    Find out how to incorporate digital tools into your English language arts class to improve students' reading, writing, listening, and speaking skills. Authors Jeremy Hyler and Troy Hicks show you that technology is not just about making a lesson engaging; it's about helping students become effective creators and consumers of information in today's fast-paced world. You'll learn how to use mobile technologies to teach narrative, informational, and argument writing as well as visual literacy and multimodal research. Each chapter is filled with exciting lesson plans and tech tool suggestions that you can take back to your own classroom immediately.

  5. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  6. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  7. Assessing Writing: A Review of the Main Trends

    Directory of Open Access Journals (Sweden)

    Mohammad Ali Salmani Nodoushan

    2014-10-01

    Full Text Available As a language skill, writing has had, still has and will continue to have an important role in shaping the scientific structure of human life in that it is the medium through which scientific content is stored, retained, and transmitted. It has therefore been a major concern for writing teachers and researchers to find a reliable method for evaluating and ensuring quality writing. This paper addresses the different approaches to scoring writing and classifies them into a priori scoring systems (including holistic and analytic scoring, and a posteriori trait-based scoring systems (including primary-trait and multiple-trait scoring.

  8. Science writing in the real world

    Directory of Open Access Journals (Sweden)

    Mike Mentis

    2014-02-01

    Full Text Available The objective of this contribution is to consider guides to technical writing. Since the professional writes what he does and does what he writes, guides to how you execute the one relate to how you perform the other, so this article is about more than just writing. While there is need for idiosyncrasy and individualism, there are some rules. Documents must have an explicit purpose stated at the outset. By their nature, documents in the applied sciences and business address real-world problems, but elsewhere activity may be laissez faire for which the cost-effectiveness in yielding innovations is contestable. A hallmark of written science and technology is that every statement is capable of being tested and capable of being shown to be wrong, and that methods yield repeatable results. Caution should be observed in requiring authoritative referencing for every notion, partly because of the unsatisfying infinite regress in searching for ultimate sources, and partly to avoid squashing innovation. It is not only the content of messages that matters, but reliability too. Probability theory must be built into design to assure that strong inference can be drawn from outcomes. Research, business and infrastructure projects must substitute the frequent optimistic ‘everything goes according to plan’ (EGAP with a more realistic ‘most likely development’ (MLD and the risks of even that not happening. A cornerstone of science and technology is parsimony. No description, experiment, explanation, hypothesis, idea, instrument, machine, method, model, prediction, statement, technique, test or theory should be more elaborate than necessary to satisfy its purpose. Antifragility – the capacity to survive and benefit from shocks – must be designed into project and organizational structure and function by manipulating such factors as complexity and interdependency to evade failure in a turbulent and unpredictable world. The role of writing is to integrate

  9. A synthesis of mathematics writing: Assessments, interventions, and surveys

    Directory of Open Access Journals (Sweden)

    Sarah R. Powell

    2017-02-01

    Full Text Available Mathematics standards in the United States describe communication as an essential part of mathematics. One outlet for communication is writing. To understand the mathematics writing of students, we conducted a synthesis to evaluate empirical research about mathematics writing. We identified 29 studies that included a mathematics-writing assessment, intervention, or survey for students in 1st through 12th grade. All studies were published between 1991 and 2015. The majority of assessments required students to write explanations to mathematical problems, and fewer than half scored student responses according to a rubric. Approximately half of the interventions involved the use of mathematics journals as an outlet for mathematics writing. Few intervention studies provided explicit direction on how to write in mathematics, and a small number of investigations provided statistical evidence of intervention efficacy. From the surveys, the majority of students expressed enjoyment when writing in mathematics settings but teachers reported using mathematics writing rarely. Across studies, findings indicate mathematics writing is used for a variety of purposes, but the quality of the studies is variable and more empirical research is needed.

  10. Evaluating Pragmatic Competence in Nigerian Undergraduates’ Language Errors within Descriptive ESL Writing

    Directory of Open Access Journals (Sweden)

    Anas Sa’idu Muhammad

    2017-01-01

    Full Text Available This study investigates the level of pragmatic competence for ESL writing skills among Nigerian undergraduates. Methodologically, it adopts descriptive research design within the explanatory framework of the QUAN-Qual model. The instruments used are descriptive essay text and focus group interview questions. In writing the descriptive essays, a total of 402 undergraduates’ participated through convenience sampling. Quantitatively, an independent samples t-test was carried out. The results indicated the females required putting more efforts towards improving their pragmatic competence in the ESL writing as they achieved a higher means for language errors, compared to that of the males. Moreover, the ttest value demonstrated that the females lacked skills in the pragmatic skills of mechanical structure, grammatical function, and sentence structures and this made them commit more language errors. Qualitatively, a focus group interview was held randomly with 12 participants out of the 402 undergraduates through purposive sampling. The results of the interview sessions revealed novelties of culture-specific, learning feasibility and the academic discourse as the key elements that constraint most of the Nigerian undergraduates ESL writing skills, particularly the females. Therefore, this study revealed strong implications on how best to develop Nigerian learners’ pragmatic competence in ESL writing skills

  11. Rapid selective metal patterning on polydimethylsiloxane (PDMS) fabricated by capillarity-assisted laser direct write

    KAUST Repository

    Lee, Ming-Tsang; Lee, Daeho; Sherry, Alexander; Grigoropoulos, Costas P

    2011-01-01

    direct write (LDW) technology. To achieve good metal film quality, a capillarity-assisted laser direct writing (CALDW) of nanoparticle suspensions on a low surface energy material (PDMS) was utilized. Experimental results showed controllable electrical

  12. AWE-Based Corrective Feedback on Developing EFL Learners' Writing Skill

    Science.gov (United States)

    Lu, Zhihong; Li, Xiaowei; Li, Zhenxiao

    2015-01-01

    The effective design and use of Automated Writing Evaluation (AWE) tools in developing English as a Foreign Language (EFL) learners' writing skill and learner autonomy have remained great challenges for system designers, developers, and EFL instructors compared with that of the pencil-paper writing in the context of regular teacher-fronted…

  13. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  14. Writing for computer science

    CERN Document Server

    Zobel, Justin

    2015-01-01

    All researchers need to write or speak about their work, and to have research  that is worth presenting. Based on the author's decades of experience as a researcher and advisor, this third edition provides detailed guidance on writing and presentations and a comprehensive introduction to research methods, the how-to of being a successful scientist.  Topics include: ·         Development of ideas into research questions; ·         How to find, read, evaluate and referee other research; ·         Design and evaluation of experiments and appropriate use of statistics; ·         Ethics, the principles of science and examples of science gone wrong. Much of the book is a step-by-step guide to effective communication, with advice on:  ·         Writing style and editing; ·         Figures, graphs and tables; ·         Mathematics and algorithms; ·         Literature reviews and referees' reports; ·         Structuring of arguments an...

  15. Evaluating Pragmatic Competence in Nigerian Undergraduates' Language Errors within Descriptive ESL Writing

    Science.gov (United States)

    Muhammad, Anas Sa'idu; Nair, Subadrah Madhawa

    2017-01-01

    This study investigates the level of pragmatic competence for ESL writing skills among Nigerian undergraduates. Methodologically, it adopts descriptive research design within the explanatory framework of the QUAN-Qual model. The instruments used are descriptive essay text and focus group interview questions. In writing the descriptive essays, a…

  16. Writing for Safety. Facilitating a Team Approach to Writing Operating Instructions. ANTA Leading Edge Training Case Study.

    Science.gov (United States)

    Serle, Oenone

    The Australian engineering company, Jaques, and Swinburne University of Technology conducted a joint project to write more than 190 operating instructions for the company's 77 employees. First, the university's Workplace Skills Unit (WSU) interviewed 75 production workers to identify their language, literacy, and training needs. The WSU negotiated…

  17. English for Science and Technology - Stylistics and Methods

    DEFF Research Database (Denmark)

    Mousten, Birthe

    The book covers basic methods for summarizing and editing of EST writing (English for Science and Technology). In addition, translation of basically technically oriented texts is covered with a view to an evaluation of formality, complexity and audience recognition in connection with different text...

  18. Writing orthotic device for the management of writer's cramp

    Directory of Open Access Journals (Sweden)

    Narayanasarma V. Singam

    2013-01-01

    Full Text Available Background: Oral therapies and chemodenervation procedures are often unrewarding in the treatment of focal, task-specific hand disorders such as writer's cramp or primary writing tremor. Methods: A portable writing orthotic device was evaluated on fifteen consecutively recruited writer's cramp and primary writing tremor subjects. We measured overall impairment at baseline and after two weeks of at-home use with the Writer’s Cramp Rating Scale (range = 0-8, higher is worse and writing quality and comfort with a visual analog scale (range = 0-10. Results: Compared to regular pen, the writing orthotic device improved the Writer's Cramp Rating Scale scores at first-test (p=0.001 and re-test (p=0.005 as well as writing quality and device comfort in writer's cramp subjects. Benefits were sustained at two weeks. Primary writing tremor subjects demonstrated no improvements.Conclusions: Writing orthotic devices exploiting a muscle-substitution strategy may yield immediate benefits in patients with writer's cramp.

  19. Large-Scale Direct-Writing of Aligned Nanofibers for Flexible Electronics.

    Science.gov (United States)

    Ye, Dong; Ding, Yajiang; Duan, Yongqing; Su, Jiangtao; Yin, Zhouping; Huang, Yong An

    2018-05-01

    Nanofibers/nanowires usually exhibit exceptionally low flexural rigidities and remarkable tolerance against mechanical bending, showing superior advantages in flexible electronics applications. Electrospinning is regarded as a powerful process for this 1D nanostructure; however, it can only be able to produce chaotic fibers that are incompatible with the well-patterned microstructures in flexible electronics. Electro-hydrodynamic (EHD) direct-writing technology enables large-scale deposition of highly aligned nanofibers in an additive, noncontact, real-time adjustment, and individual control manner on rigid or flexible, planar or curved substrates, making it rather attractive in the fabrication of flexible electronics. In this Review, the ground-breaking research progress in the field of EHD direct-writing technology is summarized, including a brief chronology of EHD direct-writing techniques, basic principles and alignment strategies, and applications in flexible electronics. Finally, future prospects are suggested to advance flexible electronics based on orderly arranged EHD direct-written fibers. This technology overcomes the limitations of the resolution of fabrication and viscosity of ink of conventional inkjet printing, and represents major advances in manufacturing of flexible electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  1. Enhancing Literacy Skills through Technology.

    Science.gov (United States)

    Sistek-Chandler, Cynthia

    2003-01-01

    Discusses how to use technology to enhance literacy skills. Highlights include defining literacy, including information literacy; research to support reading and writing instruction; literacy software; thinking skills; organizational strategies for writing and reading; how technology can individualize literacy instruction; and a new genre of…

  2. DISCOURSE AWARENESS IN IMPROVING NON-NATIVE STUDENTS’ ABILITY IN GENERIC WRITING

    Directory of Open Access Journals (Sweden)

    Hussain AL SHAROUFI

    2014-04-01

    Full Text Available This study explores the importance of teaching discourse patterns to non-native university students. I used particular discourse patterns in teaching generic writing to undergraduate students at the Gulf University for Science and Technology, GUST, in Kuwait. The assumption of this study was that undergraduate non-native students should be aware of the importance of discourse patterns in generic writing. This hidden tactic is not obvious unless consciously taught to them. To study the importance of generic patterns, I opted to teach discourse patterns that are used in newspaper editorials, the rationale of which was that students would grasp discourse patterns and apply them to their own writing. I chose two groups of students randomly, one of which was an experimental group and the second of which was a control group. I conducted a detailed analysis afterwards to examine the validity of my assumption. I taught the experimental group the chosen model of analysis, and instructed the control group to read sample editorials, and write their own editorials afterwards. The results of this experiment were substantial. Based on the level of compliance with the suggested format, triads, movements, and artifacts in newspaper editorials, students in the experimental group were evaluated on a scale of 0 to 10. The performance of the experimental group was above average, 75.3%, in comparison to the control group that complied quite poorly with the chosen model, < 30 %.

  3. Electron multi-beam technology for mask and wafer writing at 0.1nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-03-01

    An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.

  4. Technology-Assisted Rehabilitation of Writing Skills in Parkinson’s Disease: Visual Cueing versus Intelligent Feedback

    Directory of Open Access Journals (Sweden)

    Evelien Nackaerts

    2017-01-01

    Full Text Available Recent research showed that visual cueing can have both beneficial and detrimental effects on handwriting of patients with Parkinson’s disease (PD and healthy controls depending on the circumstances. Hence, using other sensory modalities to deliver cueing or feedback may be a valuable alternative. Therefore, the current study compared the effects of short-term training with either continuous visual cues or intermittent intelligent verbal feedback. Ten PD patients and nine healthy controls were randomly assigned to one of these training modes. To assess transfer of learning, writing performance was assessed in the absence of cueing and feedback on both trained and untrained writing sequences. The feedback pen and a touch-sensitive writing tablet were used for testing. Both training types resulted in improved writing amplitudes for the trained and untrained sequences. In conclusion, these results suggest that the feedback pen is a valuable tool to implement writing training in a tailor-made fashion for people with PD. Future studies should include larger sample sizes and different subgroups of PD for long-term training with the feedback pen.

  5. TEACHING WRITING SKILL BY USING BRAINWRITING STRATEGY

    Directory of Open Access Journals (Sweden)

    Nina Khayatul Virdyna

    2016-05-01

    Full Text Available English is getting more crucial to be mastered since its important part in the world nowadays.  It is not only as a means of communication but also a means transferring knowledge, news, and technology around the world. There are four basic skills in English such as listening, speaking, reading, and writing, every students must have problem in learning and mastering those skill. But writing is the main issue to be discussed in this article.  In writing, some of the writer’s students feel difficult to determine the topic when they want to write, they are hardly to complete a writing paper because they run out of idea. In this case the students need to absorb some information to understand a word, including how to combine a word with the other words. Therefore the teacher should have a strategy to get the students understanding and overcome their problems.Teaching is about just how to encourage the learners to achieve their goals and other times it requires that we actually facilitate resources and foster experiences so students can learn, continue learning and love the process. It is an art of the teacher to know how to make the students able to create knowledge of their own. Brainstorming is one of the teaching techniques in writing that can encourage the students to think about the topic as many as possible. This technique is help the students to enrich their vocabularies then create an idea become a writing composition. By using this strategy the students will be able to improve their writing skill. Brainwriting is an alternative method to brainstorming that tries to encourage a more uniform participation within a group. Like brainstorming, it is designed to generate lots and lots of ideas in a short amount of time.

  6. A Three-Year Reflective Writing Program as Part of Introductory Pharmacy Practice Experiences

    Science.gov (United States)

    Vaughn, Jessica; Kerr, Kevin; Zielenski, Christopher; Toppel, Brianna; Johnson, Lauren; McCauley, Patrina; Turner, Christopher J.

    2013-01-01

    Objectives. To implement and evaluate a 3-year reflective writing program incorporated into introductory pharmacy practice experiences (IPPEs) in the first- through third-year of a doctor of pharmacy (PharmD) program. Design. Reflective writing was integrated into 6 IPPE courses to develop students’ lifelong learning skills. In their writing, students were required to self-assess their performance in patient care activities, identify and describe how they would incorporate learning opportunities, and then evaluate their progress. Practitioners, faculty members, and fourth-year PharmD students served as writing preceptors. Assessment. The success of the writing program was assessed by reviewing class performance and surveying writing preceptor’s opinions regarding the student’s achievement of program objectives. Class pass rates averaged greater than 99% over the 8 years of the program and the large majority of the writing preceptors reported that student learning objectives were met. A support pool of 99 writing preceptors was created. Conclusions. A 3-year reflective writing program improved pharmacy students’ reflection and reflective writing skills. PMID:23788811

  7. A Study on Creating Writing Strategy and Evaluation Tool for Book Summary

    Science.gov (United States)

    Konuk, Sümeyye; Ören, Zeyneb; Benzer, Ahmet; Sefer, Aysegül

    2016-01-01

    Summarizing is restating the most important ideas from an original text briefly. Students often need summary writing skill along the education life since it provides understanding and remembering the reading material. This study aims to apply book summary writing strategy which is based on in-class implementations, and to develop the students book…

  8. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  9. How to write a research proposal?

    Science.gov (United States)

    Sudheesh, K; Duggappa, Devika Rani; Nethra, S S

    2016-09-01

    Writing the proposal of a research work in the present era is a challenging task due to the constantly evolving trends in the qualitative research design and the need to incorporate medical advances into the methodology. The proposal is a detailed plan or 'blueprint' for the intended study, and once it is completed, the research project should flow smoothly. Even today, many of the proposals at post-graduate evaluation committees and application proposals for funding are substandard. A search was conducted with keywords such as research proposal, writing proposal and qualitative using search engines, namely, PubMed and Google Scholar, and an attempt has been made to provide broad guidelines for writing a scientifically appropriate research proposal.

  10. Cloud-Based Collaborative Writing and the Common Core Standards

    Science.gov (United States)

    Yim, Soobin; Warschauer, Mark; Zheng, Binbin; Lawrence, Joshua F.

    2014-01-01

    The Common Core State Standards emphasize the integration of technology skills into English Language Arts (ELA) instruction, recognizing the demand for technology-based literacy skills to be college- and career- ready. This study aims to examine how collaborative cloud-based writing is used in in a Colorado school district, where one-to-one…

  11. A Training Technology Evaluation Tool

    National Research Council Canada - National Science Library

    Livingston, Stephen C; Dyer, Jean L; Swinson, Diadra

    2005-01-01

    A Training Technology Evaluation Tool was developed to help procurers and developers of training technologies to make informed decisions and to improve the overall effectiveness of training technologies...

  12. Emerging Collaborative Writing Strategies in Digital Environments

    DEFF Research Database (Denmark)

    Sørensen, Birgitte Holm; Levinsen, Karin Ellen Tweddell

    2015-01-01

    This paper focuses on students’ collaborative writing processes, with technology as a non-human actor. The paper is based on an ongoing research project, Students’ digital production and students as learning designers (2013–2015), fundedby the Danish Ministry of Education. The project concerns...

  13. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia

    OpenAIRE

    Thiel, L; Sage, K; Conroy, P

    2016-01-01

    Background: Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some i...

  14. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  15. Designing Task-Based Syllabus For Writing Class

    Directory of Open Access Journals (Sweden)

    Sundari Hanna

    2018-01-01

    Full Text Available Writing is viewed as the most complex skill to learn and to teach. Beside learner factors, teacher, materials and syllabus may also affect the process of learning language as foreign language. Syllabus, in general, can be defined as a set of what is taught (content and the way it is taught (procedure. This current research aims to design a task-based syllabus for writing class at university level. This study was conducted by qualitative descriptive design with 92 students and 4 lecturers as respondents. As part of research and development project in one private university in Jakarta, a developed task-based syllabus was based on need analysis and the principles of task-based language teaching. Students’ proficiency levels are fair with sentence patterns and grammar as the most difficult aspects. Academic writing is more preferable orientation with the small portions of creative writing. Then, the developed task-based syllabus has been proposed for writing class which covers the components of goal (learning outcome, course description and objectives, a set of writing tasks, features of content focus and language focus and course evaluation. The developed syllabus, then, can guide the lecturers in designing lesson plan and selecting materials for writing class.

  16. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  17. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  18. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  19. Using Cloud collaboration for writing assignments by students with disabilities: a case study using action research

    Directory of Open Access Journals (Sweden)

    Kjrsten Keane

    2014-02-01

    Full Text Available Though separated by geographical distance, a student with disabilities, his advisor, and his writing coach consorted in the Cloud using Google applications to achieve a writing goal. Our scenario demonstrates how emerging technologies can bridge transactional distance and “virtually” supplant face-to-face conferencing around a college writing assignment. Individual levels of technical acumen with digital technology evolved to bridge the psychological and communication space between the student and his instructors. As a result, the telecollaborators developed an efficient coaching process adaptable for all students who need assistance in revising college writing assignments at a distance. Action research frames our discussion of the Cloud collaboration and provides a scaffold for student autonomy. The advantages as well and disadvantages of Cloud collaboration are outlined with reference to the National Institute of Standards of Technology definition of Cloud Computing and the Seven Principles of Universal Course Design.http://dx.doi.org/10.5944/openpraxis.6.1.79

  20. Peningkatan Keterlibatan Dalam Perkuliahan Scientific Writing Menggunakan Model Pengajaran Social Inquiry

    Directory of Open Access Journals (Sweden)

    Suwartono Suwartono

    2016-02-01

    Full Text Available This research aimed to solve student low involvement in Scientific Writing classes.The method used in this research was Classroom Action Research (CAR. The planned action was Social Inquiry teaching model, i.e. an autonomous instruction in which students do inquiries for facts (new knowledge on scientific writings along with the linguistic aspects of writings and exercises in communicating the inquiry results within the classroom society are prioritized. The CAR employed Lewin's cyclic model. The model procedures are: (1 identification, evaluation and formulation of the problem; (2 fact finding; (3 review of literature; (4 information gathering to test hypothesis; (5 selection of the planned action procedures; (6 implementation; and (7 interpretation of the data and overall evaluation. The CAR's result has shown that teaching Scientific Writing using Social Inquiry can promote student involvement in scientific writing class activities.

  1. Social Media and Classroom Writing: Participation, Interaction, and Collaboration

    Science.gov (United States)

    Zheng, Binbin

    2013-01-01

    Over the last decade, the number of one-to-one laptop programs in U.S. schools has steadily increased. Though technology advocates believe that such programs can assist student writing, there has been little systematic evidence for this claim, and even less focused on the benefits of specific technology use by diverse learners. This dissertation…

  2. Effect of WhatsApp on Critique Writing Proficiency and Perceptions toward Learning

    Science.gov (United States)

    Awada, Ghada

    2016-01-01

    This article reports the results of an experimental study on the effectiveness of mobile technology (WhatsApp) in improving the critique writing skills of English as a Foreign Language learners and increasing their motivation for learning. The participants (n = 52) are Average-English proficient learners enrolled in two writing courses given at…

  3. Multimodal Composing as Healing: Toward a New Model for Writing as Healing Courses

    Science.gov (United States)

    Molloy, Cathryn

    2016-01-01

    The course the author describes here, WRTC 426: Rhetorical "Ethos" and Personal Disclosures: Explorations in Trauma Writing and Writing as Healing, asks students to explore the "writing as healing" movement in English studies and beyond in order to evaluate the efficacy of claims that writing personal narratives can heal…

  4. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  5. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  6. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  7. The WRITTEN-HEART study (expressive writing for heart healing): rationale and design of a randomized controlled clinical trial of expressive writing in coronary patients referred to residential cardiac rehabilitation

    Science.gov (United States)

    2011-01-01

    Background Coronary heart disease (CHD) is typically associated with many cardiovascular risk factors (e.g., elevated blood pressure), low health-related quality of life, depression, anxiety and psychological stress. Expressive writing (EW) has shown beneficial effects on such variables in both people from the community and in patients with a variety of chronic illnesses. However, no study to date has evaluated the physical and psychological effects of the expressive writing procedure on coronary patients referred to cardiac rehabilitation (CR). Methods The clinical effectiveness of a 2-week disease-related expressive writing procedure (writing about one's deepest thoughts and feelings regarding the experience with heart disease) compared with the standard writing task (writing about one's deepest thoughts and feelings about the most traumatic or negative event experienced in the life), a neutral writing condition (writing about the facts regarding heart disease and its treatment) and an empty control condition will be evaluated in a randomized controlled clinical trial (RCT) with repeated follow-up measurements at 3, 6 and 12 months after discharge from CR. The primary outcome is health-related quality of life (SF-12). Secondary outcome measures are depression (BDI-II), anxiety (BAI) and post-traumatic growth (PTGI). Furthermore, the study will explore the moderating effects of coping styles, type D personality, perceived emotional support and participants' evaluative ratings of the writing interventions on the main experimental effects in order to identify sub-groups of patients showing different results. Discussion The WRITTEN-HEART study aims to explore and expand the frontiers of the expressive writing research enterprise by investigating the feasibility, safety and clinical efficacy of brief and cost-effective expressive writing interventions in patients with CHD referred to CR. Trial registration ClinicalTrials.gov NCT01253486 PMID:21740564

  8. Genome Writing: Current Progress and Related Applications

    Directory of Open Access Journals (Sweden)

    Yueqiang Wang

    2018-02-01

    Full Text Available The ultimate goal of synthetic biology is to build customized cells or organisms to meet specific industrial or medical needs. The most important part of the customized cell is a synthetic genome. Advanced genomic writing technologies are required to build such an artificial genome. Recently, the partially-completed synthetic yeast genome project represents a milestone in this field. In this mini review, we briefly introduce the techniques for de novo genome synthesis and genome editing. Furthermore, we summarize recent research progresses and highlight several applications in the synthetic genome field. Finally, we discuss current challenges and future prospects. Keywords: Synthetic biology, Genome writing, Genome editing, Bioethics, Biosafety

  9. How to write a research proposal?

    Directory of Open Access Journals (Sweden)

    K Sudheesh

    2016-01-01

    Full Text Available Writing the proposal of a research work in the present era is a challenging task due to the constantly evolving trends in the qualitative research design and the need to incorporate medical advances into the methodology. The proposal is a detailed plan or ′blueprint′ for the intended study, and once it is completed, the research project should flow smoothly. Even today, many of the proposals at post-graduate evaluation committees and application proposals for funding are substandard. A search was conducted with keywords such as research proposal, writing proposal and qualitative using search engines, namely, PubMed and Google Scholar, and an attempt has been made to provide broad guidelines for writing a scientifically appropriate research proposal.

  10. Evaluating Internal Technological Capabilities in Energy Companies

    Directory of Open Access Journals (Sweden)

    Mingook Lee

    2016-03-01

    Full Text Available As global competition increases, technological capability must be evaluated objectively as one of the most important factors for predominance in technological competition and to ensure sustainable business excellence. Most existing capability evaluation models utilize either quantitative methods, such as patent analysis, or qualitative methods, such as expert panels. Accordingly, they may be in danger of reflecting only fragmentary aspects of technological capabilities, and produce inconsistent results when different models are used. To solve these problems, this paper proposes a comprehensive framework for evaluating technological capabilities in energy companies by considering the complex properties of technological knowledge. For this purpose, we first explored various factors affecting technological capabilities and divided the factors into three categories: individual, organizational, and technology competitiveness. Second, we identified appropriate evaluation items for each category to measure the technological capability. Finally, by using a hybrid approach of qualitative and quantitative methods, we developed an evaluation method for each item and suggested a method to combine the results. The proposed framework was then verified with an energy generation and supply company to investigate its practicality. As one of the earliest attempts to evaluate multi-faceted technological capabilities, the suggested model can support technology and strategic planning.

  11. So You Want to Start a Peer Online Writing Center?

    Directory of Open Access Journals (Sweden)

    Christine Rosalia

    2013-03-01

    Full Text Available The purpose of this article is to share lessons learned in setting up three different peer online writing centers in three different contexts (EFL, Generation 1.5, and ESL. In each center the focus was on the language learner as a peer online writing advisor and their needs in maintaining centers “for and by” learners. Technology affordances and constraints for local contexts, which promote learner autonomy, are analyzed. The open-source platforms (Moodle, Drupal, and Google Apps are compared in terms of usability for peer writing center work, particularly centers where groups co-construct feedback for writers, asynchronously. This paper is useful for readers who would like a head start or deeper understanding of potential logistics and decision-making involved in establishing a peer online writing center within coursework and/or a self-access learning center.

  12. Urban Revival and College Writing: Writing to Promote Communities

    Directory of Open Access Journals (Sweden)

    Miriam Chirico

    2013-05-01

    Full Text Available Service-learning classes, because they emphasize the creation of product that has value outside the confines of the college classroom, offer students an experience in professional formation, a practice that may prove anathema to the ethos of “service.” The desire to counteract this individualistic attitude has led instructors to promulgate an activist agenda within their classrooms, teaching students to critique hierarchical power structures, redress social inequities, or challenge lines of societal exclusion. And yet, such practitioners repeatedly acknowledge the difficulty of this instructional aim and attest to the students’ inability to envision themselves as advocates for societal change. I hold that this objective of transforming students into activists based on the experience of service-learning classes may not be feasible due the economic dynamic of a college classroom, where students pay tuition for their education and engage in work that is assessed and evaluated. Consequently, rather than create service-learning projects around theoretical positions of dissent and critique, I have designed a service-learning class on the topic of urban revitalization that involves students in promotional and collaborative partnerships with non-profit organizations in town. In other words, by tapping into a pragmatic, national movement such as urban renewal, I have aimed to raise the students’ awareness of how they might become agents of change and how their particular skill set of writing could be of service to the community. Drawing upon my experiences with students in a Business and Professional Writing class, I discuss specific readings and writing assignments in this article, chiefly the writing products that were commissioned by different non-profit groups in town. The discussion examines some of the theoretical implications behind reinforcing college students’ awareness of civic commitment while developing their written and rhetorical

  13. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  14. Writing History in a Paperless World

    DEFF Research Database (Denmark)

    Kaur, Ravinder

    2015-01-01

    . The digital content (especially user-generated) on blogs, websites, and social media platforms is both plentiful – often expressed as ‘information overload’ – and fragile; it risks perishing almost as fast as it is produced. The historians of the future seeking to write the history of the early twenty......-first century will be faced with this problematic. While one approach is to seek technological solutions toward storing the digital content, another is to reconsider what the very notion of past might mean in the age of acceleration. The past is produced rapidly as every passing moment is buried under fresh...... layers of information and news almost every second on multiple media. This article considers the challenges of writing the history of the vanishing present....

  15. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  16. Computerized content analysis of some adolescent writings of Napoleon Bonaparte: a test of the validity of the method.

    Science.gov (United States)

    Gottschalk, Louis A; DeFrancisco, Don; Bechtel, Robert J

    2002-08-01

    The aim of this study was to test the validity of a computer software program previously demonstrated to be capable of making DSM-IV neuropsychiatric diagnoses from the content analysis of speech or verbal texts. In this report, the computer program was applied to three personal writings of Napoleon Bonaparte when he was 12 to 16 years of age. The accuracy of the neuropsychiatric evaluations derived from the computerized content analysis of these writings of Napoleon was independently corroborated by two biographers who have described pertinent details concerning his life situations, moods, and other emotional reactions during this adolescent period of his life. The relevance of this type of computer technology to psychohistorical research and clinical psychiatry is suggested.

  17. Metrics for evaluation of the author's writing styles: who is the best?

    Science.gov (United States)

    Darooneh, Amir H; Shariati, Ashrafosadat

    2014-09-01

    Studying the complexity of language has attracted the physicist's attention recently. The methods borrowed from the statistical mechanics; namely, the complex network theory, can be used for exploring the regularities as a characteristic of complexity of language. In this paper, we focus on the authorship identification by using the complex network approach. We introduce three metrics which enable us for comparison the author's writing styles. This approach was previously used by us for finding the author of unknown book among collection of thirty six books written by five Persian poets. Here, we select a collection of one hundred and one books of nine English writers and quantify their writing styles according to our metrics. In our experiment, Shakespeare appears as the best author who follows a unique writing style in all of his works.

  18. Metrics for evaluation of the author's writing styles: Who is the best?

    Science.gov (United States)

    Darooneh, Amir H.; Shariati, Ashrafosadat

    2014-09-01

    Studying the complexity of language has attracted the physicist's attention recently. The methods borrowed from the statistical mechanics; namely, the complex network theory, can be used for exploring the regularities as a characteristic of complexity of language. In this paper, we focus on the authorship identification by using the complex network approach. We introduce three metrics which enable us for comparison the author's writing styles. This approach was previously used by us for finding the author of unknown book among collection of thirty six books written by five Persian poets. Here, we select a collection of one hundred and one books of nine English writers and quantify their writing styles according to our metrics. In our experiment, Shakespeare appears as the best author who follows a unique writing style in all of his works.

  19. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  20. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  1. National Security Technology Incubator Evaluation Process

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2007-12-31

    This report describes the process by which the National Security Technology Incubator (NSTI) will be evaluated. The technology incubator is being developed as part of the National Security Preparedness Project (NSPP), funded by a Department of Energy (DOE)/National Nuclear Security Administration (NNSA) grant. This report includes a brief description of the components, steps, and measures of the proposed evaluation process. The purpose of the NSPP is to promote national security technologies through business incubation, technology demonstration and validation, and workforce development. The NSTI will focus on serving businesses with national security technology applications by nurturing them through critical stages of early development. An effective evaluation process of the NSTI is an important step as it can provide qualitative and quantitative information on incubator performance over a given period. The vision of the NSTI is to be a successful incubator of technologies and private enterprise that assist the NNSA in meeting new challenges in national safety and security. The mission of the NSTI is to identify, incubate, and accelerate technologies with national security applications at various stages of development by providing hands-on mentoring and business assistance to small businesses and emerging or growing companies. To achieve success for both incubator businesses and the NSTI program, an evaluation process is essential to effectively measure results and implement corrective processes in the incubation design if needed. The evaluation process design will collect and analyze qualitative and quantitative data through performance evaluation system.

  2. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  3. Reading, Writing & Rings: Science Literacy for K-4 Students

    Science.gov (United States)

    McConnell, S.; Spilker, L.; Zimmerman-Brachman, R.

    2007-12-01

    Scientific discovery is the impetus for the K-4 Education program, "Reading, Writing & Rings." This program is unique because its focus is to engage elementary students in reading and writing to strengthen these basic academic skills through scientific content. As science has been increasingly overtaken by the language arts in elementary classrooms, the Cassini Education Program has taken advantage of a new cross-disciplinary approach to use language arts as a vehicle for increasing scientific content in the classroom. By utilizing the planet Saturn and the Cassini-Huygens mission as a model in both primary reading and writing students in these grade levels, young students can explore science material while at the same time learning these basic academic skills. Content includes reading, thinking, and hands-on activities. Developed in partnership with the Cassini-Huygens Education and Public Outreach Program, the Bay Area Writing Project/California Writing Project, Foundations in Reading Through Science & Technology (FIRST), and the Caltech Pre-College Science Initiative (CAPSI), and classroom educators, "Reading, Writing & Rings" blends the excitement of space exploration with reading and writing. All materials are teacher developed, aligned with national science and language education standards, and are available from the Cassini-Huygens website: http://saturn.jpl.nasa.gov/education/edu-k4.cfm Materials are divided into two grade level units. One unit is designed for students in grades 1 and 2 while the other unit focuses on students in grades 3 and 4. Each includes a series of lessons that take students on a path of exploration of Saturn using reading and writing prompts.

  4. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  5. Evaluation of five guidelines for option development in multiple-choice item-writing.

    Science.gov (United States)

    Martínez, Rafael J; Moreno, Rafael; Martín, Irene; Trigo, M Eva

    2009-05-01

    This paper evaluates certain guidelines for writing multiple-choice test items. The analysis of the responses of 5013 subjects to 630 items from 21 university classroom achievement tests suggests that an option should not differ in terms of heterogeneous content because such error has a slight but harmful effect on item discrimination. This also occurs with the "None of the above" option when it is the correct one. In contrast, results do not show the supposedly negative effects of a different-length option, the use of specific determiners, or the use of the "All of the above" option, which not only decreases difficulty but also improves discrimination when it is the correct option.

  6. Taking a Technological Path to Poetry Prewriting.

    Science.gov (United States)

    Roberts, Sherron Killingsworth

    2002-01-01

    Offers a strong rationale for the idea that the journey of writing good poetry begins on a path that infuses technology into the first stage of the writing process. Presents several ideas of ways to incorporate technology into the prewriting poetry experience. Concludes that by making technology an ingredient, the true potential for synergy…

  7. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  8. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  9. E-beam direct write versus reticle/stepper technology for ASICS in small volume production

    International Nuclear Information System (INIS)

    Wheeler, M.J.

    1987-01-01

    The pros and cons of using e-beam direct writing or reticles plus optical/UV steppers in fast prototyping and the small volume production of ASICs are discussed. The main conclusion is that fast prototyping is best achieved by e-beam direct write whereas small volume production of ASICs is best done via reticles and optical/UV stepping provided that the reticles are made in-house rather than by commercial maskhouses

  10. Improving Undergraduates' Argumentative Group Essay Writing through Self-Assessment

    Science.gov (United States)

    Fung, Yong Mei; Mei, Hooi Chee

    2015-01-01

    When writing an argumentative essay, writers develop and evaluate arguments to embody, initiate, or simulate various kinds of interpersonal and textual interaction for reader consideration (Wu & Allison, 2003). This is quite challenging for English as a second language (ESL) learners. To improve the quality of their writing, students need to…

  11. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  12. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  13. Education the Way Ahead? An Evaluation of a Pilot Course on Scenario Writing

    Science.gov (United States)

    Viebahn, Peter; Hilton, Gillian

    2006-01-01

    Scenario writing is a method to promote creative thinking and a proactive approach to dealing with the future. ATEE's Research and Development Centre "Curricula in Teacher Education" has adapted this method for use in teacher education. A Comenius funded course on Scenario writing was run over five days with teachers, teacher--educators,…

  14. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  15. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  16. Sodium technology handbook

    International Nuclear Information System (INIS)

    2005-09-01

    This document was published as a textbook for the education and training of personnel working for operations and maintenances of sodium facilities including FBR plants and those engaged in R and D activities related to sodium technology. This handbook covers the following technical areas. Properties of sodium. Compatibilities of sodium with materials. Thermalhydraulics and structural integrity. Sodium systems and components. Sodium instrumentations. Sodium handling technology. Sodium related accident evaluation and countermeasures for FBRs. Operation, maintenance and repair technology of sodium facilities. Safety measures related to sodium. Laws, regulations and internal rules related to sodium. The plannings and discussions of the handbook were made in the Sodium Technology Education Committee organized in O-arai Engineering Center consisting of the representatives of the related departments including Tsuruga headquarters. Experts in various departments participated in writing individual technical subjects. (author)

  17. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  18. 3D direct writing fabrication of electrodes for electrochemical storage devices

    Science.gov (United States)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  19. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  20. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  1. Emergent Writing in Preschoolers: Preliminary Evidence for a Theoretical Framework

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.

    2014-01-01

    Researchers and educators use the term emergent literacy to refer to a broad set of skills and attitudes that serve as foundational skills for acquiring success in later reading and writing; however, models of emergent literacy have generally focused on reading and reading-related behaviors. Hence, the primary aim of this study was to articulate and evaluate a theoretical model of the components of emergent writing. Alternative models of the structure of individual and developmental differences of emergent writing and writing-related skills were examined in 372 preschool children who ranged in age from 3- to 5-years using confirmatory factor analysis. Results from a confirmatory factor analysis provide evidence that these emergent writing skills are best described by three correlated but distinct factors, (a) Conceptual Knowledge, (b) Procedural Knowledge, and (c) Generative Knowledge. Evidence that these three emergent writing factors show different patterns of relations to emergent literacy constructs is presented. Implications for understanding the development of writing and assessment of early writing skills are discussed. PMID:25316955

  2. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  3. Evaluation of the cleaner technology programme

    DEFF Research Database (Denmark)

    Andersen, Mikael Skou; Jørgensen, Ulrik

    The report presents an independent evaluation of the Danish development programme for cleaner technology 1986-1989 and of the Action Plan for Cleaner Technology 1990-1992. The evaluation focuses on the results of technology development and implementation projects, on an examination...... of the dissemination of cleaner technology solutions achieved in six industrial branches, and on the overall programme and its effects, in particular environmental impacts, but also results in the form of employment, environmental export, strenghtening of Danish know-how etc....

  4. Students' Perception and Practice of Writing through Peer-led ...

    African Journals Online (AJOL)

    Students' Perception and Practice of Writing through Peer-led Learning (PLL) at Bahir Dar University. ... Science, Technology and Arts Research Journal ... parties to get the maximum benefit from PLTL; that is, the 'one-to-five' group discussion ...

  5. Management of Technology - a political process approach

    DEFF Research Database (Denmark)

    Koch, Christian

    1999-01-01

    Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes.......Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes....

  6. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  7. Using gamification to develop academic writing skills in dental undergraduate students.

    Science.gov (United States)

    El Tantawi, Maha; Sadaf, Shazia; AlHumaid, Jehan

    2018-02-01

    To assess the satisfaction of first-year dental students with gamification and its effect on perceived and actual improvement of academic writing. Two first-year classes of dental undergraduate students were recruited for the study which extended over 4 months and ended in January 2015. A pre-intervention assessment of students' academic writing skills was performed using criteria to evaluate writing. The same criteria were used to evaluate the final writing assignment after the intervention. Students' satisfaction with game aspects was assessed. The per cent change in writing score was regressed on scores of satisfaction with game aspects controlling for gender. Perceived improvement in writing was also assessed. Data from 87 (94.6%) students were available for analysis. Students' overall satisfaction with the gamified experience was modest [mean (SD) = 5.9 (2.1)] and so was their overall perception of improvement in writing [mean (SD) = 6.0 (2.2)]. The per cent score of the first assignment was 35.6 which improved to 80 in the last assignment. Satisfaction with playing the game was significantly associated with higher percentage of improvement in actual writing skills [regression coefficient (95% confidence interval) = 21.1 (1.9, 40.2)]. Using gamification in an obligatory course for first-year dental students was associated with an improvement in academic writing skills although students' satisfaction with game aspects was modest and their willingness to use gamification in future courses was minimal. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  8. Advances in software science and technology

    CERN Document Server

    Kakuda, Hiroyasu; Ohno, Yoshio

    1992-01-01

    Advances in Software Science and Technology, Volume 3 provides information pertinent to the advancement of the science and technology of computer software. This book discusses the various applications for computer systems.Organized into two parts encompassing 11 chapters, this volume begins with an overview of the development of a system of writing tools called SUIKOU that analyzes a machine-readable Japanese document textually. This text then presents the conditioned attribute grammars (CAGs) and a system for evaluating them that can be applied to natural-language processing. Other chapters c

  9. The art of scientific writing

    Science.gov (United States)

    Gad-El-Hak, Mohamed

    2015-11-01

    The humanities teach students how to learn and communicate. Science teaches why everything works. Engineering teaches how to make things work. But scientists and engineers need to communicate their ideas amongst themselves as well as to everyone else. A newly developed technical writing course is outlined. In the class, offered to senior undergraduate and beginning graduate students, we read numerous short novels, essays, and op-eds. Some of the reading materials are technical but many are not. The students also have weekly writing assignments. When the first assignment is returned to the students with a grade of 20-30%, their first reaction is, ``how come I did not receive my usual 80-90%?'' I retort, ``you reach that level only when your essay is ready to be published in The New York Times.'' What is emphasized in the class is the process of creating something to write about, researching that something, expressing ideas coherently and comprehensibly, then endlessly editing the essay. The elective class has been offered three times thus far, all of its available seats are always filled, the students' evaluations have been outstanding, and the improvements in the students' ability to write by the end of the semester is quite impressive.

  10. Evaluation of HAL/S language compilability using SAMSO's Compiler Writing System (CWS)

    Science.gov (United States)

    Feliciano, M.; Anderson, H. D.; Bond, J. W., III

    1976-01-01

    NASA/Langley is engaged in a program to develop an adaptable guidance and control software concept for spacecraft such as shuttle-launched payloads. It is envisioned that this flight software be written in a higher-order language, such as HAL/S, to facilitate changes or additions. To make this adaptable software transferable to various onboard computers, a compiler writing system capability is necessary. A joint program with the Air Force Space and Missile Systems Organization was initiated to determine if the Compiler Writing System (CWS) owned by the Air Force could be utilized for this purpose. The present study explores the feasibility of including the HAL/S language constructs in CWS and the effort required to implement these constructs. This will determine the compilability of HAL/S using CWS and permit NASA/Langley to identify the HAL/S constructs desired for their applications. The study consisted of comparing the implementation of the Space Programming Language using CWS with the requirements for the implementation of HAL/S. It is the conclusion of the study that CWS already contains many of the language features of HAL/S and that it can be expanded for compiling part or all of HAL/S. It is assumed that persons reading and evaluating this report have a basic familiarity with (1) the principles of compiler construction and operation, and (2) the logical structure and applications characteristics of HAL/S and SPL.

  11. Resist materials for proton beam writing: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kan, J.A. van, E-mail: phyjavk@nus.edu.sg [Centre for Ion Beam Applications, Physics Department, 2 Science Drive 3, National University of Singapore, 117542 Singapore (Singapore); Malar, P. [Research Institute, SRM University, Kattankulathur, Chennai 603203 (India); Wang, Y.H. [Centre for Ion Beam Applications, Physics Department, 2 Science Drive 3, National University of Singapore, 117542 Singapore (Singapore)

    2014-08-15

    Highlights: • PBW can now achieve 19 nm details in HSQ and 65 nm in PMMA. • A complete table of resist materials for PBW has been presented, including minimum feature size, achievable aspect ratio, suitability for electroplating and where available contrast of the resist. • PBW fabricated molds can now be used for single DNA molecule detection, single DNA manipulation and large scale Genome mapping. - Abstract: Proton beam writing (PBW) is a lithographic technique that has been developed since the mid 1990s, initially in Singapore followed by several groups around the world. MeV protons while penetrating materials will maintain a practically straight path. During the continued slowing down of a proton in material it will mainly interact with substrate electrons and transfer a small amount of energy to each electron, the induced secondary electrons will modify the molecular structure of resist within a few nanometers around the proton track. The recent demonstration of high aspect ratio sub 20 nm lithography in HSQ shows the potential of PBW. To explore the full capabilities of PBW, the understanding of the interaction of fast protons with different resist materials is important. Here we give an update of the growing number of resist materials that have been evaluated for PBW. In particular we evaluate the exposure and development strategies for the most promising resist materials like PMMA, HSQ, SU-8 and AR-P and compare their characteristics with respect to properties such as contrast and sensitivity. Besides an updated literature survey we also present new findings on AR-P and PMGI resists. Since PBW is a direct write technology it is important to look for fast ways to replicate micro and nanostructures. In this respect we will discuss the suitability and performance of several resists for Ni electroplating for mold fabrication in nano imprint technologies. We will summarize with an overview of proton resist characteristics like sensitivity, contrast

  12. Resist materials for proton beam writing: A review

    International Nuclear Information System (INIS)

    Kan, J.A. van; Malar, P.; Wang, Y.H.

    2014-01-01

    Highlights: • PBW can now achieve 19 nm details in HSQ and 65 nm in PMMA. • A complete table of resist materials for PBW has been presented, including minimum feature size, achievable aspect ratio, suitability for electroplating and where available contrast of the resist. • PBW fabricated molds can now be used for single DNA molecule detection, single DNA manipulation and large scale Genome mapping. - Abstract: Proton beam writing (PBW) is a lithographic technique that has been developed since the mid 1990s, initially in Singapore followed by several groups around the world. MeV protons while penetrating materials will maintain a practically straight path. During the continued slowing down of a proton in material it will mainly interact with substrate electrons and transfer a small amount of energy to each electron, the induced secondary electrons will modify the molecular structure of resist within a few nanometers around the proton track. The recent demonstration of high aspect ratio sub 20 nm lithography in HSQ shows the potential of PBW. To explore the full capabilities of PBW, the understanding of the interaction of fast protons with different resist materials is important. Here we give an update of the growing number of resist materials that have been evaluated for PBW. In particular we evaluate the exposure and development strategies for the most promising resist materials like PMMA, HSQ, SU-8 and AR-P and compare their characteristics with respect to properties such as contrast and sensitivity. Besides an updated literature survey we also present new findings on AR-P and PMGI resists. Since PBW is a direct write technology it is important to look for fast ways to replicate micro and nanostructures. In this respect we will discuss the suitability and performance of several resists for Ni electroplating for mold fabrication in nano imprint technologies. We will summarize with an overview of proton resist characteristics like sensitivity, contrast

  13. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  14. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  15. Inductive Reasoning and Writing

    Science.gov (United States)

    Rooks, Clay; Boyd, Robert

    2003-01-01

    Induction, properly understood, is not merely a game, nor is it a gimmick, nor is it an artificial way of explaining an element of reasoning. Proper understanding of inductive reasoning--and the various types of reasoning that the authors term inductive--enables the student to evaluate critically other people's writing and enhances the composition…

  16. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  17. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  18. Reading, Writing, and Cooperative Learning in a JTPA Summer Program.

    Science.gov (United States)

    Thistlethwaite, Linda

    1989-01-01

    A Job Training Partnership Act-funded reading, writing, mathematics, and cooperative learning program for 83 participants aged 14-21 was evaluated with pre- and postassessments. Program strengths identified were emphasis on a workplace atmosphere, structure with flexibility, variety in grouping procedures, computer-assisted writing, and outside…

  19. GENERAL ENVIRONMENTAL CORPORATION; CURE ELECTROCOAGULATION TECHNOLOGY: INNOVATIVE TECHNOLOGY EVALUATION REPORT

    Science.gov (United States)

    The CURE electrocoagulation technology was demonstrated under the Superfund Innovative Technology Evaluation (SITE) program at the U.S. Department of Energy (DOE) Rocky Flats Environmental Technology Site (RFETS), where water from the solar evaporation ponds (SEPs) was contaminat...

  20. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  1. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  2. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  3. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  4. The Relationship between Quantitative and Qualitative Measures of Writing Skills.

    Science.gov (United States)

    Howerton, Mary Lou P.; And Others

    The relationships of quantitative measures of writing skills to overall writing quality as measured by the E.T.S. Composition Evaluation Scale (CES) were examined. Quantitative measures included indices of language productivity, vocabulary diversity, spelling, and syntactic maturity. Power of specific indices to account for variation in overall…

  5. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  6. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  7. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  8. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  9. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  10. Technology evaluation for time sensitive data transport

    DEFF Research Database (Denmark)

    Wessing, Henrik; Breach, Tony; Colmenero, Alberto

    . The NREN communities must provide underlying network infrastructures and transport technologies to facilitate ser-vices with such requirements to the network. In this paper we investigate and evaluate circuit and packet based transport technologies from classic best effort IP over MPLS flavours, Provider...... Backbone Bridging (PBB), “Transparent Interconnect of Lots of Links” (TRILL) to Optical Transport Network (OTN) and SDH. The transport technologies are evaluated theoreti-cally, using simulations and/or experimentally. Each transport technology is evaluated based on its performances and capabilities...... overhead and restoration time. Thirdly, complexity and automation possibilities for establishment of paths for high demanding applica-tions, and finally how the technologies are backed by research communities and major vendors like Ciena, Alcatel-Lucent, Nokia-Siemens and Huawei. The technologies...

  11. What Is "Good" Technical Communication? A Comparison of the Standards of Writing and Engineering Instructors.

    Science.gov (United States)

    Smith, Summer

    2003-01-01

    Presents the results of an empirical study comparing writing and engineering instructors' responses to students' technical writing. Indicates that the gap between engineering and writing teachers' standards for evaluating technical writing is not as wide as is generally assumed. Concludes that the differences that do emerge suggest ways that the…

  12. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  13. Writing Apprehension, Computer Anxiety and Telecomputing: A Pilot Study.

    Science.gov (United States)

    Harris, Judith; Grandgenett, Neal

    1992-01-01

    A study measured graduate students' writing apprehension and computer anxiety levels before and after using electronic mail, computer conferencing, and remote database searching facilities during an educational technology course. Results indicted postcourse computer anxiety levels significantly related to usage statistics. Precourse writing…

  14. Teaching Writing in the Social Sciences: A Comparison and Critique of Three Models

    Science.gov (United States)

    Hansen, Kristine; Adams, Joyce

    2010-01-01

    This article describes and evaluates three approaches to teaching writing in the social sciences, particularly psychology: an English department-based course for all social science majors; a team-teaching model that embeds writing in core courses in psychology; and a stand-alone course dedicated to teaching writing in psychology, often taken…

  15. The Impact of Experience and Technology Change on Task-Technology Fit of a Collaborative Technology

    Science.gov (United States)

    Iversen, Jakob H.; Eierman, Michael A.

    2018-01-01

    This study continues a long running effort to examine collaborative writing and editing tools and the factors that impact Task-Technology Fit and Technology Acceptance. Previous studies found that MS Word/email performed better than technologies such as Twiki, Google Docs, and Office Live. The current study seeks to examine specifically the impact…

  16. Writing consumer identities through the iPod

    DEFF Research Database (Denmark)

    Kampf, Constance Elizabeth

    How do web 2.0 and mobile technologies affect the available means for interaction between consumers and corporations? What are the implications for communicating corporate social responsibility? Gee (1996:6) states that “When we write or read, speak or listen, we coordinate and are coordinated...... the potential and implications of mobile technologies for the communication of Corporate Social Responsibility (CSR). The Good Guide iPod application is a mini third party database of product rankings in terms of social responsibility towards consumer health, environmental impact and social effects...

  17. The Impact of Using Email on Improving the Writing Skills Among Iranian Students

    Directory of Open Access Journals (Sweden)

    Abusaied Janfaza

    2014-10-01

    Full Text Available The need for the application of technology in education has been increased. One of the new approaches in technology is using email for learning a second or a foreign language. The present study aimed at investigating the effectiveness of using email in improving writing skills among Iranian EFL students. The participants of the study were 42 pre-intermediate Iranian EFL students in an English language institute in Shiraz, Iran. The participants were randomly assigned into an experimental and a control group. Each group consisted of 21 participants. The treatment continued for three weeks and 3 sessions a week. The students in the experimental group used email for sending their assignments. These students were in contact with their teacher via email and asked writing questions. In contrast, the students in the control group taught writing without using the computer in traditional way. To be sure of homogeneity of the participants, a pre-test was administered before the treatment. After three weeks treatment, a post-test was administered to check the students’ improvement in writing. The findings revealed that the students in in the experimental group performed significantly better than the students in the control group.

  18. Organic Chemistry YouTube Writing Assignment for Large Lecture Classes

    Science.gov (United States)

    Franz, Annaliese K.

    2012-01-01

    This work describes efforts to incorporate and evaluate the use of a YouTube writing assignment in large lecture classes to personalize learning and improve conceptual understanding of chemistry through peer- and self-explanation strategies. Although writing assignments can be a method to incorporate peer- and self-explanation strategies, this…

  19. Electrohydrodynamic Direct-Write Orderly Micro/Nanofibrous Structure on Flexible Insulating Substrate

    Directory of Open Access Journals (Sweden)

    Jiang-Yi Zheng

    2014-01-01

    Full Text Available AC pulse-modulated electrohydrodynamic direct-writing (EDW was utilized to direct-write orderly micro/nanofibrous structure on the flexible insulating polyethylene terephthalate (PET substrate. During the EDW process, AC electrical field induced charges to reciprocate along the jet and decreased the charge repulsive force that applied on charged jet. Thanks to the smaller charge repulsive force, stable straight jet can be built up to direct-write orderly micro/nanofibrous structures on the insulating substrate. The minimum motion velocity required to direct-write straight line fibrous structure on insulating PET substrate was 700 mm/s. Moreover, the influences of AC voltage amplitude, frequency, and duty cycle ratio on the line width of fibrous structures were investigated. This work proposes a novel solution to overcome the inherent charge repulsion emerging on the insulating substrate, and promotes the application of EDW technology on the flexible electronics.

  20. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  1. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  2. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  3. Practice-centred evaluation and the privileging of care in health information technology evaluation.

    Science.gov (United States)

    Darking, Mary; Anson, Rachel; Bravo, Ferdinand; Davis, Julie; Flowers, Steve; Gillingham, Emma; Goldberg, Lawrence; Helliwell, Paul; Henwood, Flis; Hudson, Claire; Latimer, Simon; Lowes, Paul; Stirling, Ian

    2014-06-05

    Our contribution, drawn from our experience of the case study provided, is a protocol for practice-centred, participative evaluation of technology in the clinical setting that privileges care. In this context 'practice-centred' evaluation acts as a scalable, coordinating framework for evaluation that recognises health information technology supported care as an achievement that is contingent and ongoing. We argue that if complex programmes of technology-enabled service innovation are understood in terms of their contribution to patient care and supported by participative, capability-building evaluation methodologies, conditions are created for practitioners and patients to realise the potential of technologies and make substantive contributions to the evidence base underpinning health innovation programmes. Electronic Patient Records (EPRs) and telemedicine are positioned by policymakers as health information technologies that are integral to achieving improved clinical outcomes and efficiency savings. However, evaluating the extent to which these aims are met poses distinct evaluation challenges, particularly where clinical and cost outcomes form the sole focus of evaluation design. We propose that a practice-centred approach to evaluation - in which those whose day-to-day care practice is altered (or not) by the introduction of new technologies are placed at the centre of evaluation efforts - can complement and in some instances offer advantages over, outcome-centric evaluation models. We carried out a regional programme of innovation in renal services where a participative approach was taken to the introduction of new technologies, including: a regional EPR system and a system to support video clinics. An 'action learning' approach was taken to procurement, pre-implementation planning, implementation, ongoing development and evaluation. Participants included clinicians, technology specialists, patients and external academic researchers. Whilst undergoing these

  4. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  5. Using design science in educational technology research projects

    Directory of Open Access Journals (Sweden)

    Susan M. Chard

    2017-12-01

    Full Text Available Design science is a research paradigm where the development and evaluation of a technology artefact is a key contribution. Design science is used in many domains and this paper draws on those domains to formulate a generic structure for design science research suitable for educational technology research projects. The paper includes guidelines for writing proposals using the design science research methodology for educational technology research and presents a generic research report structure. The paper presents ethical issues to consider in design science research being conducted in educational settings and contributes guidelines for assessment when the research contribution involves the creation of a technology artefact.

  6. The impact of interactive whiteboard technology on medical students' achievement in ESL essay writing : an early study in Egypt.

    OpenAIRE

    Albaaly, E.; Higgins, S.

    2011-01-01

    This study investigated the impact of the interactive whiteboard on Egyptian medical students' achievement in essay writing in English as a second language (ESL). First, the writing micro-skills judged essential to help these students improve their essay writing were identified, using a questionnaire which investigated experts' views. This gave rise to a taxonomy of 29 writing micro-skills, which then provided the basis for the design of a teaching module. This module was subsequently taught ...

  7. How to write English scientific paper easily

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeong Sun

    2010-07-15

    This book covers definition of paper, how to write paper, as well as proofreading of paper and process of publication. It explains necessity and kinds of paper, organizations and form of paper, evaluation of paper, titles, abstracts, introductions, method of study, results, considerations, conclusions, acknowledgement and epilogue, reference, writers, pictures and tables, tense and analysis of sentences, submission of paper and ethics of publication, plagiarism, confirmation of items before writing paper, matters to be attended to drafting, and criteria and assessment of the examination of paper.

  8. How to write English scientific paper easily

    International Nuclear Information System (INIS)

    Kim, Hyeong Sun

    2010-07-01

    This book covers definition of paper, how to write paper, as well as proofreading of paper and process of publication. It explains necessity and kinds of paper, organizations and form of paper, evaluation of paper, titles, abstracts, introductions, method of study, results, considerations, conclusions, acknowledgement and epilogue, reference, writers, pictures and tables, tense and analysis of sentences, submission of paper and ethics of publication, plagiarism, confirmation of items before writing paper, matters to be attended to drafting, and criteria and assessment of the examination of paper.

  9. The use of Edmodo in teaching writing in a blended learning setting

    OpenAIRE

    Pupung Purnawarman; Susilawati Susilawati; Wachyu Sundayana

    2016-01-01

    The advancement of technology provides education with varioussolutions to create new learning environments. Edmodo as a learning platform is believed to offera solution in the teaching of English, particularly for teaching writing. This research was aimed to investigate how Edmodo as a learning platform,in a blended learning setting, was implemented in teaching writing in its combination with Genre-based Approach, how Edmodo facilitated students’ engagement, and how students perceived the use...

  10. Using Cloud Collaboration for Writing Assignments by Students with Disabilities: A Case Study Using Action Research

    Science.gov (United States)

    Keane, Kjrsten; Russell, Miriam

    2014-01-01

    Though separated by geographical distance, a student with disabilities, his advisor, and his writing coach consorted in the Cloud using Google applications to achieve a writing goal. Our scenario demonstrates how emerging technologies can bridge transactional distance and "virtually" supplant face-to-face conferencing around a college…

  11. Sustainability evaluation of water supply technologies

    DEFF Research Database (Denmark)

    Godskesen, Berit

    Sustainability evaluation of water supply systems is important to include in the decision making process when planning new technologies or resources for water supply. In Denmark the motivations may be many and different for changing technology, but since water supply is based on groundwater...... the main driver is the limitations of the available resource from the groundwater bodies. The environmental impact of products and systems can be evaluated by life-cycle assessment (LCA) which is a comprehensive and dominant decision support tool capable of evaluating a water system from the cradle......-criteria decision analysis method was used to develop a decision support system and applied to the study. In this thesis a standard LCA of the drinking water supply technology of today (base case) and 4 alternative cases for water supply technologies is conducted. The standard LCA points at the case rain...

  12. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  13. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  14. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  15. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  16. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  17. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  18. Lexical and Grammatical Collocations in Writing Production of EFL Learners

    Directory of Open Access Journals (Sweden)

    Maryam Bahardoust

    2012-05-01

    Full Text Available Lewis (1993 recognized significance of word combinations including collocations by presenting lexical approach. Because of the crucial role of collocation in vocabulary acquisition, this research set out to evaluate the rate of collocations in Iranian EFL learners' writing production across L1 and L2. In addition, L1 interference with L2 collocational use in the learner' writing samples was studied. To achieve this goal, 200 Persian EFL learners at BA level were selected. These participants were taking paragraph writing and essay writing courses in two successive semesters. As for the data analysis, mid-term, final exam, and also the assignments of L2 learners were evaluated. Because of the nominal nature of the data, chi-square test was utilized for data analysis. Then, the rate of lexical and grammatical collocations was calculated. Results showed that the lexical collocations outnumbered the grammatical collocations. Different categories of lexical collocations were also compared with regard to their frequencies in EFL writing production. The rate of the verb-noun and adjective-noun collocations appeared to be the highest and noun-verb collocations the lowest. The results also showed that L1 had both positive and negative effect on the occurrence of both grammatical and lexical collocations.

  19. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  20. Explicit or Implicit Instruction of Metadiscourse Markers and Writing Skill Improvement

    Directory of Open Access Journals (Sweden)

    Ahmad Yaghoubi

    2014-07-01

    Full Text Available The current study is an attempt to investigate the explicit or the implicit instruction of metadiscourse markers and the writing skill improvement. The participants of the study (N = 90 were female Iranian students at Kish Institute of Science and Technology. Two experimental groups were defined in this study: experimental group “A” which received the explicit instruction of metadiscourse markers and experimental group “B” which were taught implicitly based on Hyland’s (2005 classification of metadiscourse markers. Two instruments were employed in the study: a pretest and a posttest. To elicit the pertinent data, the participants were given a pretest of writing ability to investigate if the learners had knowledge regarding the correct application of ‎metadiscourse markers in their writing. After 8-session treatment, a posttest was administered to compare the participants’ performance in use of matediscourse markers. The findings of the present study indicated that there was a significant difference in the participants’ pretest and posttest writing scores with regard to the application of metadiscourse markers. The findings revealed that metadiscourse instruction had a positive effect on the learners’ writing. In addition, the results showed that both the explicit and the implicit instruction of metadiscourse markers significantly improved participants’ writing ability.

  1. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  2. Wikis and Collaborative Writing Applications in Health Care: A Scoping Review Protocol

    Science.gov (United States)

    van de Belt, Tom H; Grajales III, Francisco J; Eysenbach, Gunther; Aubin, Karine; Gold, Irving; Gagnon, Marie-Pierre; Kuziemsky, Craig E; Turgeon, Alexis F; Poitras, Julien; Faber, Marjan J; Kremer, Jan A.M; Heldoorn, Marcel; Bilodeau, Andrea; Légaré, France

    2012-01-01

    The rapid rise in the use of collaborative writing applications (eg, wikis, Google Documents, and Google Knol) has created the need for a systematic synthesis of the evidence of their impact as knowledge translation (KT) tools in the health care sector and for an inventory of the factors that affect their use. While researchers have conducted systematic reviews on a range of software-based information and communication technologies as well as other social media (eg, virtual communities of practice, virtual peer-to-peer communities, and electronic support groups), none have reviewed collaborative writing applications in the medical sector. The overarching goal of this project is to explore the depth and breadth of evidence for the use of collaborative writing applications in health care. Thus, the purposes of this scoping review will be to (1) map the literature on collaborative writing applications; (2) compare the applications’ features; (3) describe the evidence of each application’s positive and negative effects as a KT intervention in health care; (4) inventory and describe the barriers and facilitators that affect the applications’ use; and (5) produce an action plan and a research agenda. A six-stage framework for scoping reviews will be used: (1) identifying the research question; (2) identifying relevant studies within the selected databases (using the EPPI-Reviewer software to classify the studies); (3) selecting studies (an iterative process in which two reviewers search the literature, refine the search strategy, and review articles for inclusion); (4) charting the data (using EPPI-Reviewer’s data-charting form); (5) collating, summarizing, and reporting the results (performing a descriptive, numerical, and interpretive synthesis); and (6) consulting knowledge users during three planned meetings. Since this scoping review concerns the use of collaborative writing applications as KT interventions in health care, we will use the Knowledge to Action

  3. Study on Related Courses to Help Undergraduate Students Write Research Reports: A Curriculum Evaluation

    Directory of Open Access Journals (Sweden)

    Eny Winarti

    2014-02-01

    Full Text Available From the experience of joining the boards in the students’ research report defence, teaching education research methodology, and classroom action research, the researcher indicated that students had challenges related with the logic of research methods and academic research writing.  These findings encouraged the researcher to study the courses that have potential in helping students writing their research reports.  To study the courses, the researcher analysed related documents, such as syllabi and lesson plans.  The researcher also interviewed teachers and students to clarify the relevance of the syllabi and the classroom learning.  The results of the study indicated that logic, academic writing, statistics, research methodology, and classroom action research had the potential of helping the students write their research report.  The researcher also indicated that the content of the courses should have been more helpful.  The fact, however, was that the students still had challenges understanding the materials after taking the courses.  Further study about this fact is then recommended.

  4. The effect of digital storytelling in improving the third graders' writing skills

    Directory of Open Access Journals (Sweden)

    Ahmet Yamaç

    2016-09-01

    Full Text Available The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with digital storytelling was profoundly and carefully explored through observation and field notes, interviews, audio and video records, student diaries and documents, and student products. The results indicated that digital storytelling enhanced students’ ideas, organization, word choice, sentence fluency, and conventions in terms of writing quality. Similarly, the digital storytelling improved story elements and word counts in stories. In terms of the quality of students’ digital stories, the results demonstrated a steady progress in the elements of digital stories, and the technology literacy and competency of students throughout the process. Besides, the digital storytelling modified the process of narrative writing, and emerged as a beneficial tool to overcome the digital divide by developing students’ new literacy perception, competency, and skills. The digital storytelling also created learning community by improving interactions among students in the classroom, and increased their motivation to write.

  5. The Effect of Digital Storytelling in Improving the Third Graders' Writing Skills

    Directory of Open Access Journals (Sweden)

    Ahmet YAMAÇ

    2016-09-01

    Full Text Available The aim of this action research was to investigate the effects of digital storytelling in improving the writing skills of third grade students enrolled in rural primary schools. The writing performances of the students were measured before and after the teaching procedures of digital storytelling. Then, the process of narrative writing with digital storytelling was profoundly and carefully explored through observation and field notes, interviews, audio and video records, student diaries and documents, and student products. The results indicated that digital storytelling enhanced students’ ideas, organization, word choice, sentence fluency, and conventions in terms of writing quality. Similarly, the digital storytelling improved story elements and word counts in stories. In terms of the quality of students’ digital stories, the results demonstrated a steady progress in the elements of digital stories, and the technology literacy and competency of students throughout the process. Besides, the digital storytelling modified the process of narrative writing, and emerged as a beneficial tool to overcome the digital divide by developing students’ new literacy perception, competency, and skills. The digital storytelling also created learning community by improving interactions among students in the classroom, and increased their motivation to write.

  6. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Directory of Open Access Journals (Sweden)

    Rifat Ramazan Berk

    2017-01-01

    Full Text Available The purpose of this study is to determine sixth, seventh and eighth grade students’ writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students’ grade levels and genders are significant predictor of writing anxiety and dispositions or not. The research was designed according to survey model. The study group, selected through simple sampling method, is made up of 860 students studying at 6th, 7th and 8th grades in elementary schools of Şarkışla District, Sivas. While “Writing Anxiety Scale”, adapted into Turkish by Özbay and Zorbay (2011, was administered to determine the study group’s writing anxiety level, “Writing Disposition Scale”, adapted into Turkish by İşeri and Ünal (2010, was used to determine the writing disposition level. At the end of the study, it was found that writing disposition is a significant predictor of writing anxiety and students’ grade levels and genders are significant predictors of writing anxiety and dispositions. An education environment to create a strong writing disposition for students is recommended. Also, similar studies on different dimensions of the issue can be conducted.

  7. Right Writing (or Writing Right) for Creativity in Advertising.

    Science.gov (United States)

    Pearce, R. Charles

    1989-01-01

    Suggests techniques from Peter Elbow's book, "Writing with Power," for an advertising copywriting class. Describes in detail an eight-step procedure: warm-up, loop writing, sharing, revision, sharing, revision, editing group sharing, and revision. (MS)

  8. Impact of Writing Proficiency and Writing Center Participation on Academic Performance

    Science.gov (United States)

    Bielinska-Kwapisz, Agnieszka

    2015-01-01

    Purpose: Given that there exists in the literature relatively little research into the effectiveness of writing centers at universities, the purpose of this paper is to show the impact of university writing centers on first-year business seminar student writing. Design/methodology/approach: This quantitative study involved 315 first-year…

  9. Teaching children to write: A meta-analysis of writing intervention research

    NARCIS (Netherlands)

    Koster, M.; Tribushinina, E.; de Jong, P.F.; van den Bergh, H.

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  10. Teaching Children to Write : A Meta-Analysis of Writing Intervention Research

    NARCIS (Netherlands)

    Koster, M.P.; Tribushinina, E.|info:eu-repo/dai/nl/31511780X; De Jong, Peter; van den Bergh, H.H.|info:eu-repo/dai/nl/074044400

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  11. Student Writing Accepted as High-Quality Responses to Analytic Text-Based Writing Tasks

    Science.gov (United States)

    Wang, Elaine; Matsumura, Lindsay Clare; Correnti, Richard

    2018-01-01

    Literacy standards increasingly emphasize the importance of analytic text-based writing. Little consensus exists, however, around what high-quality student responses should look like in this genre. In this study, we investigated fifth-grade students' writing in response to analytic text-based writing tasks (15 teachers, 44 writing tasks, 88 pieces…

  12. Early Writing Development: Kindergarten Teachers' Beliefs about Emergent Writing in Qatari Preschool Settings

    Science.gov (United States)

    Al-Maadadi, Fatima; Ihmeideh, Fathi

    2016-01-01

    Writing often begins during the very early years of childhood; however, some children first learn writing when they begin attending school. Teachers' beliefs about early writing development can influence when and how children learn to write. The purpose of this study was to determine kindergarten teachers' beliefs about the development of…

  13. Improving Young Children's Writing: The Influence of Story Structure on Kindergartners' Writing Complexity

    Science.gov (United States)

    Watanabe, Lynne M.; Hall-Kenyon, Kendra M.

    2011-01-01

    This study examined the change in complexity of kindergarteners' writing after implementing writing instruction based on story elements. Writing samples from six students of three ability levels were collected over a 6-week period. Writing samples included students' oral language, pictures, and written text and were analyzed using two rubrics…

  14. Writing Anxiety: A Case Study on Students’ Reasons for Anxiety in Writing

    OpenAIRE

    Kara, Selma

    2013-01-01

    The purpose of the present study was twofold. First, the present study set out to investigate the learners‟ attitudes towards academic writing courses that they have to take as part of their curriculum, whether they experience second language writing anxiety and what reasons they report for their anxiety and failure in academic writing courses. Second, the study aimed to develop a selfreport measure of second language writing anxiety reasons

  15. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    Science.gov (United States)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  16. Development of technology for next generation reactor - Research of evaluation technology for nuclear power plant -

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jong Kyun; Chang, Moon Heuy; Hwang, Yung Dong [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)] [and others

    1993-09-01

    For development of next generation reactor, a project for evaluation technology for nuclear power plant is performed. Evaluation technology is essential to next generation reactor for reactor safety and system analysis. For design concept, detailed evaluation technologies are studied as follows: evaluation of safety margin, evaluation of safety facilities, evaluation of measurement and control technology; man-machine interface. Especially for thermal efficiency, thermal properties and chemical composition of inconel 690 tube, instead of inconel 600 tube, are measured for steam generator. (Author).

  17. Integration of sources in academic writing: A corpus-based study of citation practices in essay writing in two departments at the University of Botswana

    Directory of Open Access Journals (Sweden)

    Boitumelo T. Ramoroka

    2014-04-01

    Full Text Available The ability to cite sources appropriately is an important feature of academic writing. Academic writers are expected to integrate ideas of others into their texts and take a stance towards the reported material as they develop their arguments. Despite this importance, research has shown that citation presents considerable difficulties for students, particularly non-native English speakers. Such difficulties include using citations effectively in writing and understanding them in reading, expressing one’s voice and signalling citations in writing so that there is a clear distinction between one’s ideas and those derived from source materials. This study investigates the types of reporting verbs used by students to refer to the work of others and the extent to which they evaluate the work of others in their writing. It draws from a corpus of approximately 80 000 words from essays written by students in two departments at the University of Botswana (Botswana. The findings show that students used more informing verbs, associated with the neutral passing of information from the source to the reader, without interpreting the information cited, compared with argumentative verbs (which signify an evaluative role. The results of the study underscore the importance of teaching reporting verbs in the English for academic purposes classroom and making students aware of their evaluative potential.

  18. LEARNING TO TEACH WRITING THROUGH WRITING

    Directory of Open Access Journals (Sweden)

    Svetlana Suchkova

    2013-01-01

    Full Text Available This paper discusses some major issues concerning teaching writing to future teachers. There are a lot of EFL/ESL textbooks focused on teaching writing. However, those that are intended for trainee teachers are rare on the market. The goal of this paper is to share the result of several years of work on the writing syllabus and materials that is effective in the process of teaching future teachers. It contains sample of tasks based on certain principles that may promote teachers to become effective writers for themselves and, at the same time, to acquire initial professional skills necessary in their future career. A course book can not address any audience in general. It must focus on a particular learner, the objectives, and content of the process of learning. In the situation when no textbook meets these requirements, the problem of providing students with an appropriate textbook must be solved by creating new textbooks.

  19. Weapons of Mass Destruction Technology Evaluation and Training Range

    Energy Technology Data Exchange (ETDEWEB)

    Kevin Larry Young

    2009-05-01

    The Idaho National Laboratory (INL) has a long history for providing technology evaluation and training for military and other federal level Weapons of Mass Destruction (WMD) response agencies. Currently there are many federal organizations and commercial companies developing technologies related to detecting, assessing, mitigating and protecting against hazards associated with a WMD event. Unfortunately, very few locations exist within the United States where WMD response technologies are realistically field tested and evaluated using real chemical, biological, radiological, nuclear and explosive materials. This is particularly true with biological and radiological hazards. Related to this lack of adequate WMD, multi-hazard technology testing capability is the shortage of locations where WMD response teams can train using actual chemical, biological, and radiological material or highly realistic simulates. In response to these technology evaluation and training needs, the INL has assembled a consortium of subject matter experts from existing programs and identified dedicated resources for the purpose of establishing an all-hazards, WMD technology evaluation and training range. The author describes the challenges associated with creating the all-hazards WMD technology evaluation and training range and lists the technical, logistical and financial benefits of an all-hazards technology evaluation and training range. Current resources and capabilities for conducting all-hazard technology evaluation and training at the INL are identified. Existing technology evaluation and training programs at the INL related to radiological, biological and chemical hazards are highlighted, including successes and lessons learned. Finally, remaining gaps in WMD technology evaluation and training capabilities are identified along with recommendations for closing those gaps.

  20. The Effect of Mobile Social Networking as a Supplementary Strategy on EFL Learners’ Writing Ability

    Directory of Open Access Journals (Sweden)

    Sedigheh Talakoub

    2018-03-01

    Full Text Available The popularity of mobile learning and social networking sites has encouraged second language instructors to integrate these technologies into learners’ curriculum. In this study, the learners were supposed to practice in an online jigsaw writing as an extra-curricular activity. They used their imagination and creativity to depict their thoughts using their previous knowledge. The current research had both a qualitative and quantitative phases. From the quantitative perspective, the effectiveness of mobile social networking on EFL learners’ writing ability was examined statistically. In doing so, 60 EFL learners were divided into the experimental and control groups. The learners of the experimental group were also assigned into three virtual groups in which they could help each other to write a piece of writing on pre-determined topics during twelve sessions. Running the t-test indicated that the students in the experimental group could significantly outperform their counterparts in the post-test. Therefore, it could be claimed that mobile social networking as a supplementary strategy had positive effects on EFL learners’ writing ability. In the qualitative phase of the study, a semi-structured interview was conducted in order to explore learners’ attitudes and beliefs about the experiment they had. The responses to the semi-structured interview also revealed that the learners had positive attitudes towards this online supplementary technology-supported writing. The pedagogical implications of the study were discussed and further suggestions were put forward.

  1. Language Literacy in Writing

    Directory of Open Access Journals (Sweden)

    Saeideh Ahangari

    2008-05-01

    Full Text Available This paper explores the ways in which the transfer of assumptions from first language (L1 writing can help the process of writing in second language (L2. In learning second language writing skills, learners have two primary sources from which they construct a second language system: knowledge and skills from first language and input from second language. To investigate the relative impact of first language literacy skills on second language writing ability, 60 EFL students from Tabriz Islamic Azad University were chosen as participants of this study, based on their language proficiency scores. The subjects were given two topics to write about: the experimental group subjects were asked to write in Persian and then translate their writing into English. The control group wrote in English. The results obtained in this study indicate that the content and vocabulary components of the compositions were mostly affected by the use of first language.

  2. Supporting the development of postgraduate academic writing skills in South African universities

    Directory of Open Access Journals (Sweden)

    Schulze, Salome

    2017-06-01

    Full Text Available The ability to write according to the conventions and forms of disciplinary academic writing is essential to success at university. Meeting the demands of quality academic writing is a challenge to the increasing number of English Second Language (ESL students worldwide, from undergraduate to postgraduate level, who choose to study and publish in English. In particular, postgraduate students in South African universities struggle with the rigours of dissertation writing. Drawing on Lave and Wenger’s (1991 theory of collaborative learning in a community of practice (CoP, an exploratory, qualitative inquiry was undertaken to examine the support given by six selected South African higher education institutions (HEIs to promote the development of academic writing skills among master’s and doctoral students. Data were gathered from a purposeful sample of 10 expert informants through interviews, email communication, and scrutiny of institutional websites. Findings deal with academic writing skills as the core competence necessary for full participation in the academic CoP; the nature of postgraduate student engagement with core members of the CoP, such as supervisors and language experts; and the availability and efficacy of a range of intra-organisational resources, including informal and formal peer interaction with those who have more expertise in writing, books, manuals, visual representations, and technological tools, to develop academic writing among postgraduate students. Based on the findings, recommendations are made for ways in which institutions can strengthen, enrich, and extend the CoP to support academic writing skills of ESL postgraduate students.

  3. Essay-writing module for second-year students of history

    Directory of Open Access Journals (Sweden)

    Carstens, Adelia

    2008-12-01

    Full Text Available Increasing evidence from corpus, discourse and genre analysis has indicated that there is significant variation between disciplines in the way that they structure their discourses, in particular their written genres. Therefore, discipline-specific approaches in language teaching have gained much support in recent years. However, few studies have thus far given a systematic account of relationships between disciplinary purposes and writing conventions, or have used such information as input for course design. This article analyses the purposes of historical writing, and relates these to the salient concepts, genres and modes found in historical discourse. In particular, the discursive and lexicogrammatical choices that are available to the historian for the construal of time, cause and effect, and judgement or evaluation are explored. One particular aspect of evaluation, viz. engagement, is teased out in more detail to demonstrate the pedagogical value of corpus-based genre analysis. The findings underscore the assumption that disciplinary purposes shape texts in a discipline, and show that there is a clear relationship between the main purposes of a subject-field and its writing conventions – at least as far as History is concerned. A genre-based syllabus for a writing course aimed at second-year students of history is subsequently proposed, and a preview is given of the follow-up research that is envisaged to evaluate the effect of the intervention as well as to compare it with the effect of a generic intervention.

  4. Book Review: Stop, Write!

    Directory of Open Access Journals (Sweden)

    Hans Thulesius

    2013-06-01

    Full Text Available This book on writing grounded theory is intended for the empirical GT researcher who wants to pursue his/her research until publication. It is the first book devoted entirely to such a crucial issue as writing grounded theory. Thus, Stop, Write: Writing Grounded Theory, is a practical book that fills a gap in GT methodology. In the first chapter of the book, Dr. Glaser says, “Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long”. The book teaches the reader how to actually write a grounded theory by “simply” writing up the sorted memos. This requires efficient sorting that is dealt with in chapter two on Sorting Memos, which includes precious repetition from Theoretical Sensitivity (1978. How writing can be done effectively is outlined in chapter three The Working Paper. Then follows chapter four on how to rework the first draft with the different tasks of editing for language and professionalism. Thereafter Dr. Glaser discusses Writing Problems in chapter five where he gives useful guidance on how to overcome writing blocks and problems with supervisors and dissertation committees. The book also deals with publishing and with collaboration as experienced between Barney Glaser and the cofounder of grounded theory, Anselm Strauss.

  5. Writing-to-Learn, Writing-to-Communicate, & Scientific Literacy

    Science.gov (United States)

    Balgopal, Meena; Wallace, Alison

    2013-01-01

    Writing-to-learn (WTL) is an effective instructional and learning strategy that centers on the process of organizing and articulating ideas, as opposed to writing-to-communicate, which centers on the finished written product. We describe a WTL model that we have developed and tested with various student groups over several years. With effective…

  6. Faculty role modeling of professional writing: one baccalaureate nursing program's experience.

    Science.gov (United States)

    Newton, Sarah E

    2008-01-01

    According to The Essentials of Baccalaureate Education for Professional Nursing Practice (American Association of Colleges of Nursing, 1998), professional writing is an important outcome of baccalaureate nursing education. Most baccalaureate nursing programs in the United States expect formally written student papers to adhere to the style requirements outlined in the Publication Manual of the American Psychological Association (APA, 2001). It is essential for the baccalaureate nursing faculty members who evaluate student papers to be role models for the desired writing behaviors to facilitate student attainment of professional writing outcomes. However, to what extent nursing faculty members' writing behaviors and knowledge of the APA style requirements impact student writing outcomes is not known because the issue has not been addressed in the literature. The purpose of this article is to describe one Midwestern baccalaureate nursing program's faculty development efforts to assess faculty familiarity with the APA style requirements and how such knowledge may impact baccalaureate nursing students' writing outcomes.

  7. Student-Teachers across the Curriculum Learn to Write Feedback: Does It Reflect on Their Writing?

    Science.gov (United States)

    Cohen-sayag, Esther

    2016-01-01

    The study examined the connection between writing competency and writing feedback experiences through academic writing course for student-teachers across the curriculum. The aims of the course were to prepare student-teachers to their role as writing facilitators and to improve their writing. Experimental and control group differed in course plan…

  8. Writing Retreat Increases Productivity And Community For Women Geoscientists

    Science.gov (United States)

    O'Connell, S.; Holmes, M.

    2011-12-01

    Five, weeklong geoscientist writing retreats have been completed with an NSF ADANCE PAID grant. During the five nights and four and a half days, eight to twenty-four academics have gathered in a rural setting outside of Boston to get to focus on writing papers and proposals while getting to know each other. Participants range in age and experience from graduate students to emeritus professors. Over twenty papers and proposals acknowledge their production, in part to this writing retreat. Impact extends beyond papers as informal mentoring and discussions at meals and in the evenings centers on succeeding in academia. Research and teaching are foremost in the conversation. Post-docs learn strategies for applying for jobs and grants, and senior professors discuss strategies for working with academic administrations, running departments and mentoring students. They also learn new technologies and perspectives from younger participants. Particularly helpful are discussions on work-life balance. Networking opportunities extend beyond the retreat as participants join each other at their home institutions to give seminars, develop research projects and mentor each other's students. All weeks follow the same format. Participants arrive Sunday and meet during an evening welcome reception. Monday is devoted to writing. Tuesday a writing coach is available. In the morning, using examples from the scientific literature, she discusses strategies and techniques for writing clearly at a group session. During the afternoon, participants work with the coach individually or in small groups to improve their own writing projects. Wednesday evening a skill session is offered on a topic of interest. These have included undergraduate research, NSF funding, productive techniques for dealing with conflict, and generational characteristics and attitudes, which can hamper communication. A Thursday evening wrap-up session prepares participants for Friday's departure. We believe that this model

  9. DOMAIN SPECIFIC BELIEFS ABOUT WRITING AND WRITING PERFORMANCE OF PRESERVICE ENGLISH TEACHERS: IS THERE ANY RELATIONSHIP?

    Directory of Open Access Journals (Sweden)

    Seray Tanyer

    2017-07-01

    Full Text Available Learning as a retrospective phenomenon can make learners transmit their past as an ingredient while they are (restructuring their present and future. Previous and present experiences can form a basis for cognitive, behavioral and motivational factors which can create a cognitive load for learners and affect their learning process. In this regard, current study aims to investigate first-year undergraduates’ beliefs about writing and relation of these beliefs to writing performance in essay writing. A total of 147 students studying in ELT department of a Turkish university participated in the research. Their domain-specific beliefs about writing were determined through the Beliefs about Writing Survey (BAWS. Writing performance was measured on an essay writing task by calculating both overall grade and six component grades. As a result, multiple regression analysis affirmed that beliefs about writing accounted for writing performance independently. Pearson correlation values showed that some beliefs about writing were adaptive and associated with higher writing scores (e.g. “Adapt to the Audience”. Also, some belief subcategories were associated with each other. The results of the present study have been discussed along with the related literature on beliefs about writing and writing performance. Implications/suggestions related to the coursework, writing practices and future research have been presented.

  10. Scaffolding Singaporean Students to Write Vividly in the Chinese ‘Mother Tongue’, Mandarin

    Directory of Open Access Journals (Sweden)

    Tzemin Chung

    2014-02-01

    Full Text Available This paper details results from a three-year study investigating how to help students in Singapore write vivid compositions in Mandarin, the Chinese ‘mother tongue’. Mastery of the mother tongue by Singaporean students has become an important government priority in recent years. The strategies employed by this study included the use of information and communications technology (ICT mediated scaffolds such as collaborative mind maps and online peer editing to help students learn micro-writing strategies. This paper outlines the process of using various scaffolds to support students to learn and apply the action chain micro-writing strategy. A class of 31 Primary 4 from a neighbourhood school participated in this study. Findings indicated that students were very enthusiastic about writing in the ICT-mediated environment. Contrary to the teacher’s initial belief, students could be scaffolded to write action chains quickly. Findings highlighted the potential of scaffolding students in learning small chunks of writing strategy in an ICT-mediated environment that enabled them to practice these strategies in their composition writing until they could master and apply them. These micro-writing strategies gradually built up to a complex set of skills, including expressive writing. Moreover, students enjoyed working in groups and editing their peers’ work online. This showed that peers could be engaged as scaffolders in the classroom to free up the teacher’ time, allowing the teacher more time to spend with students who were not performing well.

  11. MBA Students' Workplace Writing: Implications for Business Writing Pedagogy and Workplace Practice

    Science.gov (United States)

    Lentz, Paula

    2013-01-01

    Employers frequently complain about the state of their employees' writing skills. Much of the current research on this subject explores workplace writing skills from the employer's perspective. However, this article examines workplace writing from the employees' perspective. Specifically, it analyzes MBA students' responses to a course assignment…

  12. Technology Evaluation Report: Non-destructive ...

    Science.gov (United States)

    Technology Evaluation Report HSRP is working to develop tools and information that will help detect the intentional introduction of chemical or biological contaminants in buildings or water systems, the containment of these contaminants, the decontamination of buildings and/or water systems, and the management of wastes generated from decontamination and cleanup operations. Evaluation of the performance of CBI Polymers’ DeconGelTM 1108, Environmental Alternatives, Inc.’s (EAI’s) Rad-Release II (RRII), Environmental Alternatives, Inc.’s SuperGel, and Intek Technologies’ LH-21. The objective of evaluating these technologies was to test their ability to remove radioactive cesium (Cs)-137 from the mixed building material coupons of brick with mortar, tile with grout, granite with mortar, all mortar and all grout coupons.

  13. Medical Writing Competency Model - Section 1: Functions, Tasks, and Activities.

    Science.gov (United States)

    Clemow, David B; Wagner, Bertil; Marshallsay, Christopher; Benau, Dan; L'Heureux, Darryl; Brown, David H; Dasgupta, Devjani Ghosh; Girten, Eileen; Hubbard, Frank; Gawrylewski, Helle-Mai; Ebina, Hiroko; Stoltenborg, Janet; York, J P; Green, Kim; Wood, Linda Fossati; Toth, Lisa; Mihm, Michael; Katz, Nancy R; Vasconcelos, Nina-Maria; Sakiyama, Norihisa; Whitsell, Robin; Gopalakrishnan, Shobha; Bairnsfather, Susan; Wanderer, Tatyana; Schindler, Thomas M; Mikyas, Yeshi; Aoyama, Yumiko

    2018-01-01

    This article provides Section 1 of the 2017 Edition 2 Medical Writing Competency Model that describes the core work functions and associated tasks and activities related to professional medical writing within the life sciences industry. The functions in the Model are scientific communication strategy; document preparation, development, and finalization; document project management; document template, standard, format, and style development and maintenance; outsourcing, alliance partner, and client management; knowledge, skill, ability, and behavior development and sharing; and process improvement. The full Model also includes Section 2, which covers the knowledge, skills, abilities, and behaviors needed for medical writers to be effective in their roles; Section 2 is presented in a companion article. Regulatory, publication, and other scientific writing as well as management of writing activities are covered. The Model was developed to aid medical writers and managers within the life sciences industry regarding medical writing hiring, training, expectation and goal setting, performance evaluation, career development, retention, and role value sharing to cross-functional partners.

  14. Impact of a Braille-Note on Writing: Evaluating the Process, Quality, and Attitudes of Three Students Who Are Visually Impaired

    Science.gov (United States)

    Kamei-Hannan, Cheryl; Lawson, Holly

    2012-01-01

    Educators of students with visual impairments have long advocated that children who read and write in braille benefit from access to and use of a braille note-taker (BNT) with refreshable braille display. However, little research exists that evaluates whether using a BNT impacts literacy. In this single-subject study, authors investigated if using…

  15. THE USE OF RESEARCH PAPER WRITING INSTRUCTIONAL MATERIALSTO IMPROVE STUDENTS‟ACADEMIC WRITING: A CLASSROOM ACTION RESEARCH

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-04-01

    Full Text Available Most of students in English Education Department of IKIP PGRI Bojonegoro frequently consider that academic writing, in term of writing scientific paper, is not easy task to do. The result of their academic writing performance at preliminary research indicated that they achieved low scores in writing a scientific article. Consequently, they are not motivated in academic writing. For this case, I used Research Paper Writing Instructional Materials as sources in teaching and learning. This research investigatedwhether the use of Research Paper Writing Instructional Materials can improve students‘ academic writing andhow class situation is when Research Paper Writing Instructional Materials are used as a source of teaching and learning process. This is a Classroom Action Research (CAR which is conducted at the fourth semester students of English Education Department of IKIP PGRI Bojonegoro in the academic year of 2014/2015. This research was done in two cycles. Each cycle consisted of four steps: Planning, Acting, Observing, and Reflecting. The qualitative data were collected through observation and interview. The quantitative data were collected through test. The research findings revealed that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and improve students‘ motivation in academic writing class.Derived from the findings, it can be concluded that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and class situation. Therefore, it is recommended for the lecturers to use Research Paper Writing Instructional Materialsas it can improve students‘ academic writing as well as class situation.

  16. Acts of Writing: A Compilation of Six Models That Define the Processes of Writing

    Science.gov (United States)

    Sharp, Laurie A.

    2016-01-01

    Writing is a developmental and flexible process. Using a prescribed process for acts of writing during instruction does not take into account individual differences of writers and generates writing instruction that is narrow, rigid, and inflexible. Preservice teachers receive limited training with theory and pedagogy for writing, which potentially…

  17. Field Botany and Creative Writing: Where the Science of Writing Meets the Writing of Science

    Science.gov (United States)

    Killingbeck, Keith

    2006-01-01

    Merging science and writing to enhance both subjects was the objective of a venture known as "Plant Notes." At first, teacher-written notes served as the inspiration for this writing assignment. Later, eclectic student-written novellas, poems, song lyrics, mnemonic devices, and field trip recollections made their way into "Plant Notes" and stole…

  18. Examining the Read-to-Write Strategy and its Effects on Second Grader’s Writing of Sequential Text

    OpenAIRE

    Neal, John

    2017-01-01

    Writing is so important. It is important in school and in our careers; writing is found to be helpful physiologically and psychologically. Experts wonder, with writing so important, why is writing not being adequately taught in the schools. The answer may be that writing is complex and teaching it is even more complex. The Read-to-Write Strategy is a writing model based on the study of exemplary models of text and children are explicitly taught how to write the way an author writes through a ...

  19. Embodiment, Virtual Space, Temporality and Interpersonal Relations in Online Writing

    Science.gov (United States)

    Adams, Catherine; van Manen, Max

    2006-01-01

    In this paper we discuss how online seminar participants experience dimensions of embodiment, virtual space, interpersonal relations, and temporality; and how interacting through reading-writing, by means of online technologies, creates conditions, situations, and actions of pedagogical influence and relational affectivities. We investigate what…

  20. Flipping to Teach the Conceptual Foundations of Successful Workplace Writing

    Science.gov (United States)

    Campbell, Kim Sydow

    2016-01-01

    Flipping originated in science, technology, engineering, and mathematics fields, where didactic transmission of conceptual knowledge has been the standard pedagogy. Flipping has resulted in additional focus on procedural knowledge within class meetings. This article argues that business and professional writing pedagogy, which already focuses…

  1. Writing Editorials.

    Science.gov (United States)

    Pappas, Marjorie L.

    2003-01-01

    Presents a thematic unit for middle schools on editorial writing, or persuasive writing, based on the Pathways Model for information skills lessons. Includes assessing other editorials; student research process journals; information literacy and process skills; and two lesson plans that involve library media specialists as well as teachers. (LRW)

  2. Business Writing.

    Science.gov (United States)

    Burt, Lorna; Lewandowski, Carol

    This workbook, designed for workplace literacy courses, contains materials for a business writing course. The course presents the fundamentals of effective business letter writing, focusing on logical organization, word choice, style, tone, and clarity. The course uses students' own examples as well as practice exercises for reinforcement.…

  3. The Writing Crisis and How to Address It through Developmental Writing Classes

    Science.gov (United States)

    Sacher, Cassandra L. O.

    2016-01-01

    Since high school students are failing to master writing proficiency, developmental writing programs at the college level have become increasingly necessary. This article explains the lack of readiness with which students are entering college and the workplace, examines the reasons students are having trouble writing, and describes elements of…

  4. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  5. Distribution view: a tool to write and simulate distributions

    OpenAIRE

    Coelho, José; Branco, Fernando; Oliveira, Teresa

    2006-01-01

    In our work we present a tool to write and simulate distributions. This tool allows to write mathematical expressions which can contain not only functions and variables, but also statistical distributions, including mixtures. Each time the expression is evaluated, for all inner distributions, is generated a value according to the distribution and is used for expression value determination. The inversion method can be used in this language, allowing to generate all distributions...

  6. Writing lives in sport

    DEFF Research Database (Denmark)

    Christensen, Mette Krogh

    dealing with anonymous individuals, whose anonymity results from the confidentiality requirements of a social scientific research methodology, to those leaning more towards the literary-historical traditions of 'conventional' biographical writing. However, these examples are polar extremes and none...... in the academis world of sport studies. It does not set out to be a methodological treatise but through the writing of lives in sports does raise questions of method. Each essay in this collection deals with problems of writing sports-people's lives. These essays could be said to fall along a spectrum from those......Writing lives in sport is a book of stories about sports-persons. The people concerned include sports stars, sports people who are not quite so famous, and relatively unknown physical education teachers and sports scientists.Writing lives in sport raises questions about writing biographies...

  7. Enhancing Systems Engineering Education Through Case Study Writing

    Science.gov (United States)

    Stevens, Jennifer Stenger

    2016-01-01

    Developing and refining methods for teaching systems engineering is part of Systems Engineering grand challenges and agenda for research in the SE research community. Retention of systems engineering knowledge is a growing concern in the United States as the baby boom generation continues to retire and the faster pace of technology development does not allow for younger generations to gain experiential knowledge through years of practice. Government agencies, including the National Aeronautics and Space Administration (NASA), develop their own curricula and SE leadership development programs to "grow their own" systems engineers. Marshall Space Flight Center (MSFC) conducts its own Center-focused Marshall Systems Engineering Leadership Development Program (MSELDP), a competitive program consisting of coursework, a guest lecture series, and a rotational assignment into an unfamiliar organization engaged in systems engineering. Independently, MSFC developed two courses to address knowledge retention and sharing concerns: Real World Marshall Mission Success course and its Case Study Writers Workshop and Writers Experience. Teaching case study writing and leading students through a hands-on experience at writing a case study on an SE topic can enhance SE training and has the potential to accelerate the transfer of experiential knowledge. This paper is an overview of the pilot experiences with teaching case study writing, its application in case study-based learning, and identifies potential areas of research and application for case study writing in systems engineering education.

  8. Supporting the Thesis Writing Process of International Research Students through an Ongoing Writing Group

    Science.gov (United States)

    Li, Linda Y.; Vandermensbrugghe, Joelle

    2011-01-01

    Evidence from research suggests writing support is particularly needed for international research students who have to tackle the challenges of thesis writing in English as their second language in Western academic settings. This article reports the development of an ongoing writing group to support the thesis writing process of international…

  9. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    Directory of Open Access Journals (Sweden)

    Behrooz Ghoorchaei

    2017-09-01

    Full Text Available The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionnaire, a self-efficacy belief questionnaire, and an IELTS writing task. The results of Pearson correlation tests showed that there were significant relationship between self-efficacy and writing strategies on the one hand, and self-efficacy and writing ability on the other hand. The results have some implications for teaching writing in the EFL context.

  10. Improving early cycle economic evaluation of diagnostic technologies

    NARCIS (Netherlands)

    Steuten, Lotte Maria Gertruda; Ramsey, Scott D.

    2014-01-01

    The rapidly increasing range and expense of new diagnostics, compels consideration of a different, more proactive approach to health economic evaluation of diagnostic technologies. Early cycle economic evaluation is a decision analytic approach to evaluate technologies in development so as to

  11. Write Soon!

    Science.gov (United States)

    Rasinski, Timothy; Padak, Nancy

    2009-01-01

    This article explores the possibilities of using natural writing opportunities that occur in family life to nurture children's literacy development. From notes to lists to journals to parodies, families can use writing to nurture personal relationships and simultaneously improve literacy. Specific tips for teachers to share with parents in making…

  12. A Study on Critical Thinking Assessment System of College English Writing

    Science.gov (United States)

    Dong, Tian; Yue, Lu

    2015-01-01

    This research attempts to discuss the validity of introducing the evaluation of students' critical thinking skills (CTS) into the assessment system of college English writing through an empirical study. In this paper, 30 College English Test Band 4 (CET-4) writing samples were collected and analyzed. Students' CTS and the final scores of collected…

  13. THE INFLUENCE OF LANGUAGE COMPETENCE, WRITING COMPETENCE, AND CULTURAL COMPETENCE ON PRODUCING A SUCCESSFUL WRITING

    Directory of Open Access Journals (Sweden)

    Hermanto Hermanto

    2008-11-01

    Full Text Available Writing is a skill derived from a long way of learning and exercises. Different from other language skills, writing is considered the difficult language skill to acquire since it involves many aspects of linguistics, social, and writing knowledge and conventions. There are at least three important elements of writing useful to produce a good piece of composition, language competence, writing competence and cultural competence. This paper shows the influence of these three elements in order to produce good, readable, communicative, and successful writing

  14. Fabrication and evaluation of 3D β-TCP scaffold by novel direct-write assembly method

    International Nuclear Information System (INIS)

    Sa, Min Woo; Kim, Jong Young

    2015-01-01

    Various scaffold fabrication methods have been explored to enhance the cell interaction effects and mechanical properties of scaffolds in bone regeneration. Rapid prototyping (RP) for tissue engineering is a useful technology that may provide a potential scaffolding structure to regenerate, restore, and repair a damaged bone tissue or organ, that is, RP is a promising tissue engineering technique through a 3D scaffold fabrication by using a computer-aided design/computer-aided manufacturing system. In this study, 3D β-tricalcium phosphate (β-TCP) scaffolds were fabricated by a novel direct-write assembly method. The mechanical property of β-TCP scaffolds was analyzed by stress-strain curves by using a compression testing machine. Furthermore, an in vitro CCK-8 assay of osteosarcoma MG-63 cells showed the significant cell attachment and proliferation in the β-TCP scaffold.

  15. Fabrication and evaluation of 3D β-TCP scaffold by novel direct-write assembly method

    Energy Technology Data Exchange (ETDEWEB)

    Sa, Min Woo; Kim, Jong Young [Andong National University, Andong (Korea, Republic of)

    2015-11-15

    Various scaffold fabrication methods have been explored to enhance the cell interaction effects and mechanical properties of scaffolds in bone regeneration. Rapid prototyping (RP) for tissue engineering is a useful technology that may provide a potential scaffolding structure to regenerate, restore, and repair a damaged bone tissue or organ, that is, RP is a promising tissue engineering technique through a 3D scaffold fabrication by using a computer-aided design/computer-aided manufacturing system. In this study, 3D β-tricalcium phosphate (β-TCP) scaffolds were fabricated by a novel direct-write assembly method. The mechanical property of β-TCP scaffolds was analyzed by stress-strain curves by using a compression testing machine. Furthermore, an in vitro CCK-8 assay of osteosarcoma MG-63 cells showed the significant cell attachment and proliferation in the β-TCP scaffold.

  16. The Relationship between Writing Anxiety and Writing Disposition among Secondary School Students

    Science.gov (United States)

    Cocuk, Halil Erdem; Yanpar Yelken, Tugba; Ozer, Omer

    2016-01-01

    Problem Statement: Writing is important in secondary schools because it underpins the performance of students in most examinations. Writing disposition, which specifically deals with the aspects of students' attitudes toward writing, has also been studied by some researchers. Purpose of the Study: This study reports on the result of a study on the…

  17. Practices of reading and writing in five diferent programs of the Sergio Arboleda university

    Directory of Open Access Journals (Sweden)

    Blanca González

    2010-06-01

    Full Text Available This paper presents the results of an investigation into the practices of reading and writing present in five courses of different programs assigned at the Sergio Arboleda University (Bogotá. The research derives from the following questions: What is the role of reading and writing process in the course of some programs at the University? How is assign, directed and accompanied the task of reading and writing? and how are assessed the progress and results in the process of reading and writing? The information was obtained from written tests, surveys, classroom observations and interviews with teachers of these programs. After the analysis process, were set up five units of information, which in the case of reading were reading assignment, intervention guidance, intervention to clarify, evaluation and assessments of teachers, and for the case of writing: defining text types, intervention process, intervention in the correction process, evaluation and assessments of teachers.

  18. Action Research on a WebQuest as an Instructional Tool for Writing Abstracts of Research Articles

    Directory of Open Access Journals (Sweden)

    Krismiyati Latuperissa

    2012-08-01

    Full Text Available The massive growth of and access to information technology (IT has enabled the integration of technology into classrooms. One such integration is the use of WebQuests as an instructional tool in teaching targeted learning activities such as writing abstracts of research articles in English for English as a Foreign Language (EFL learners. In the academic world, writing an abstract of a research paper or final project in English can be challenging for EFL students. This article presents an action research project on the process and outcomes of using a WebQuest designed to help 20 Indonesian university IT students write a research article’s abstract in English. Findings reveal that despite positive feedback, changes need to be made to make the WebQuest a more effective instructional tool for the purpose it was designed.

  19. The Knowledge Society and the Reform of Creative Writing

    Directory of Open Access Journals (Sweden)

    Cristina-Emanuela DASCĂLU

    2011-12-01

    Full Text Available This article deals with how major top-down reforms in the Romanian higher education system have affected and will continue to affect student writing and have forever challenged and changed teachers’ and students’ traditional roles. The reform of student writing in Romania is initially due to the implementation in the Romanian education system of the Bologna Declaration of 2002 and continues ever stronger due to the extraordinary new Education Law passed by the Romanian Ministry of Education, Research and Innovation in 2011. One of the initial outcomes of the adherence of the Romanian education system to Bologna Declaration was that, while previously to this change Romanian universities demanded very little undergraduate writing especially the original, research-oriented one and, thus, grades relied heavily on the results of the traditional sit-down final examinations, most courses now in the Romanian higher education system include student essay writing and other types of writing and systematic teacher feedback. Creative writing has started to appear here and there, too in the university curriculum especially at private universities. As a result of Romania’s adherence to Bologna Declaration of 2002, Portfolio Assessment, which demands extended writing, has been also introduced in Romania, both at state universities and private ones. As a result of the new 2011 Education Law, even more emphasis will be placed on writing, research, competences and abilities, included practical ones, and creativity at all levels of education, higher education included therefore. The article presents some results from an evaluation of the educational reforms in Romania, mostly of the initial reforms following Romania’s adherence to Bologna Declaration of 2002, but the study considers some of the reforms that follow from the newly passed Romanian Education Law. Mainly the following questions are addressed in this research study (1 Why did the initial reforms

  20. Writing-to-Learn: Attitudes of nursing students at Sultan Qaboos University.

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K

    2014-02-01

    The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process. A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students' negative attitudes towards writing into positive ones.

  1. The Relation of College Student Self-Efficacy toward Writing and Writing Self-Regulation Aptitude: Writing Feedback Perceptions as a Mediating Variable

    Science.gov (United States)

    Ekholm, Eric; Zumbrunn, Sharon; Conklin, Sarah

    2015-01-01

    Despite the powerful effect feedback often has on student writing success more research is needed on how students emotionally react to the feedback they receive. This study tested the predictive and mediational roles of college student writing self-efficacy beliefs and feedback perceptions on writing self-regulation aptitude. Results suggested…

  2. Writing in History: Effects of writing instruction on historical reasoning and text quality

    NARCIS (Netherlands)

    van Drie, J.; Braaksma, M.; van Boxtel, C.

    2015-01-01

    This study aims at gaining more insight in effective writing instruction to promote historical reasoning. In an experimental study, two types of instructions were compared; a general writing instruction and a discipline-based writing instruction. In addition, the effects of these instructions for

  3. THE STUDENTS’ ACADEMIC WRITING SKILL AFTER IMPLEMENTING BLENDED LEARNING USING FACEBOOK

    Directory of Open Access Journals (Sweden)

    Dwi Sulisworo

    2016-12-01

    Full Text Available Almost all students use smartphone for their daily activities. Nowadays, the student’s literacy on information technology is very good, but sometimes it has not been considered in school learning. One of the essential competencies of undergraduate school is academic writing skill. There is a gap between the student competencies and the learning strategy in certain learning subjects. The aim of this research is to examine the effectiveness of blended mobile learning activity using Facebook to improve student writing skill. This research used timed essay examination to measure the writing skill after one semester learning activity using this strategy and student satisfaction responses to learning. There were four aspects used as criteria of writing skill: ideas, organization, wording, and flavor. The results showed that this learning approach had shown good results in some aspects, particularly in improving the skill of shaping ideas and organizing the ideas into written form. The uses of various learning strategies that make students more active and centered on students tend to increase the ability of students to search for new ideas creatively. Among others, the positive aspect is the students have the knowledge and understanding of new concepts that can support the idea of writing in the aspect of idea and various choices of words.

  4. Writing Tasks and Immediate Auditory Memory in Peruvian Schoolchildren

    Directory of Open Access Journals (Sweden)

    José Luis Ventura-León

    2017-04-01

    Full Text Available The purpose of the study is determine the relationship between a group of writing tasks and the immediate auditory memory, as well as to establish differences according to sex and level of study. Two hundred and three schoolchildren of fifth and sixth of elementary education from Lima (Peru participated, they were selected by a non-probabilistic sample. The Immediate Auditory Memory Test and the Battery for Evaluation of Writing Processes (known in Spanish as PROESC were used. Central tendency measures were used for descriptive analysis. We employed the Mann-Whitney U test, Spearman Rho test and probability of superiority as effect size measurement for the inferential analysis. The results indicated a moderate direct and significant correlation between writing tasks and immediate auditory memory in general way and low correlations between dimensions. Finally, it showed that the differences in immediate auditory memory and writing tasks according to sex and level of study does not have practical significance.

  5. Writing Blocks and Tacit Knowledge.

    Science.gov (United States)

    Boice, Robert

    1993-01-01

    A review of the literature on writing block looks at two kinds: inability to write in a timely, fluent fashion, and reluctance by academicians to assist others in writing. Obstacles to fluent writing are outlined, four historical trends in treating blocks are discussed, and implications are examined. (MSE)

  6. Teaching the Writing Process

    Science.gov (United States)

    Keen, John

    2017-01-01

    This article outlines some cognitive process models of writing composition. Possible reasons why students' writing capabilities do not match their abilities in some other school subjects are explored. Research findings on the efficacy of process approaches to teaching writing are presented and potential shortcomings are discussed. Product-based…

  7. Enhancing Doctoral Research Education through the Institution of Graduate Writing Courses in Ghanaian Universities

    Directory of Open Access Journals (Sweden)

    Joseph B. A. Afful

    2017-10-01

    Full Text Available A key support service in doctoral research that has increasingly gained attention is academic writing courses. This position paper argues for the institutionalization of graduate writing courses in universities in Ghana in order to acquaint doctoral students with the theoretical, procedural, and practical aspects of the writing of high stakes academic genres. An overview (including evaluation of existing courses on research- related writing in some universities is proffered. The study consequently presents arguments to support a proposal for institutional graduate writing courses in Ghanaian universities, followed by a discussion of other pertinent issues such as the curriculum, staffing, and funding. It is hoped that the institutionalization of such a writing support service will ultimately improve the quality of doctoral research education in Ghana

  8. The Effects of Teacher Directed Writing Instruction Combined with SOLO Literacy Suite

    Science.gov (United States)

    Park, Y.; Ambrose, G.; Coleman, M. B.; Moore, T. C.

    2017-01-01

    The purpose of this study was to examine the effectiveness of an intervention in which teacher-led instruction was combined with computerized writing software to improve paragraph writing for three middle school students with intellectual disability. A multiple probe across participants design was used to evaluate the effectiveness of the…

  9. Technical report writing

    Science.gov (United States)

    Vidoli, Carol A.

    1992-01-01

    This manual covers the fundamentals of organizing, writing, and reviewing NASA technical reports. It was written to improve the writing skills of LeRC technical authors and the overall quality of their reports.

  10. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2013-01-01

    Full Text Available Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product approach to writing and asking the learners to complete the text rather than copying it can have a positive impact on EFL learners’ accuracy in writing. After training a number of EFL learners on using process approach, we held a two-session writing class. In the first session students wrote in the process approach, and in the second one they were given a model text to continue in the process-product approach. The writing performance of the students in these two sessions was compared in term of accuracy. Based on the students’ writing performance, we came to the conclusion that completing the model text in process-product writing can have a rather positive influence in some aspects of their writing accuracy such as punctuation, capitalization, spelling, subject-verb agreement, tense, the use of connectors, using correct pronouns and possessives. Also the results of the paired t-test indicate that using a model text to continue increased students’ writing accuracy.

  11. Innovative technology transfer of nondestructive evaluation research

    Science.gov (United States)

    Brian Brashaw; Robert J. Ross; Xiping Wang

    2008-01-01

    Technology transfer is often an afterthought for many nondestructive evaluation (NDE) researchers. Effective technology transfer should be considered during the planning and execution of research projects. This paper outlines strategies for using technology transfer in NDE research and presents a wide variety of technology transfer methods used by a cooperative...

  12. Study on Related Courses to Help Undergraduate Students Write Research Reports: a Curriculum Evaluation

    OpenAIRE

    Winarti, Eny

    2014-01-01

    From the experience of joining the boards in the students’ research report defence, teaching education research methodology, and classroom action research, the researcher indicated that students had challenges related with the logic of research methods and academic research writing.  These findings encouraged the researcher to study the courses that have potential in helping students writing their research reports.  To study the courses, the researcher analysed related documents, such as ...

  13. Classroom Writing Environments and Children's Early Writing Skills: An Observational Study in Head Start Classrooms

    Science.gov (United States)

    Zhang, Chenyi; Hur, Jinhee; Diamond, Karen E.; Powell, Douglas

    2015-01-01

    This study examined the classroom writing environment in 31 Head Start classrooms, and explored the relations between the writing environment, children's (N = 262) name-writing, and children's letter knowledge using pathway analysis. Our analyses showed that Head Start classrooms provided opportunities (i.e., writing materials and teachers'…

  14. Faculty Feelings as Writers: Relationship with Writing Genres, Perceived Competences, and Values Associated to Writing

    Science.gov (United States)

    del Pilar Gallego Castaño, Liliana; Castelló Badia, Montserrat; Badia Garganté, Antoni

    2016-01-01

    This study attempts to relate faculty feelings towards writing with writing genres, perceived competences and values associated to writing. 67 foreign languages faculty in Colombia and Spain voluntarily filled in a four-section on-line questionnaire entitled "The Writing Feelings Questionnaire." All the sections were Likert Scale type.…

  15. Reducing the stochasticity of crystal nucleation to enable subnanosecond memory writing

    Science.gov (United States)

    Rao, Feng; Ding, Keyuan; Zhou, Yuxing; Zheng, Yonghui; Xia, Mengjiao; Lv, Shilong; Song, Zhitang; Feng, Songlin; Ronneberger, Ider; Mazzarello, Riccardo; Zhang, Wei; Ma, Evan

    2017-12-01

    Operation speed is a key challenge in phase-change random-access memory (PCRAM) technology, especially for achieving subnanosecond high-speed cache memory. Commercialized PCRAM products are limited by the tens of nanoseconds writing speed, originating from the stochastic crystal nucleation during the crystallization of amorphous germanium antimony telluride (Ge2Sb2Te5). Here, we demonstrate an alloying strategy to speed up the crystallization kinetics. The scandium antimony telluride (Sc0.2Sb2Te3) compound that we designed allows a writing speed of only 700 picoseconds without preprogramming in a large conventional PCRAM device. This ultrafast crystallization stems from the reduced stochasticity of nucleation through geometrically matched and robust scandium telluride (ScTe) chemical bonds that stabilize crystal precursors in the amorphous state. Controlling nucleation through alloy design paves the way for the development of cache-type PCRAM technology to boost the working efficiency of computing systems.

  16. Fabrication of micro-prominences on PTFE surface using proton beam writing

    Energy Technology Data Exchange (ETDEWEB)

    Kitamura, Akane, E-mail: ogawa.akane@jaea.go.jp [Department of Advanced Radiation Technology, Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, 1233 Watanuki-Machi, Takasaki, Gunma 370-1292 (Japan); Satoh, Takahiro; Koka, Masashi [Department of Advanced Radiation Technology, Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, 1233 Watanuki-Machi, Takasaki, Gunma 370-1292 (Japan); Kobayashi, Tomohiro [Advanced Science Institute, RIKEN, 2-1 Hirosawa, Wako-shi, Saitama 350-0198 (Japan); Kamiya, Tomihiro [Department of Advanced Radiation Technology, Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, 1233 Watanuki-Machi, Takasaki, Gunma 370-1292 (Japan)

    2013-07-01

    Polytetrafluoroethylene (PTFE) is a typical fluoropolymer and it has several desirable technological properties such as electrical insulation, solid lubrication etc. However, the conventional microstructuring methods have not been well applied to PTFE due to its chemical inertness. Some effective micromachining using synchrotron radiation or ion beam irradiation has been reported. In this study, we create micro-prominences by raising the original surface using proton beam writing (PBW) without chemical etching. A conical prominence was formed by spiral drawing from the center with a 3 MeV proton beam. The body was porous, and the bulk PTFE below the prominence changed to fragmented structures. With decreasing writing speed, the prominence became taller but the height peaked. The prominence gradually reduced in size after the speed reached the optimum value. We expect that these porous projections with high aspect ratio will be versatile in medical fields and microelectromechanical systems (MEMS) technology.

  17. Writing Research Reports.

    Science.gov (United States)

    Sessler, Daniel I; Shafer, Steven

    2018-01-01

    Clear writing makes manuscripts easier to understand. Clear writing enhances research reports, increasing clinical adoption and scientific impact. We discuss styles and organization to help junior investigators present their findings and avoid common errors.

  18. Students’ Perception on Teaching Practicum Evaluation using Video Technology

    Science.gov (United States)

    Chee Sern, Lai; ‘Ain Helan Nor, Nurul; Foong, Lee Ming; Hassan, Razali

    2017-08-01

    Video technology has been widely used in education especially in teaching and learning. However, the use of video technology for evaluation purpose especially in teaching practicum is extremely scarce and the benefits of video technology in teaching practicum evaluation have not yet been fully discovered. For that reason, this quantitative research aimed at identifying the perceptions of trainee teachers towards teaching practicum evaluation via video technology. A total of 260 students of Teacher Certification Programme (Program Pensiswazahan Guru - PPG) from the Faculty of Technical and Vocational Education (FPTV) of Universiti Tun Hussein Onn Malaysia (UTHM) had been randomly selected as respondents. A set of questionnaire was developed to assess the suitability, effectiveness and satisfaction of using video technology for teaching practicum. Conclusively, this research showed that the trainee teachers have positive perceptions in all three aspects related teaching practicum evaluation using video technology. Apart from that, no significant racial difference was found in the measured aspects. In addition, the trainee teachers also showed an understanding of the vast importance of teaching practicum evaluation via video. These research findings suggest that video technology can be a feasible and practical means of teaching practicum evaluation especially for distance learning program.

  19. Turnitin and Peer Review in ESL Academic Writing Classrooms

    Science.gov (United States)

    Li, Jinrong; Li, Mimi

    2018-01-01

    Despite the benefits of peer review, there are still challenges that need to be addressed to make it more effective for L2 students. With the development of technology, computer-mediated peer review has captured increasing attention from L2 writing researchers and instructors. While Turnitin is known for its use in detecting plagiarism, its newly…

  20. Technical Writing in Hydrogeology.

    Science.gov (United States)

    Tinker, John R., Jr.

    1986-01-01

    A project for Writing Across the Curriculum at the University of Wisconsin-Eau Claire is described as a method to relate the process of writing to the process of learning hydrology. The project focuses on an actual groundwater contamination case and is designed to improve the technical writing skills of students. (JN)

  1. Writing and Science Literacy

    Science.gov (United States)

    Weiss-Magasic, Coleen

    2012-01-01

    Writing activities are a sure way to assess and enhance students' science literacy. Sometimes the author's students use technical writing to communicate their lab experiences, just as practicing scientists do. Other times, they use creative writing to make connections to the topics they're learning. This article describes both types of writing…

  2. The writing approaches of secondary students.

    Science.gov (United States)

    Lavelle, Ellen; Smith, Jennifer; O'Ryan, Leslie

    2002-09-01

    Research with college students has supported a model of writing approaches that defines the relationship between a writer and writing task along a deep and surface process continuum (Biggs, 1988). Based on that model, Lavelle (1993) developed the Inventory of Processes in College Composition which reflects students' motives and strategies as related to writing outcomes. It is also important to define the approaches of secondary students to better understand writing processes at that level, and development in written composition. This study was designed to define the writing approaches of secondary students by factor analysing students' responses to items regarding writing beliefs and writing strategies, and to compare the secondary approaches to those of college students. A related goal was to explore the relationships of the secondary writing approaches to perceived self-regulatory efficacy for writing (Zimmerman & Bandura, 1994), writing preferences, and writing outcomes. The initial, factor analytic phase involved 398 junior level high school students (11th grade) enrolled in a mandatory language arts class at each of three large Midwestern high schools (USA). Then, 49 junior level students enrolled in two language arts classes participated as subjects in the second phase. Classroom teachers administered the Inventory of Processes in College Composition (Lavelle, 1993), which contained 72 true-or-false items regarding writing beliefs and strategies, during regular class periods. Data were factor analysed and the structure compared to that of college students. In the second phase, the new inventory, Inventory of Processes in Secondary Composition, was administered in conjunction with the Perceived Self-Regulatory Efficacy for Writing Inventory (Zimmerman & Bandura, 1994), and a writing preferences survey. A writing sample and grade in Language Arts classes were obtained and served as outcome variables. The factor structure of secondary writing reflected three

  3. A Pink Writing Experiment

    Directory of Open Access Journals (Sweden)

    Teija Löytönen

    2015-10-01

    Full Text Available This article addresses a collaborative writing experiment that explores spaces of diverse encounters that began at a research conference held in the Flamingo hotel in Las Vegas; spaces where knowings emerge in the (shared moment, in-between (ourselves, prompted by different (research questions and entanglements of matter and meaning. Through these multiple and emergent writing encounters we explore ways towards collaborative scholarly writing and accessible ways of working and knowing beyond the immediately known or sensed. In addition, this collaborative writing experiment serves to inspire and engage participants (qualitative researchers and ethnographers alike to explore, share, and disseminate knowledge across contexts differently. We call for writing in qualitative research that senses, figures out, and “reveals” via moving and sensuous bodies, and emerging embodied encounters within particular spaces.

  4. Design of a magnetic-tunnel-junction-oriented nonvolatile lookup table circuit with write-operation-minimized data shifting

    Science.gov (United States)

    Suzuki, Daisuke; Hanyu, Takahiro

    2018-04-01

    A magnetic-tunnel-junction (MTJ)-oriented nonvolatile lookup table (LUT) circuit, in which a low-power data-shift function is performed by minimizing the number of write operations in MTJ devices is proposed. The permutation of the configuration memory cell for read/write access is performed as opposed to conventional direct data shifting to minimize the number of write operations, which results in significant write energy savings in the data-shift function. Moreover, the hardware cost of the proposed LUT circuit is small since the selector is shared between read access and write access. In fact, the power consumption in the data-shift function and the transistor count are reduced by 82 and 52%, respectively, compared with those in a conventional static random-access memory-based implementation using a 90 nm CMOS technology.

  5. The Impact of Using Email on Improving the Writing Skills among Iranian Students

    Science.gov (United States)

    Janfaza, Abusaied; Shahsavari, Khadijeh; Soori, Afshin

    2014-01-01

    The need for the application of technology in education has been increased. One of the new approaches in technology is using email for learning a second or a foreign language. The present study aimed at investigating the effectiveness of using email in improving writing skills among Iranian EFL students. The participants of the study were 42…

  6. Reading Violence in Boys' Writing.

    Science.gov (United States)

    Anderson, Michael

    2003-01-01

    Describes how a teacher finds value in popular culture and violent writing by closely examining the writing of a student who laces his stories with explosions and battles. Finds that once he began to see the similarities between the media his student experiences, the writing the student prefers, and his own favorite media and writing, the teacher…

  7. The New Interface for Writing

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2014-01-01

    Schools are scrambling to prepare their students for the writing assessments in correlation with the Common Core tests. In some states, writing has not been assessed for more than a decade. Yet, with the use of computerized grading of the students' writing, many teachers are wondering how to best prepare students for the writing assessments,…

  8. The Quotation Theory of Writing

    Science.gov (United States)

    Olson, David R.; Oatley, Keith

    2014-01-01

    Learning to read and write is seen as both the acquisition of skills useful in a modern society and an introduction to a world increasingly organized around the reading and writing of authoritative texts. While most agree on the importance of writing, insufficient attention has been given to the more basic question of just what writing is, that…

  9. Student Perceptions of Scholarly Writing

    Directory of Open Access Journals (Sweden)

    Shirley Peganoff O'Brien

    2016-07-01

    Full Text Available Learning the process of scholarly writing, including the significance of peer review, is an essential element in the preparation of students for professional practice. This descriptive research study, using Scholarship of Teaching and Learning methodology, explores one approach to teaching scholarly writing in an occupational science/occupational therapy curriculum. The writing assignment was designed to offer multiple points for feedback and revision and instructional features to reinforce learning. A survey of students [n = 169] participating in this scholarly writing project was conducted yearly to gather their perceptions of learning. The results revealed four key elements: instructional strategies are needed to support scholarly writing, students value explicit instructor feedback, a successful writing experience opens the possibility for students to write in their professional future, and students will develop the habits of a writer given structure and pedagogical considerations in the assignment construction. This experience shows students will work to achieve the expected standard for scholarship once writing is made an essential part of the course and their efforts are supported by scaffolding the assignment. Through this experience, it was also learned students need opportunities for repetition and practice to refine scholarly writing. Suggestions for future research are proposed.

  10. The Impact of Computer-Assisted Writing on Improving Writing Scores for Urban Eighth-Grade Students

    Science.gov (United States)

    Williams-Butler, LaTilya

    2016-01-01

    The purpose of this study was to investigate the impact standards-based aligned computer-assisted writing instruction had on improving writing scores for eighth-grade students that attend an urban middle school. The researcher wanted to remedy the problem of low writing achievement of eighth-grade students and determine if writing across the…

  11. What Basic Writers Think about Writing.

    Science.gov (United States)

    Eves-Bowden, Anmarie

    2001-01-01

    Explores basic writing students' current writing processes, their thoughts on their writing, and their introduction to a structured writing process model. Suggests that educators can assist basic writers in becoming successful college writers by introducing them to a structured writing process model while also helping them to become reflective…

  12. Science Writing and Rhetorical Training: A New Model for Developing Graduate Science Writers

    Science.gov (United States)

    Karraker, N. E.; Lofgren, I.; Druschke, C. G.; McWilliams, S. R.; Morton-Aiken, J.; Reynolds, N.

    2016-12-01

    Graduate programs in the sciences generally offer minimal support for writing and communication, yet there is an increasing need for scientists to engage with the public and policymakers on technological, environmental, and health issues. The traditional focus on gaining particular discipline-related technical skills, coupled with the relegation of writing largely to the end of a student's academic tenure, falls short in equipping them to tackle these challenges. To address this problem, we launched a cross-disciplinary, National Science Foundation-funded training program in rhetoric and writing for science graduate students and faculty at the University of Rhode Island. This innovative program bases curricular and pedagogical support on three central practices, habitual writing, multiple genres, and frequent review, to offer a flexible model of writing training for science graduate students and pedagogical training for faculty that could be adopted in other institutional contexts. Key to the program, called SciWrite@URI, is a unique emphasis on rhetoric, which, we argue, is an essential—but currently lacking—component of science communication education. This new model has the potential to transform graduate education in the sciences by producing graduates who are as adept at the fundamentals of their science as they are at communicating that science to diverse audiences.

  13. Integrating Scientific Argumentation to Improve Undergraduate Writing and Learning in a Global Environmental Change Course

    Energy Technology Data Exchange (ETDEWEB)

    Koffman, Bess G. [School of Earth and Climate Sciences, 5790 Bryand Global Sciences Center, University of Maine, Orono, Maine 04469, USA; Department of Earth Sciences, 6105 Sherman Fairchild Hall, Dartmouth College, Hanover, New Hampshire 03755, USA; Kreutz, Karl J. [School of Earth and Climate Sciences, 5790 Bryand Global Sciences Center, University of Maine, Orono, Maine 04469, USA; Climate Change Institute, 300 Bryand Global Sciences Center, University of Maine, Orono, Maine 04469, USA; Trenbath, Kim [Maine Center for Research in STEM Education, 5727 Estabrooke Hall, University of Maine, Orono, Maine, 04469, USA; National Renewable Energy Laboratory, 15013 Denver West Parkway, Golden, Colorado 80401, USA

    2017-08-01

    We present a strategy for using scientific argumentation in an early undergraduate laboratory course to teach disciplinary writing practices and to promote critical thinking, knowledge transformation, and understanding of the scientific method. The approach combines targeted writing instruction; data analysis and interpretation; formulation of a hypothesis; and construction of an argument. Students submit and receive feedback on two drafts of two different argumentation essays, providing the opportunity for guided practice. Each written argument is intended to draw on several weeks' course material, including short lectures, discussions, readings, and problem sets. Thus our aim with these writing assignments is to help students synthesize content and concepts, deepening their learning. We have found that this inquiry-based approach to writing engages students in course material, and significantly improves both writing and learning. We observed the greatest improvement among students with the lowest initial scores, suggesting that lower-achieving students benefitted disproportionately from this approach. Students have responded positively to the use of writing in the course, many stating on course evaluations that this is the first time they have received instruction in scientific writing. They have also pointed to a greater 'big-picture' understanding of the course gained through writing. We describe the course and our curriculum, and provide suggestions for implementation as well as rubrics used to evaluate problem sets and student argumentation essays.

  14. The science writing tool

    Science.gov (United States)

    Schuhart, Arthur L.

    This is a two-part dissertation. The primary part is the text of a science-based composition rhetoric and reader called The Science Writing Tool. This textbook has seven chapters dealing with topics in Science Rhetoric. Each chapter includes a variety of examples of science writing, discussion questions, writing assignments, and instructional resources. The purpose of this text is to introduce lower-division college science majors to the role that rhetoric and communication plays in the conduct of Science, and how these skills contribute to a successful career in Science. The text is designed as a "tool kit," for use by an instructor constructing a science-based composition course or a writing-intensive Science course. The second part of this part of this dissertation reports on student reactions to draft portions of The Science Writing Tool text. In this report, students of English Composition II at Northern Virginia Community College-Annandale were surveyed about their attitudes toward course materials and topics included. The findings were used to revise and expand The Science Writing Tool.

  15. An ESL Audio-Script Writing Workshop

    Science.gov (United States)

    Miller, Carla

    2012-01-01

    The roles of dialogue, collaborative writing, and authentic communication have been explored as effective strategies in second language writing classrooms. In this article, the stages of an innovative, multi-skill writing method, which embeds students' personal voices into the writing process, are explored. A 10-step ESL Audio Script Writing Model…

  16. Writing for Professional Publication: Three Road Signs for Writing Success

    Science.gov (United States)

    Buttery, Thomas J.

    2010-01-01

    In the first edition of Writing for Publication: An Organizational Paradigm (Buttery, 2010), I recommend a model for organizing theoretical articles. The process includes seven components: title, introduction, outline/advanced organizer, headings, transitions, summary and references. This article will focus on the writing process. The strands of…

  17. Building a scholar in writing (BSW): A model for developing students' critical writing skills.

    Science.gov (United States)

    Bailey, Annette; Zanchetta, Margareth; Velasco, Divine; Pon, Gordon; Hassan, Aafreen

    2015-11-01

    Several authors have highlighted the importance of writing in developing reflective thinking skills, transforming knowledge, communicating expressions, and filling knowledge gaps. However, difficulties with higher order processing and critical analysis affect students' ability to write critical and thoughtful essays. The Building a Scholar in Writing (BSW) model is a 6-step process of increasing intricacies in critical writing development. Development of critical writing is proposed to occur in a processed manner that transitions from presenting simple ideas (just bones) in writing, to connecting ideas (connecting bones), to formulating a thesis and connecting key components (constructing a skeleton), to supporting ideas with evidence (adding muscle), to building creativity and originality (adding essential organs), and finally, developing strong, integrated, critical arguments (adding brain). This process symbolically represents the building of a scholar. The idea of building a scholar equates to progressively giving life and meaning to a piece of writing with unique scholarly characteristics. This progression involves a transformation in awareness, thinking, and understanding, as well as advancement in students' level of critical appraisal skills. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Transferability of economic evaluations of medical technologies: a new technology for orthopedic surgery.

    Science.gov (United States)

    Steuten, Lotte; Vallejo-Torres, Laura; Young, Terry; Buxton, Martin

    2008-05-01

    Transferring results of economic evaluations across countries or jurisdictions can potentially save scarce evaluation resources while helping to make market access and reimbursement decisions in a timely fashion. This article points out why transferring results of economic evaluations is particularly important in the field of medical technologies. It then provides an overview of factors that are previously identified in the literature as affecting transferability of economic evaluations, as well as methods for transferring results in a scientifically sound way. As the current literature almost exclusively relates to transferability of pharmacoeconomic evaluations, this article highlights those factors and methodologies that are of particular relevance to transferring medical technology assessments. Considering the state-of-the-art literature and a worked, real life, example of transferring an economic evaluation of a product used in orthopedic surgery, we provide recommendations for future work in this important area of medical technology assessment.

  19. Evaluative conditioning of food technologies in China

    DEFF Research Database (Denmark)

    Loebnitz, Natascha; Grunert, Klaus G

    2014-01-01

    This study provides an initial examination of the evaluative conditioning (EC) of consumers’ attitudes toward food technologies in China, including how EC can affect consumer acceptance of new technology when participants possess different levels of social trust. In a study using the EC paradigm...... and a combination of between-subjects control groups and within-subjects control conditions, participants considered three food technologies (conventional, enzyme, and genetic), paired with affectively positive, neutral, and negative images. Subsequent evaluative measurements revealed that EC can explain attitude...... formation toward food technologies in China when consumers see affective images, but the strength of the effects varies at different levels of social trust. Participants with a high level of trust in the institutions that promote and regulate the technologies can be conditioned both positively...

  20. Improving Writing through Stages

    Science.gov (United States)

    Rivera Barreto, Adriana Maritza

    2011-01-01

    Writing as a means of communication is one of the basic skills students must master at the university level. Although it is not an easy task because students are usually reluctant to correct, teachers have great responsibility at the time of guiding a writing process. For that reason, this study aimed at improving the writing process in fourth…

  1. Improving early cycle economic evaluation of diagnostic technologies.

    Science.gov (United States)

    Steuten, Lotte M G; Ramsey, Scott D

    2014-08-01

    The rapidly increasing range and expense of new diagnostics, compels consideration of a different, more proactive approach to health economic evaluation of diagnostic technologies. Early cycle economic evaluation is a decision analytic approach to evaluate technologies in development so as to increase the return on investment as well as patient and societal impact. This paper describes examples of 'early cycle economic evaluations' as applied to diagnostic technologies and highlights challenges in its real-time application. It shows that especially in the field of diagnostics, with rapid technological developments and a changing regulatory climate, early cycle economic evaluation can have a guiding role to improve the efficiency of the diagnostics innovation process. In the next five years the attention will move beyond the methodological and analytic challenges of early cycle economic evaluation towards the challenge of effectively applying it to improve diagnostic research and development and patient value. Future work in this area should therefore be 'strong on principles and soft on metrics', that is, the metrics that resonate most clearly with the various decision makers in this field.

  2. Principled Eclecticism: Approach and Application in Teaching Writing to ESL/EFL Students

    Science.gov (United States)

    Alharbi, Sultan H.

    2017-01-01

    The principal purpose of this paper is to critically examine and evaluate the efficacy of the principled eclectic approach to teaching English as second/foreign language (ESL/EFL) writing to undergraduate students. The paper illustrates that this new method adapts mainstream writing pedagogies to individual needs of learners of ESL/EFL in order to…

  3. LUDIC WRITING: CHALLENGES IN GAMIFYING ENGLISH CREATIVE WRITING CLASS FOR TECHNOPRENEURIAL PURPOSES

    Directory of Open Access Journals (Sweden)

    SF. Luthfie Arguby Purnomo

    2017-03-01

    Full Text Available This paper, first of three research parts, attempts to describe the challenges English Letters at IAIN (Institut Agama Islam Negeri/State Islamic Institute Surakarta faced in implementing gamification for technopreneurial purposes in regard to the transformation of a creative writing class into a ludic writing class, a gamification infused writing class. The challenges revealed are story-game script adaptation, integration portion, and monetization. Specific problems occur on each challenge. Story-game script adaptation exposes three problems namely (1 conditional branching system (2 visualization (3 copyrighted material issues (4 and writing mechanics adaptation. Integration portion challenge displays a problem on the insufficient alloted time for gamifying the creative writing class. Monetization challenge indicates three problems namely (1 the inexistence of monetization team, (2 the inexistence of institutional regulation for monetization management by study programs, (3 responses to gaming trends. Responding to these problems, solutions specifically designed based on the nature of the problems are implemented.

  4. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  5. The Journalism Writing Course: Evaluation of Hybrid versus Online Grammar Instruction

    Science.gov (United States)

    Moore, Jensen; Jones, Khristen

    2015-01-01

    This study examined introductory journalism writing courses and compared hybrid (part online/part classroom) versus online grammar instruction. The hybrid structure allowed for grammar topics to be taught online, with a pretest following, and then reviewing missed/difficult pretest concepts in class prior to a posttest. The quasi-experimental…

  6. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-07-01

    IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.

  7. Writing Feature Articles with Intermediate Students

    Science.gov (United States)

    Morgan, Denise N.

    2010-01-01

    Students need regular opportunities to write expository text. However, focusing on report writing often leaves students without strong examples to study or analyze to guide and grow their own writing. Writing and studying feature articles, meant to inform and explain, can become an alternative to report writing, as they can easily be located in…

  8. Technical report writing today

    CERN Document Server

    Riordan, Daniel G

    2014-01-01

    "Technical Report Writing Today" provides thorough coverage of technical writing basics, techniques, and applications. Through a practical focus with varied examples and exercises, students internalize the skills necessary to produce clear and effective documents and reports. Project worksheets help students organize their thoughts and prepare for assignments, and focus boxes highlight key information and recent developments in technical communication. Extensive individual and collaborative exercises expose students to different kinds of technical writing problems and solutions. Annotated student examples - more than 100 in all - illustrate different writing styles and approaches to problems. Numerous short and long examples throughout the text demonstrate solutions for handling writing assignments in current career situations. The four-color artwork in the chapter on creating visuals keeps pace with contemporary workplace capabilities. The Tenth Edition offers the latest information on using electronic resum...

  9. Mentoring Clinical Nurses to Write for Publication: Strategies for Success.

    Science.gov (United States)

    Oman, Kathleen S; Mancuso, Mary P; Ceballos, Kirtley; Makic, MaryBeth Flynn; Fink, Regina M

    2016-05-01

    : Clinical nurses often find writing a challenge, but it's important to disseminate clinical practice initiatives that result in notable patient outcomes. Nurses have a responsibility to share what they do to improve patient care. The increased emphasis on the development and evaluation of evidence-based practice has made it necessary for nurses to share best practices that are associated with improved patient outcomes. We developed a six-month Writing for Publication workshop series designed to teach clinical nurses about the writing process and mentor them through the stages of preparing a manuscript to submit for publication. This successful program helped novice nurse authors become published professionals and had a great impact on our organization.

  10. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    OpenAIRE

    Behrooz Ghoorchaei; Ali Arabmofrad

    2017-01-01

    The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionn...

  11. Undergraduate nursing students writing therapeutic letters to families: an educational strategy.

    Science.gov (United States)

    Erlingsson, Christen

    2009-02-01

    Writing therapeutic letters to families is discussed in this article as an educational strategy encouraging students to think reflectively about family nursing. At the University of Kalmar, Sweden, undergraduate nursing students in a primary care module interviewed families using the Calgary Family Assessment Model and wrote therapeutic letters to these families. This article describes (a) the examination process, which was the context for writing therapeutic letters, (b) results of analyses of the letters, and (c) student's post-examination evaluation comments. Results indicate that most students needed encouragement to focus on the family's strengths and resources instead of focusing on own feelings or problems they perceived the family as having. Students also needed support in relinquishing their hierarchical role of "expert nurse." Students' evaluation comments showed that writing therapeutic letters provided students with opportunities to reflect about the connections between family nursing theory and the family itself.

  12. Analysis and evaluation of the applicability of green energy technology

    Science.gov (United States)

    Xu, Z. J.; Song, Y. K.

    2017-11-01

    With the seriousness of environmental issues and the shortage of resources, the applicability of green energy technology has been paid more and more attention by scholars in different fields. However, the current researches are often single in perspective and simple in method. According to the Theory of Applicable Technology, this paper analyzes and defines the green energy technology and its applicability from the all-around perspectives of symbiosis of economy, society, environment and science & technology etc., and correspondingly constructs the evaluation index system. The paper further applies the Fuzzy Comprehensive Evaluation to the evaluation of its applicability, discusses in depth the evaluation models and methods, and explains in detail with an example. The author holds that the applicability of green energy technology involves many aspects of economy, society, environment and science & technology and can be evaluated comprehensively by an index system composed of a number of independent indexes. The evaluation is multi-object, multi-factor, multi-level and fuzzy comprehensive, which is undoubtedly correct, effective and feasible by the Fuzzy Comprehensive Evaluation. It is of vital theoretical and practical significance to understand and evaluate comprehensively the applicability of green energy technology for the rational development and utilization of green energy technology and for the better promotion of sustainable development of human and nature.

  13. Encouraging Good Writing Practice in First-Year Psychology Students: An Intervention Using Turnitin

    Science.gov (United States)

    Betts, Lucy R.; Bostock, Stephen J.; Elder, Tracey J.; Trueman, Mark

    2012-01-01

    There is growing concern among many regarding plagiarism within student writing. This has promoted investigation into both the factors that predict plagiarism and potential methods of reducing plagiarism. Consequently, we developed and evaluated an intervention to enhance good practice within academic writing through the use of the plagiarism…

  14. From University Writing to Workplace Writing: The Case of Social ...

    African Journals Online (AJOL)

    This is a case study of social work students' initial experiences with professional writing. The paper addresses the issue of academic writing with special attention to the types of documents written by social work students on their fieldwork placements using twelve students who volunteered to be interviewed. Their views are ...

  15. First-Year Composition Teachers' Uses of New Media Technologies in the Composition Class

    Science.gov (United States)

    Mina, Lilian W.

    2014-01-01

    As new media technologies emerge and evolve rapidly, the need to make informed decisions about using these technologies in teaching writing increases. This dissertation research study aimed at achieving multiple purposes. The first purpose was to catalog the new media technologies writing teachers use in teaching first-year composition classes.…

  16. The Impact of Training Students How to Write Introductions for Academic Essays: An Exploratory, Longitudinal Study

    Science.gov (United States)

    Brown, Gavin T. L.; Marshall, Jennifer C.

    2012-01-01

    Successful academic writing requires strong command of the rhetorical moves that orient the reader to the theme and substantive material of an academic essay. Effective control of the introduction leads to better overall writing. The goal of this study was to devise and evaluate a pedagogy for teaching the writing of academic essay introductions.…

  17. Direct-write/cure conductive polymer nanocomposites for 3D structural electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Yanfeng; Vatani, Morteza; Choi, Jae Won [The University of Akron, Akron, Ohio (United States)

    2013-10-15

    The use of direct-write (DW) in the fabrication of conductive structures offers dramatic benefits over traditional technologies in terms of low-cost, print-on-demand conformal manufacturing. This DW process can be combined with direct-cure (DC) process as one-step manufacturing of conducting elements, whereas conventional methods need a manufacturing process of conducting elements followed by a relatively long time post-curing/baking process. A hybrid technology combined with direct-write/cure (DWC) and projection microstereolithography (PμSL) is presented in this work. Carbon nanotubes (CNTs) were dispersed in a photopolymer solution to introduce conductivity. The developed PμSL was used to create 3D structures, and DWC of conductive photopolymers with CNTs was utilized to produce conductive paths. To show the capabilities of the developed system and materials, a 3D structure with embedded conductive paths was designed and fabricated. Based on the experiments, it is thought that the suggested manufacturing process and materials are promising to produce 3D structural electronics.

  18. Direct-write/cure conductive polymer nanocomposites for 3D structural electronics

    International Nuclear Information System (INIS)

    Lu, Yanfeng; Vatani, Morteza; Choi, Jae Won

    2013-01-01

    The use of direct-write (DW) in the fabrication of conductive structures offers dramatic benefits over traditional technologies in terms of low-cost, print-on-demand conformal manufacturing. This DW process can be combined with direct-cure (DC) process as one-step manufacturing of conducting elements, whereas conventional methods need a manufacturing process of conducting elements followed by a relatively long time post-curing/baking process. A hybrid technology combined with direct-write/cure (DWC) and projection microstereolithography (PμSL) is presented in this work. Carbon nanotubes (CNTs) were dispersed in a photopolymer solution to introduce conductivity. The developed PμSL was used to create 3D structures, and DWC of conductive photopolymers with CNTs was utilized to produce conductive paths. To show the capabilities of the developed system and materials, a 3D structure with embedded conductive paths was designed and fabricated. Based on the experiments, it is thought that the suggested manufacturing process and materials are promising to produce 3D structural electronics.

  19. Implicit theories of writing and their impact on students' response to a SRSD intervention.

    Science.gov (United States)

    Limpo, Teresa; Alves, Rui A

    2014-12-01

    In the field of intelligence research, it has been shown that some people conceive intelligence as a fixed trait that cannot be changed (entity beliefs), whereas others conceive it as a malleable trait that can be developed (incremental beliefs). What about writing? Do people hold similar implicit theories about the nature of their writing ability? Furthermore, are these beliefs likely to influence students' response to a writing intervention? We aimed to develop a scale to measure students' implicit theories of writing (pilot study) and to test whether these beliefs influence strategy-instruction effectiveness (intervention study). In the pilot and intervention studies participated, respectively, 128 and 192 students (Grades 5-6). Based on existing instruments that measure self-theories of intelligence, we developed the Implicit Theories of Writing (ITW) scale that was tested with the pilot sample. In the intervention study, 109 students received planning instruction based on the self-regulated strategy development model, whereas 83 students received standard writing instruction. Students were evaluated before, in the middle, and after instruction. ITW's validity was supported by piloting results and their successful cross-validation in the intervention study. In this, intervention students wrote longer and better texts than control students. Moreover, latent growth curve modelling showed that the more the intervention students conceived writing as a malleable skill, the more the quality of their texts improved. This research is of educational relevance because it provides a measure to evaluate students' implicit theories of writing and shows their impact on response to intervention. © 2014 The British Psychological Society.

  20. Performance Evaluation Methods for Assistive Robotic Technology

    Science.gov (United States)

    Tsui, Katherine M.; Feil-Seifer, David J.; Matarić, Maja J.; Yanco, Holly A.

    Robots have been developed for several assistive technology domains, including intervention for Autism Spectrum Disorders, eldercare, and post-stroke rehabilitation. Assistive robots have also been used to promote independent living through the use of devices such as intelligent wheelchairs, assistive robotic arms, and external limb prostheses. Work in the broad field of assistive robotic technology can be divided into two major research phases: technology development, in which new devices, software, and interfaces are created; and clinical, in which assistive technology is applied to a given end-user population. Moving from technology development towards clinical applications is a significant challenge. Developing performance metrics for assistive robots poses a related set of challenges. In this paper, we survey several areas of assistive robotic technology in order to derive and demonstrate domain-specific means for evaluating the performance of such systems. We also present two case studies of applied performance measures and a discussion regarding the ubiquity of functional performance measures across the sampled domains. Finally, we present guidelines for incorporating human performance metrics into end-user evaluations of assistive robotic technologies.

  1. Peer scaffolding in an EFL writing classroom: An investigation of writing accuracy and scaffolding behaviors

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2017-09-01

    Full Text Available Considering the tenets of Sociocultural Theory with its emphasis on co-construction of knowledge, L2 writing can be regarded as a co-writing practice whereby assistance is provided to struggling writers. To date, most studies have dealt with peer scaffolding in the revision phase of writing, as such planning and drafting are remained untouched. The present study examines the impact of peer scaffolding on writing accuracy of a group of intermediate EFL learners, and explores scaffolding behaviors employed by them in planning and drafting phases of writing. To these ends, 40 freshmen majoring in English Language and Literature in the University of Guilan were randomly divided into a control group and an experimental group consisting of dyads in which a competent writer provided scaffolding to a less competent one using the process approach to writing. Results of independent samples t-tests revealed that learners in the experimental group produced more accurate essays. Microgenetic analysis of one dyad’s talks showed that scaffolding behaviors used in planning and drafting phases of writing were more or less the same as those identified in the revision phase. These findings can be used to inform peer intervention in L2 writing classes, and assist L2 learners in conducting successful peer scaffolding in the planning and drafting phases of writing.

  2. Technology Games: Using Wittgenstein for Understanding and Evaluating Technology.

    Science.gov (United States)

    Coeckelbergh, Mark

    2017-08-15

    In the philosophy of technology after the empirical turn, little attention has been paid to language and its relation to technology. In this programmatic and explorative paper, it is proposed to use the later Wittgenstein, not only to pay more attention to language use in philosophy of technology, but also to rethink technology itself-at least technology in its aspect of tool, technology-in-use. This is done by outlining a working account of Wittgenstein's view of language (as articulated mainly in the Investigations) and by then applying that account to technology-turning around Wittgenstein's metaphor of the toolbox. Using Wittgenstein's concepts of language games and form of life and coining the term 'technology games', the paper proposes and argues for a use-oriented, holistic, transcendental, social, and historical approach to technology which is empirically but also normatively sensitive, and which takes into account implicit knowledge and know-how. It gives examples of interaction with social robots to support the relevance of this project for understanding and evaluating today's technologies, makes comparisons with authors in philosophy of technology such as Winner and Ihde, and sketches the contours of a phenomenology and hermeneutics of technology use that may help us to understand but also to gain a more critical relation to specific uses of concrete technologies in everyday contexts. Ultimately, given the holism argued for, it also promises a more critical relation to the games and forms of life technologies are embedded in-to the ways we do things.

  3. Energy technology evaluation report: Energy security

    Science.gov (United States)

    Koopman, R.; Lamont, A.; Schock, R.

    1992-09-01

    Energy security was identified in the National Energy Strategy (NES) as a major issue for the Department of Energy (DOE). As part of a process designed by the DOE to identify technologies important to implementing the NES, an expert working group was convened to consider which technologies can best contribute to reducing the nation's economic vulnerability to future disruptions of world oil supplies, the working definition of energy security. Other working groups were established to deal with economic growth, environmental quality, and technical foundations. Energy Security working group members were chosen to represent as broad a spectrum of energy supply and end-use technologies as possible and were selected for their established reputations as experienced experts with an ability to be objective. The time available for this evaluation was very short. The group evaluated technologies using criteria taken from the NES which can be summarized for energy security as follows: diversifying sources of world oil supply so as to decrease the increasing monopoly status of the Persian Gulf region; reducing the importance of oil use in the US economy to diminish the impact of future disruptions in oil supply; and increasing the preparedness of the US to deal with oil supply disruptions by having alternatives available at a known price. The result of the first phase of the evaluation process was the identification of technology groups determined to be clearly important for reducing US vulnerability to oil supply disruptions. The important technologies were mostly within the high leverage areas of oil and gas supply and transportation demand but also included hydrogen utilization, biomass, diversion resistant nuclear power, and substitute industrial feedstocks.

  4. Cyber security evaluation of II&C technologies

    Energy Technology Data Exchange (ETDEWEB)

    Thomas, Ken [Idaho National Laboratory (INL), Idaho Falls, ID (United States)

    2014-11-01

    The Light Water Reactor Sustainability (LWRS) Program is a research and development program sponsored by the Department of Energy, which is conducted in close collaboration with industry to provide the technical foundations for licensing and managing the long-term, safe and economical operation of current nuclear power plants The LWRS Program serves to help the US nuclear industry adopt new technologies and engineering solutions that facilitate the continued safe operation of the plants and extension of the current operating licenses. Within the LWRS Program, the Advanced Instrumentation, Information, and Control (II&C) Systems Technologies Pathway conducts targeted research and development (R&D) to address aging and reliability concerns with the legacy instrumentation and control and related information systems of the U.S. operating light water reactor (LWR) fleet. The II&C Pathway is conducted by Idaho National Laboratory (INL). Cyber security is a common concern among nuclear utilities and other nuclear industry stakeholders regarding the digital technologies that are being developed under this program. This concern extends to the point of calling into question whether these types of technologies could ever be deployed in nuclear plants given the possibility that the information in them can be compromised and the technologies themselves can potentially be exploited to serve as attack vectors for adversaries. To this end, a cyber security evaluation has been conducted of these technologies to determine whether they constitute a threat beyond what the nuclear plants already manage within their regulatory-required cyber security programs. Specifically, the evaluation is based on NEI 08-09, which is the industry’s template for cyber security programs and evaluations, accepted by the Nuclear Regulatory Commission (NRC) as responsive to the requirements of the nuclear power plant cyber security regulation found in 10 CFR 73.54. The evaluation was conducted by a

  5. The Relationships among Writing Skills, Writing Anxiety and Metacognitive Awareness

    Science.gov (United States)

    Balta, Elif Emine

    2018-01-01

    The purpose of this study was to investigate the relationships among students' argumentative text writing skills, writing anxiety, and metacognitive awareness. The participants were composed of 375 8th graders in six middle schools in Sivas. Metacognitive Awareness Inventory (B Form) which was adapted in to Turkish by Karakelle & Saraç (2007)…

  6. Beyond "Writing to Learn": Factors Influencing Students' Writing Outcomes

    Science.gov (United States)

    Jani, Jayshree S.; Mellinger, Marcela Sarmiento

    2015-01-01

    Social work educators concur that writing and critical thinking are basic components of effective practice, yet students are often deficient in these skills. Although there is agreement among educators about the need to enhance students' writing skills, there is little understanding of the nature of students' problems--a necessary step…

  7. Some technical writing skills industry needs

    Science.gov (United States)

    Smith, F. R.

    1981-01-01

    It is suggested that engineers and other technical students be taught three classes of skills in technical writing. First, "Big Picture Things", which includes: the importance of clear writing, the wide scope of writing, the wide scope of writing tasks that will be faced in industry, and the principles of organization of technical materials such as; how to analyze, classify, partition, and interpret. Second, "Writing Procedures", which encompasses: how to get words on paper efficiently and team-write. Third, "Writing Details", in which two considerations are important: how to achieve precision in the use of language and the aspects of style. Three problems in style are cited: the problem of sentence transition, overuse of attributive adjectives, and verbosity in paragraph structure. The most important thing in technical writing is considered to be functionality, economy and clarity.

  8. Clean Technology Evaluation & Workforce Development Program

    Energy Technology Data Exchange (ETDEWEB)

    Patricia Glaza

    2012-12-01

    The overall objective of the Clean Technology Evaluation portion of the award was to design a process to speed up the identification of new clean energy technologies and match organizations to testing and early adoption partners. The project was successful in identifying new technologies targeted to utilities and utility technology integrators, in developing a process to review and rank the new technologies, and in facilitating new partnerships for technology testing and adoption. The purpose of the Workforce Development portion of the award was to create an education outreach program for middle & high-school students focused on clean technology science and engineering. While originally targeting San Diego, California and Cambridge, Massachusetts, the scope of the program was expanded to include a major clean technology speaking series and expo as part of the USA Science & Engineering Festival on the National Mall in Washington, D.C.

  9. Case Writing Projects in Co-Operation with Companies and Organizations

    OpenAIRE

    Bengtsson, Lars; Asplund, Carl-Johan

    2008-01-01

    The purpose of this paper is to present the process and evaluation of case writing projects in co-operation with companies and organizations in a course for engineering students. The case writing projects could provide an illustration and example framework for working with companies in constructing cases. Normally cases are constructed for teaching purposes in higher education. However, in order to get closer co-operation and more interest from the companies the authors encouraged the student...

  10. Standoff Detection Technology Evaluation Facility

    Data.gov (United States)

    Federal Laboratory Consortium — The Standoff Detection Technology Evaluation facility is the only one of its kind in the country and allows researchers to release a known amount of material while...

  11. Emerging Technologies for Autonomous Language Learning

    Directory of Open Access Journals (Sweden)

    Mark Warschauer

    2011-09-01

    Full Text Available Drawing on a lengthier review completed for the US National Institute for Literacy, this paper examines emerging technologies that are applicable to self-access and autonomous learning in the areas of listening and speaking, collaborative writing, reading and language structure, and online interaction. Digital media reviewed include podcasts, blogs, wikis, online writing sites, text-scaffolding software, concordancers, multiuser virtual environments, multiplayer games, and chatbots. For each of these technologies, we summarize recent research and discuss possible uses for autonomous language learning.

  12. Writing for Impact

    DEFF Research Database (Denmark)

    Meier, Ninna

    2016-01-01

    Academic work may have impact in a variety of ways, depending on purpose, audience and field, but this is most likely to happen when your work resonates in meaningful ways with people. Ninna Meier encourages a more systematic investigation of the role of writing in achieving impact. Impact through...... writing means getting your readers to understand and remember your message and leave the reading experience changed. The challenge is to make what you write resonate with an audience’s reservoir of experiential knowledge. If the words do not connect to anything tangible, interest can be quickly lost....

  13. Robots Learn Writing

    Directory of Open Access Journals (Sweden)

    Huan Tan

    2012-01-01

    Full Text Available This paper proposes a general method for robots to learn motions and corresponding semantic knowledge simultaneously. A modified ISOMAP algorithm is used to convert the sampled 6D vectors of joint angles into 2D trajectories, and the required movements for writing numbers are learned from this modified ISOMAP-based model. Using this algorithm, the knowledge models are established. Learned motion and knowledge models are stored in a 2D latent space. Gaussian Process (GP method is used to model and represent these models. Practical experiments are carried out on a humanoid robot, named ISAC, to learn the semantic representations of numbers and the movements of writing numbers through imitation and to verify the effectiveness of this framework. This framework is applied into training a humanoid robot, named ISAC. At the learning stage, ISAC not only learns the dynamics of the movement required to write the numbers, but also learns the semantic meaning of the numbers which are related to the writing movements from the same data set. Given speech commands, ISAC recognizes the words and generated corresponding motion trajectories to write the numbers. This imitation learning method is implemented on a cognitive architecture to provide robust cognitive information processing.

  14. Learning to improve: using writing to increase critical thinking performance in general education biology.

    Science.gov (United States)

    Quitadamo, Ian J; Kurtz, Martha J

    2007-01-01

    Increasingly, national stakeholders express concern that U.S. college graduates cannot adequately solve problems and think critically. As a set of cognitive abilities, critical thinking skills provide students with tangible academic, personal, and professional benefits that may ultimately address these concerns. As an instructional method, writing has long been perceived as a way to improve critical thinking. In the current study, the researchers compared critical thinking performance of students who experienced a laboratory writing treatment with those who experienced traditional quiz-based laboratory in a general education biology course. The effects of writing were determined within the context of multiple covariables. Results indicated that the writing group significantly improved critical thinking skills whereas the non-writing group did not. Specifically, analysis and inference skills increased significantly in the writing group but not the non-writing group. Writing students also showed greater gains in evaluation skills; however, these were not significant. In addition to writing, prior critical thinking skill and instructor significantly affected critical thinking performance, whereas other covariables such as gender, ethnicity, and age were not significant. With improved critical thinking skill, general education biology students will be better prepared to solve problems as engaged and productive citizens.

  15. Chinese children's early knowledge about writing.

    Science.gov (United States)

    Zhang, Lan; Yin, Li; Treiman, Rebecca

    2017-09-01

    Much research on literacy development has focused on learners of alphabetic writing systems. Researchers have hypothesized that children learn about the formal characteristics of writing before they learn about the relations between units of writing and units of speech. We tested this hypothesis by examining young Chinese children's understanding of writing. Mandarin-speaking 2- to 5-year-olds completed a graphic task, which tapped their knowledge about the formal characteristics of writing, and a phonological task, which tapped their knowledge about the correspondence between Chinese characters and syllables. The 3- to 5-year-olds performed better on the graphic task than the phonological task, indicating that learning how writing appears visually begins earlier than learning that writing corresponds to linguistic units, even in a writing system in which written units correspond to syllables. Statement of contribution What is already known on this subject? Learning about writing's visual form, how it looks, is an important part of emergent literacy. Knowledge of how writing symbolizes linguistic units may emerge later. What does this study add? We test the hypothesis that Chinese children learn about writing's visual form earlier than its symbolic nature. Chinese 3- to 5- year-olds know more about visual features than character-syllable links. Results show learning of the visual appearance of a notation system is developmentally precocious. © 2016 The British Psychological Society.

  16. Special Issue on Gender and Writing | Gender and literacy issues and research: Placing the spotlight on writing

    Directory of Open Access Journals (Sweden)

    Judy M. Parr

    2012-03-01

    Full Text Available In this introduction to a special issue of the Journal of Writing Research, we review four decades of research, bringing writing to the forefront in conversations devoted to gender and literacy. We identify the impetus for much of the research on gender and writing and situate the four articles in this special issue within three themes: gender patterns in what and how students write, cognitive and socio-cultural factors influencing gender differences in student writing, and attempts to provide alternatives to stereotypical gender patterns in student writing. These interdisciplinary themes, further developed within the four articles, underscore the need to consider gender as a complex social, cognitive and linguistic characteristic of both reading and writing.

  17. Assistive technology evaluations: Remote-microphone technology for children with Autism Spectrum Disorder.

    Science.gov (United States)

    Schafer, Erin C; Wright, Suzanne; Anderson, Christine; Jones, Jessalyn; Pitts, Katie; Bryant, Danielle; Watson, Melissa; Box, Jerrica; Neve, Melissa; Mathews, Lauren; Reed, Mary Pat

    The goal of this study was to conduct assistive technology evaluations on 12 children diagnosed with Autism Spectrum Disorder (ASD) to evaluate the potential benefits of remote-microphone (RM) technology. A single group, within-subjects design was utilized to explore individual and group data from functional questionnaires and behavioral test measures administered, designed to assess school- and home-based listening abilities, once with and once without RM technology. Because some of the children were unable to complete the behavioral test measures, particular focus was given to the functional questionnaires completed by primary teachers, participants, and parents. Behavioral test measures with and without the RM technology included speech recognition in noise, auditory comprehension, and acceptable noise levels. The individual and group teacher (n=8-9), parent (n=8-9), and participant (n=9) questionnaire ratings revealed substantially less listening difficulty when RM technology was used compared to the no-device ratings. On the behavioral measures, individual data revealed varied findings, which will be discussed in detail in the results section. However, on average, the use of the RM technology resulted in improvements in speech recognition in noise (4.6dB improvement) in eight children, higher auditory working memory and comprehension scores (12-13 point improvement) in seven children, and acceptance of poorer signal-to-noise ratios (8.6dB improvement) in five children. The individual and group data from this study suggest that RM technology may improve auditory function in children with ASD in the classroom, at home, and in social situations. However, variability in the data and the inability of some children to complete the behavioral measures indicates that individualized assistive technology evaluations including functional questionnaires will be necessary to determine if the RM technology will be of benefit to a particular child who has ASD. Copyright

  18. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  19. Investigating the feasibility of a BCI-driven robot-based writing agent for handicapped individuals

    Science.gov (United States)

    Syan, Chanan S.; Harnarinesingh, Randy E. S.; Beharry, Rishi

    2014-07-01

    Brain-Computer Interfaces (BCIs) predominantly employ output actuators such as virtual keyboards and wheelchair controllers to enable handicapped individuals to interact and communicate with their environment. However, BCI-based assistive technologies are limited in their application. There is minimal research geared towards granting disabled individuals the ability to communicate using written words. This is a drawback because involving a human attendant in writing tasks can entail a breach of personal privacy where the task entails sensitive and private information such as banking matters. BCI-driven robot-based writing however can provide a safeguard for user privacy where it is required. This study investigated the feasibility of a BCI-driven writing agent using the 3 degree-of- freedom Phantom Omnibot. A full alphanumerical English character set was developed and validated using a teach pendant program in MATLAB. The Omnibot was subsequently interfaced to a P300-based BCI. Three subjects utilised the BCI in the online context to communicate words to the writing robot over a Local Area Network (LAN). The average online letter-wise classification accuracy was 91.43%. The writing agent legibly constructed the communicated letters with minor errors in trajectory execution. The developed system therefore provided a feasible platform for BCI-based writing.

  20. Investigating the feasibility of a BCI-driven robot-based writing agent for handicapped individuals

    International Nuclear Information System (INIS)

    Syan, Chanan S; Harnarinesingh, Randy E S; Beharry, Rishi

    2014-01-01

    Brain-Computer Interfaces (BCIs) predominantly employ output actuators such as virtual keyboards and wheelchair controllers to enable handicapped individuals to interact and communicate with their environment. However, BCI-based assistive technologies are limited in their application. There is minimal research geared towards granting disabled individuals the ability to communicate using written words. This is a drawback because involving a human attendant in writing tasks can entail a breach of personal privacy where the task entails sensitive and private information such as banking matters. BCI-driven robot-based writing however can provide a safeguard for user privacy where it is required. This study investigated the feasibility of a BCI-driven writing agent using the 3 degree-of- freedom Phantom Omnibot. A full alphanumerical English character set was developed and validated using a teach pendant program in MATLAB. The Omnibot was subsequently interfaced to a P300-based BCI. Three subjects utilised the BCI in the online context to communicate words to the writing robot over a Local Area Network (LAN). The average online letter-wise classification accuracy was 91.43%. The writing agent legibly constructed the communicated letters with minor errors in trajectory execution. The developed system therefore provided a feasible platform for BCI-based writing

  1. Effects of disfluency in writing.

    Science.gov (United States)

    Medimorec, Srdan; Risko, Evan F

    2016-11-01

    While much previous research has suggested that decreased transcription fluency has a detrimental effect on writing, there is recent evidence that decreased fluency can actually benefit cognitive processing. Across a series of experiments, we manipulated transcription fluency of ostensibly skilled typewriters by asking them to type essays in two conditions: both-handed and one-handed typewriting. We used the Coh-Metrix text analyser to investigate the effects of decreased transcription fluency on various aspects of essay writing, such as lexical sophistication, sentence complexity, and cohesion of essays (important indicators of successful writing). We demonstrate that decreased fluency can benefit certain aspects of writing and discuss potential mechanisms underlying disfluency effects in essay writing. © 2016 The British Psychological Society.

  2. Critical Thinking through Writing: Expressing Scientific Thought and Process in a Deaf Classroom

    Science.gov (United States)

    Manjarrez, Leslie

    Within Deaf classrooms there is often a disconnect between academic areas and writing curriculums that develop in both common and academic language, where often classrooms focus solely on writing as a skill rather than as a method for producing language through an academic area. This work focuses on the development of academic language in ASL and English print of science. The curriculum is written to be implemented as a bilingual academic curriculum to support Deaf and Hard of Hearing students in various self contained classroom settings. Lessons are conducted in three Units, A B and C. Unit A focuses on research, thought and writing of preparatory materials in small groups. Unit B is comprised of procedural lessons on conducting x experiments and the evaluation of those experiments through mathematics. Unit C is a group of lessons that ties together Units A and B through writing and peer teaching as a method of concluding the work and presenting information in an effective manner. The success of the project was evaluated on the basis of student work, rubrics, and final works from the students. The results showed promise in aspects of Critical Thinking, writing development, and expression of new concepts in both ASL and English.

  3. Teaching General Education Students How to Write Scientific Arguments Using Real Earth Data

    Science.gov (United States)

    Kelly, G. J.; Prothero, W. A.

    2003-12-01

    Writing activities can improve student understanding of scientific content and processes. We have studied student writing to identify the challenges that students face in composing scientific arguments and to clarify features that constitute quality in scientific writing. We have applied argumentation analysis for the assessment of students' use of evidence in a general education oceanography course. Argumentation analysis refers to the systematic examination of ways that conclusions are supported with evidence. The student writers were supported by an interactive CD-ROM, "Our Dynamic Planet," which provided students with "point and click" access to real earth data and allowed them to solve many problems associated with plate tectonics. Plate boundary types (using quakes, volcanoes, elevation profiles, and heat flow) and plate motion can be determined (seafloor age, island ages/hot spots) with this technology. First, we discuss the structure of scientific argument and how this structure can be made accessible to undergraduate students. Second, we present examples of argumentation analysis applied to student writing. These examples demonstrate how use of large scale geological data sets can be used to support student writing. Third, we present results from a series of studies to show ways that students adhere to the genre conventions of geological writing through use of theoretical claims, multiple lines of evidence, and cohesive terms. These results, combined with our evidence-based orientation to instruction, formed the basis for modifications in the course instruction. These instructional modifications include providing detailed examples of data based observations and interpretations, heuristics for assessing other students' arguments, and quick write exercises with similar but simplified writing tasks. More information about the CD-ROM may be found at http://oceanography.geol.ucsb.edu/.

  4. How to write reports and proposals

    CERN Document Server

    Forsyth, Patrick

    2016-01-01

    How to Write Reports and Proposals is essential reading for achieving effective writing techniques. Getting a message across on paper and presenting a proposal in a clear and persuasive form are vital skills for anyone in business. How to Write Reports and Proposals provides practical advice on how to impress, convince and persuade your colleagues or clients. It will help you: improve your writing skills; think constructively before writing; create a good report; produce persuasive proposals; use clear and distinctive language; present numbers, graphs and charts effectively. Full of checklists, exercises and real life examples, this new edition also contains content on how to write succinctly and with impact across different mediums. How to Write Reports and Proposals will help you to put over a good case with style.

  5. Integrating Social Networking Tools into ESL Writing Classroom: Strengths and Weaknesses

    Science.gov (United States)

    Yunus, Melor Md; Salehi, Hadi; Chenzi, Chen

    2012-01-01

    With the rapid development of world and technology, English learning has become more important. Teachers frequently use teacher-centered pedagogy that leads to lack of interaction with students. This paper aims to investigate the advantages and disadvantages of integrating social networking tools into ESL writing classroom and discuss the ways to…

  6. iPad versus Handwriting: Pilot Study Exploring the Writing Abilities of Students with Learning Disabilities

    Science.gov (United States)

    Corkett, Julie K.; Benevides, Tina

    2016-01-01

    Written expression is an essential skill to actively function in today's society. For many learners, especially those with a learning disability (LD), writing can be a source of frustration. Technology in its various forms, holds promise to assist students in this area. The current study examines the role that tablet technology, specifically,…

  7. Improving Scientific Writing in Undergraduate Geosciences Degrees Through Peer Review

    Science.gov (United States)

    Day, E. A.; Collins, G. S.; Craig, L.

    2016-12-01

    In the British educational system, students specialise early. Often geoscience undergraduates have not taken a class that requires extended writing since they were sixteen years old. This can make it difficult for students to develop the written skills necessary for a geoscience degree, which often has assessments in the form of essays and reports. To improve both the writing and editing skills of our undergraduates we have introduced a peer review system, in which seniors review the work of first year students. At Imperial College London we set written coursework in every year of the degree. Communication is taught and assessed in many courses. There are two major modules with substantial written components that bookend the undergraduate degree at Imperial; the freshmen all write an assessed essay, while all seniors take 'Science Communication', a course that aims to prepare them for a range of possible careers. In the 2015-16 academic year we linked these courses by introducing a modified form of peer marking and instruction. Seniors had to complete reviews of draft first year essays for credit in Science Communication. These reviews are completed for the department 'journal' and introduce the first and fourth years to the nature of peer review. Seniors learn how to critically, but kindly, evaluate the work of other students, and are also prepared for potentially submitting their senior theses to journals. Reviews were managed by volunteer seniors, who acted as associate editors. They allocated anonymous reviewers and wrote decision letters, which were sent to the freshmen before their final assessed essay submission. Ultimately the fourth year reviews were formally assessed and graded by members of staff, as were the revised and resubmitted first year essays. Feedback for both courses has improved since the introduction of student reviews of essays. The markers of the freshman essay have also commented on the improvement in the standard of the writing and a

  8. The system evaluation for report writing skills of summary by HGA-SVM with Ontology: Medical case study in problem based learning

    Science.gov (United States)

    Yenaeng, Sasikanchana; Saelee, Somkid; Samai, Wirachai

    2018-01-01

    The system evaluation for report writing skills of summary by Hybrid Genetic Algorithm-Support Vector Machines (HGA-SVM) with Ontology of Medical Case Study in Problem Based Learning (PBL) is a system was developed as a guideline of scoring for the facilitators or medical teacher. The essay answers come from medical student of medical education courses in the nervous system motion and Behavior I and II subject, a third year medical student 20 groups of 9-10 people, the Faculty of Medicine in Prince of Songkla University (PSU). The audit committee have the opinion that the ratings of individual facilitators are inadequate, this system to solve such problems. In this paper proposes a development of the system evaluation for report writing skills of summary by HGA-SVM with Ontology of medical case study in PBL which the mean scores of machine learning score and humans (facilitators) score were not different at the significantly level .05 all 3 essay parts contain problem essay part, hypothesis essay part and learning objective essay part. The result show that, the average score all 3 essay parts that were not significantly different from the rate at the level of significance .05.

  9. One or Many? Tensions with Authorship and Evaluation in Community Engagement Writing

    Science.gov (United States)

    Taggart, Amy Rupiper

    2007-01-01

    This article illustrates the sometimes unproductive tensions between community engagement goals in teaching writing and academic trends and institutional structures that influence grading practices and the language of authorship. To broaden instructors' understandings of possibilities for the relatively peaceful coexistence of individual and…

  10. HIGH VOLTAGE ENVIRONMENTAL APPLICATIONS, INC.ELECTRON BEAM TECHNOLOGY - INNOVATIVE TECHNOLOGY EVALUATION REPORT

    Science.gov (United States)

    This report evaluates a high-voltage electron beam (E-beam) technology's ability to destroy volatile organic compounds (VOCs) and other contaminants present in liquid wastes. Specifically, this report discusses performance and economic data from a Superfund Innovative Technology...

  11. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Science.gov (United States)

    Berk, Rifat Ramazan; Ünal, Emre

    2017-01-01

    The purpose of this study is to determine sixth, seventh and eighth grade students' writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students' grade levels and genders are…

  12. How to write a competitive proposal for Horizon 2020 a research manager's handbook

    CERN Document Server

    McCarthy, Sean

    2013-01-01

    Chapter 1: An Overview of Horizon 2020 ; Chapter 2: How the Research Priorities were Selected (How to Lobby) ; Chapter 3: The Research Priorities in Horizon 2020 ; Chapter 4: How Proposals are Evaluated ; Chapter 5: How to Write the ‘Impact’ of the project ; Chapter 6: The One Page Proposal ; Chapter 7: How to Streamline Proposal Writing ; Chapter 8: How to Find the Best Partners ; Chapter 9: How to Write the ‘Implementation' of the project ; Chapter 10: Legal and Financial Rules in Horizon 2020 ; Chapter 11: What is your Strategy for Horizon 2020?

  13. An integrated approach to enhancing prospective English language teachers' writing skills

    Directory of Open Access Journals (Sweden)

    Recep Sahin Arslan

    2013-10-01

    Full Text Available This study reports on the experience of a group of pre-service teachers of English in a compulsory writing coursein the preparatory program of an English language teaching department in the Turkish context. This studyspecifically attempts to investigate to what extent the writing course contributes to the acquisition of basicconventions of written discourse in English when prospective teachers of English are involved in an extensivewriting practice which is based upon integration of product, process and genre based approaches to writing. Thestudy lasted for a period of 28 weeks with fifty-nine pre-service teachers of English who participated in thestudy. The participants studied the basic genre types which included expository writing such as classification,process, argumentation, opinion, cause and effect, compare and contrast, and narrative paragraphs and essays.The participants specifically received instruction as to the basic constituents of paragraph and essays writing;namely, organization, process, unity, coherence, word choice, language use, grammar, and mechanics whichwere further put into 49 observable competencies. Data were collected through an analytic assessment rubricapplied to participants’ pre-study and post-study essays. In addition, participants were distributed a pre-study anda post-study self-perception questionnaire in order to evaluate any possible improvements in their writingcompetence. The results of the study suggest that exposing pre-service teachers of English to various genres byinvolving them in an extensive writing practice adds to their writing competency positively in learning theprocess of writing practice, organizing the text, including relevant content in the text, using languageappropriately, producing correct grammar, coming up with relevant vocabulary, and following correctmechanical conventions.

  14. Technical Writing Tips

    Science.gov (United States)

    Kennedy, Patrick M.

    2004-01-01

    The main reason engineers, technicians, and programmers write poor technical documents is because they have had little training or experience in that area. This article addresses some of the basics that students can use to master technical writing tasks. The article covers the most common problems writers make and offers suggestions for improving…

  15. Writing Process Products in Intermediate-Grade Children with and without Language-Based Learning Disabilities

    Science.gov (United States)

    Koutsoftas, Anthony D.

    2016-01-01

    Purpose: Difficulties with written expression are an important consideration in the assessment and treatment of school-age children. This study evaluated how intermediate-grade children with and without written language difficulties fared on a writing task housed within the Hayes and Berninger (2014) writing process framework. Method: Sixty-four…

  16. Escrituras de professores na convergência de mídia / Teachers writings in the convergence of medias

    Directory of Open Access Journals (Sweden)

    Karla Demoly

    2007-01-01

    production in the convergence of medias. Our hypothesis is that the practices of written composition in the internet make possible new and unusual actions coordinations, new ways of writing. The constituent recursivity between writing and technology is described by several authors, demonstrating that the technologies become tools that form manners of thinking and knowing. The writing appears as a process in which the teachers organize themselves (self organization in the meeting with co-workers with whom they share the perspectives for the education. We have observed the movements of writing in two different circumstances. The firstone refers to the writings from which a hyperdocument emerges. The second one refers to the "written conversations" which are the exchanges among the teachers registered at the forums and chat rooms in a virtual environment. The teachers, engaged in the writing practices in which they show the work that they accomplish, face with the writing from a blind co-worker and from a deaf mate and with specific technological aids for writing. A writing that is done in the joining with digital technologies produces an interactive convergence in which exists great dialogue possibilities among people with different perceptive conditions, because it is changed the sensorial-motor manners of joining with the writing and the actions coordinations in the net of written conversations kept by the teachers. A hypertextual production can make the convergence of technologies and teachers who start to recognize as legitimate the written forms, the systems and languages used to live and to know.

  17. New Technologies, New Approaches to Evaluating Academic Productivity

    Science.gov (United States)

    Rich, Peter J.; West, Richard E.

    2012-01-01

    Technology has enabled a proliferation of publication venues for disseminating academic work. The task of evaluating the relative quality of each of these venues is simultaneously exacerbated and resolved by the use of new technologies. In this article, the authors propose a three-pronged framework for evaluating the quality of scholarly work that…

  18. Reaching Resistant Youth through Writing.

    Science.gov (United States)

    Skramstad, Teresa

    1998-01-01

    A teacher recounts her experiences with students who were successful telling their stories through writing and using their writing as a vehicle for expressing their emotions. Explains how helping students "find their voices" through writing can crack tough exteriors and help youth reconnect to school and themselves. (Author/MKA)

  19. The role of research-article writing motivation and self-regulatory strategies in explaining research-article abstract writing ability.

    Science.gov (United States)

    Lin, Ming-Chia; Cheng, Yuh-Show; Lin, Sieh-Hwa; Hsieh, Pei-Jung

    2015-04-01

    The purpose of the study was to investigate the effects of research-article writing motivation and use of self-regulatory writing strategies in explaining second language (L2) research-article abstract writing ability, alongside the L2 literacy effect. Four measures were administered: a L2 literacy test, a research abstract performance assessment, and inventories of writing motivation and strategy. Participants were L2 graduate students in Taiwan (N=185; M age=25.8 yr., SD=4.5, range=22-53). Results of structural equation modeling showed a direct effect of motivation on research-article writing ability, but no direct effect of strategy or indirect effect of motivation via strategy on research-article writing ability, with L2 literacy controlled. The findings suggest research-article writing instruction should address writing motivation, besides L2 literacy.

  20. Health technology assessment. Evaluation of biomedical innovative technologies.

    Science.gov (United States)

    Turchetti, Giuseppe; Spadoni, Enza; Geisler, Eliezer Elie

    2010-01-01

    This article describes health technology assessment (HTA) as an evaluation tool that applies systematic methods of inquiry to the generation and use of health technologies and new products. The focus of this article is on the contributions of HTA to the management of the new product development effort in the biomedical organization. Critical success factors (CSFs) are listed, and their role in assessing success is defined and explained. One of the conclusions of this article is that HTA is a powerful tool for managers in the biomedical sector, allowing them to better manage their innovation effort in their continuing struggle for competitiveness and survival.

  1. Peer Facilitated Writing Groups: A Programmatic Approach to Doctoral Student Writing

    Science.gov (United States)

    Kumar, Vijay; Aitchison, Claire

    2018-01-01

    Very few empirical studies have investigated programmes in which doctoral students act as peer facilitators in faculty writing groups. We report on the development of a centrally delivered doctoral student writing programme in which twenty student participants were mentored and provided with the resources to initiate their own faculty-based…

  2. A novel approach for monitoring writing interferences during navigated transcranial magnetic stimulation mappings of writing related cortical areas.

    Science.gov (United States)

    Rogić Vidaković, Maja; Gabelica, Dragan; Vujović, Igor; Šoda, Joško; Batarelo, Nikolina; Džimbeg, Andrija; Zmajević Schönwald, Marina; Rotim, Krešimir; Đogaš, Zoran

    2015-11-30

    It has recently been shown that navigated repetitive transcranial magnetic stimulation (nTMS) is useful in preoperative neurosurgical mapping of motor and language brain areas. In TMS mapping of motor cortices the evoked responses can be quantitatively monitored by electromyographic (EMG) recordings. No such setup exists for monitoring of writing during nTMS mappings of writing related cortical areas. We present a novel approach for monitoring writing during nTMS mappings of motor writing related cortical areas. To our best knowledge, this is the first demonstration of quantitative monitoring of motor evoked responses from hand by EMG, and of pen related activity during writing with our custom made pen, together with the application of chronometric TMS design and patterned protocol of rTMS. The method was applied in four healthy subjects participating in writing during nTMS mapping of the premotor cortical area corresponding to BA 6 and close to the superior frontal sulcus. The results showed that stimulation impaired writing in all subjects. The corresponding spectra of measured signal related to writing movements was observed in the frequency band 0-20 Hz. Magnetic stimulation affected writing by suppressing normal writing frequency band. The proposed setup for monitoring of writing provides additional quantitative data for monitoring and the analysis of rTMS induced writing response modifications. The setup can be useful for investigation of neurophysiologic mechanisms of writing, for therapeutic effects of nTMS, and in preoperative mapping of language cortical areas in patients undergoing brain surgery. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Is Handwriting Performance Affected by the Writing Surface? Comparing Preschoolers', Second Graders', and Adults' Writing Performance on a Tablet vs. Paper.

    Science.gov (United States)

    Gerth, Sabrina; Klassert, Annegret; Dolk, Thomas; Fliesser, Michael; Fischer, Martin H; Nottbusch, Guido; Festman, Julia

    2016-01-01

    Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of 10 years, children's handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general and in particular those of non-automatized beginning writers. In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders, and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities, and handwriting performance (only second graders and adults). We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures [e.g., writing duration, writing velocity, strokes and number of inversions in velocity (NIV)]. In particular, NIVs which describe the number of velocity peaks during handwriting are directly related to the level of handwriting automaticity. In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants-even the experienced writers-were influenced by the lower friction of the tablet surface. Our results

  4. Is handwriting performance affected by the writing surface? Comparing preschoolers’, second graders’ and adults’ writing performance on a tablet versus paper

    Directory of Open Access Journals (Sweden)

    Sabrina Gerth

    2016-09-01

    Full Text Available Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of ten years, children’s handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general, and in particular those of non-automatized beginning writers.In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities and handwriting performance (only second graders and adults. We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures (e.g. writing duration, writing velocity, strokes and number of inversions in velocity (NIV. In particular, NIVs which describe the number of velocity peaks during handwriting, are directly related to the level of handwriting automaticity.In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants – even the experienced writers – were influenced by the lower friction of the

  5. Is Handwriting Performance Affected by the Writing Surface? Comparing Preschoolers', Second Graders', and Adults' Writing Performance on a Tablet vs. Paper

    Science.gov (United States)

    Gerth, Sabrina; Klassert, Annegret; Dolk, Thomas; Fliesser, Michael; Fischer, Martin H.; Nottbusch, Guido; Festman, Julia

    2016-01-01

    Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of 10 years, children's handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general and in particular those of non-automatized beginning writers. In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders, and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities, and handwriting performance (only second graders and adults). We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures [e.g., writing duration, writing velocity, strokes and number of inversions in velocity (NIV)]. In particular, NIVs which describe the number of velocity peaks during handwriting are directly related to the level of handwriting automaticity. In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants—even the experienced writers—were influenced by the lower friction of the tablet surface. Our

  6. Masters’ Writings and Students’ Writings: School Material in Mesopotamia

    OpenAIRE

    Proust, Christine

    2011-01-01

    International audience; By nature, school drafts of Mesopotamia were meant to destruction. But, thanks to clay support of writing and ancient recycling practices, they reached us in vast amount. These school tablets were mainly produced along a quite short period, between 18th and 17th century B.C. They contain principally exercises for learning writing, Sumerian language and mathematics. These sources bear witness of phenomena linked with those which are examined in this book: change of know...

  7. Using WebQLM to Enhance Performance in Argumentative Writing among Year 12 ESL Students

    Directory of Open Access Journals (Sweden)

    Tan-Ooi L. C.

    2013-01-01

    Full Text Available This study investigated the impact of a designed web-based learning module on Year 12 students’ learning of argumentative writing. The module known as WebQuest Learning Module or WebQLM comprises four units of learning. The subjects were 68 Year 12 Arts Stream students in an urban school in Penang. The main objective of this study was to investigate the effect of WebQLM on students’ performance in argumentative writing as well as students’ responses towards the integration of this web technology in the ESL classroom. The paired-samples T-Test revealed significant improvement in students’ argumentative writing performance. Findings from the questionnaire and group interviews showed students generally responded positively towards the use of WebQLM in argumentative writing instruction. This study has brought to light the importance of integrating web-based learning tasks in the classroom. The credibility of the teacher in web-based instruction depends very much on the roles he or she plays in designing, scaffolding, facilitating and aspiring students towards a better learning culture. Implications on the teaching and learning of writing in an ESL context, especially for learners in the contemporary digital culture, can be drawn from the findings.

  8. Using ICT to foster (pre)reading and writing skills in young children

    NARCIS (Netherlands)

    Voogt, Joke; McKenney, Susan

    2008-01-01

    This study examines how technology can support the development of emergent reading and writing skills in four- to five-year-old children. The research was conducted with PictoPal, an intervention which features a software package that uses images and text in three main activity areas: reading,

  9. Combining Traditional and New Literacies in a 21st-Century Writing Workshop

    Science.gov (United States)

    Bogard, Jennifer M.; McMackin, Mary C.

    2012-01-01

    This article describes how third graders combine traditional literacy practices, including writer's notebooks and graphic organizers, with new literacies, such as video editing software, to create digital personal narratives. The authors emphasize the role of planning in the recursive writing process and describe how technology-based audio…

  10. AN ANALYSIS OF STUDENTS’ FREE WRITING

    Directory of Open Access Journals (Sweden)

    Rahmi Phonna

    2014-05-01

    Full Text Available Writing contains a compound process to be expressed that entails the writer to pay more attention on linking appropriate words together. Most linguists agree that a writer should attain high level of understanding to pursue the lifelong learning of academic writing pedagogy. This study aimed to analyze the students’ free writing by identifying the category of mistakes that often appear on their writing assignment. 28 free writings were collected, as the main data, from 28 students as the samples for this study. They were then analyzed by using the guidelines of correction symbols from Hogue (1996 and Oshima & Hogue (1999. The results revealed that 11 categories of grammar that often applied incorrectly on the students’ free writing. The misused of verb-agreement (V/A was the most frequent category occurred, followed by word form (Wf and Spelling (Sp. The least category of errors identified on the students’ free writing was conjunction (Conj and wrong word (Ww categories. Overall, 175 errors from different grammatical conventions were repeated in the students’ free writing.

  11. Writing that Works.

    Science.gov (United States)

    Roman, Kenneth; Raphaelson, Joel

    Intended for use by nonprofessional writers who must use the written word to communicate and get results, this book offers practical suggestions on how to write business letters, memos, sales and fund raising letters, plans, and reports. The book covers general principles of good writing and emphasizes the importance of editing. In addition, it…

  12. Children's Advertisement Writing

    Science.gov (United States)

    Burrell, Andrew; Beard, Roger

    2010-01-01

    This paper explores primary school children's ability to engage with "the power of the text" by tackling persuasive writing in the form of an advertisement. It is eclectically framed within genre theory and rhetorical studies and makes use of linguistic tools and concepts. The paper argues that writing research has not built upon earlier…

  13. Discourse Approaches to Writing Assessment.

    Science.gov (United States)

    Connnor, Ulla; Mbaye, Aymerou

    2002-01-01

    Discusses assessment of English-as-a-Foreign/Second-Language (EFL/ESL) writing. Suggests there is a considerable gap between current practices in writing assessment and criteria suggested by advances in knowledge of discourse structure. Illustrates this by contrasting current practices in the scoring of two major EFL/ESL writing tests with…

  14. Direct Writing of Three-Dimensional Macroporous Photonic Crystals on Pressure-Responsive Shape Memory Polymers.

    Science.gov (United States)

    Fang, Yin; Ni, Yongliang; Leo, Sin-Yen; Wang, Bingchen; Basile, Vito; Taylor, Curtis; Jiang, Peng

    2015-10-28

    Here we report a single-step direct writing technology for making three-dimensional (3D) macroporous photonic crystal patterns on a new type of pressure-responsive shape memory polymer (SMP). This approach integrates two disparate fields that do not typically intersect: the well-established templating nanofabrication and shape memory materials. Periodic arrays of polymer macropores templated from self-assembled colloidal crystals are squeezed into disordered arrays in an unusual shape memory "cold" programming process. The recovery of the original macroporous photonic crystal lattices can be triggered by direct writing at ambient conditions using both macroscopic and nanoscopic tools, like a pencil or a nanoindenter. Interestingly, this shape memory disorder-order transition is reversible and the photonic crystal patterns can be erased and regenerated hundreds of times, promising the making of reconfigurable/rewritable nanooptical devices. Quantitative insights into the shape memory recovery of collapsed macropores induced by the lateral shear stresses in direct writing are gained through fundamental investigations on important process parameters, including the tip material, the critical pressure and writing speed for triggering the recovery of the deformed macropores, and the minimal feature size that can be directly written on the SMP membranes. Besides straightforward applications in photonic crystal devices, these smart mechanochromic SMPs that are sensitive to various mechanical stresses could render important technological applications ranging from chromogenic stress and impact sensors to rewritable high-density optical data storage media.

  15. Don't be afraid of writing

    International Nuclear Information System (INIS)

    Park, Dong Gyu

    1997-01-01

    This book deals with requirements of good writings, comprehension toward characters of language, understanding of subjects and materials, grasp of structure of writings, and reality of writing. It contains theoretical requirements of good writing such as creativity, clearness, probity, how to understand the right meanings of language by showing standard languages, dialects, foreign languages, loan words, newly coined words, in-words, slangs, jargon. It also introduces subjects, topics, materials, sentences, meaning, structure, type, requirement, length of paragraphs, diaries, letter writings, travel essays, descriptions, and essays.

  16. Contextualize Technical Writing Assessment to Better Prepare Students for Workplace Writing: Student-Centered Assessment Instruments

    Science.gov (United States)

    Yu, Han

    2008-01-01

    To teach students how to write for the workplace and other professional contexts, technical writing teachers often assign writing tasks that reflect real-life communication contexts, a teaching approach that is grounded in the field's contextualized understanding of genre. This article argues to fully embrace contextualized literacy and better…

  17. How Professional Writing Pedagogy and University-Workplace Partnerships Can Shape the Mentoring of Workplace Writing

    Science.gov (United States)

    Kohn, Liberty

    2015-01-01

    This article analyzes literature on university-workplace partnerships and professional writing pedagogy to suggest best practices for workplace mentors to mentor new employees and their writing. The article suggests that new employees often experience cultural confusion due to (a) the transfer of education-based writing strategies and (b) the…

  18. Between initial familiarity and future use – a case of Collocated Collaborative Writing

    DEFF Research Database (Denmark)

    Bødker, Susanne; Polli, Anna Maria

    2014-01-01

    these with the three above forms of practice. The initial familiarity leads to two different early practices that get in the way of each other, and the collaborative writing idea. They point instead towards a discursive sharing of individual feelings, a different kind of past experiences than anticipated in design.......This paper reports on a design experiment in an art gallery, where we explored visitor practices of commenting on art, and how they were shaped in interaction with a newly designed collocated, collaborative writing technology. In particular we investigate what potentials previous practices carry...... with them that may affect early use and further development of use. We base our analyses on interviews in the art gallery and on socio-cultural theories of artefactmediated learning and collaboration. The analyses help identify three forms of collaborative writing, which are placed in the space between...

  19. Map It Then Write It

    Science.gov (United States)

    Lott, Kimberly; Read, Sylvia

    2015-01-01

    All writing begins with ideas, but young students often need visual cues to help them organize their thoughts before beginning to write. For this reason, many elementary teachers use graphic organizers or thinking maps to help students visualize patterns and organize their ideas within the different genres of writing. Graphic organizers such as…

  20. ESL intermediate/advanced writing

    CERN Document Server

    Munoz Page, Mary Ellen; Jaskiewicz, Mary

    2011-01-01

    Master ESL (English as a Second Language) Writing with the study guide designed for non-native speakers of English. Skill-building lessons relevant to today's topics help ESL students write complete sentences, paragraphs, and even multi-paragraph essays. It's perfect for classroom use or self-guided writing preparation.DETAILS- Intermediate drills for improving skills with parallel structure, mood, correct shifting errors & dangling participles- Advanced essay drills focusing on narrative, descriptive, process, reaction, comparison and contrast- Superb preparation for students taking the TOEFL

  1. Learning to write in science: A study of English language learners' writing experience in sixth-grade science classrooms

    Science.gov (United States)

    Qi, Yang

    Writing is a predictor of academic achievement and is essential for student success in content area learning. Despite its importance, many students, including English language learners (ELLs), struggle with writing. There is thus a need to study students' writing experience in content area classrooms. Informed by systemic functional linguistics, this study examined 11 ELL students' writing experience in two sixth grade science classrooms in a southeastern state of the United States, including what they wrote, how they wrote, and why they wrote in the way they did. The written products produced by these students over one semester were collected. Also collected were teacher interviews, field notes from classroom observations, and classroom artifacts. Student writing samples were first categorized into extended and nonextended writing categories, and each extended essay was then analyzed with respect to its schematic structure and grammatical features. Teacher interviews and classroom observation notes were analyzed thematically to identify teacher expectations, beliefs, and practices regarding writing instruction for ELLs. It was found that the sixth-grade ELLs engaged in mostly non-extended writing in the science classroom, with extended writing (defined as writing a paragraph or longer) constituting roughly 11% of all writing assignments. Linguistic analysis of extended writing shows that the students (a) conveyed information through nouns, verbs, adjectives, adverbial groups and prepositional phrases; (b) constructed interpersonal context through choices of mood, modality, and verb tense; and (c) structured text through thematic choices and conjunctions. The appropriateness of these lexicogrammatical choices for particular writing tasks was related to the students' English language proficiency levels. The linguistic analysis also uncovered several grammatical problems in the students' writing, including a limited range of word choices, inappropriate use of mood

  2. National Security Technology Incubator Business Plan

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2007-12-31

    This document contains a business plan for the National Security Technology Incubator (NSTI), developed as part of the National Security Preparedness Project (NSPP) and performed under a Department of Energy (DOE)/National Nuclear Security Administration (NNSA) grant. This business plan describes key features of the NSTI, including the vision and mission, organizational structure and staffing, services, evaluation criteria, marketing strategies, client processes, a budget, incubator evaluation criteria, and a development schedule. The purpose of the NSPP is to promote national security technologies through business incubation, technology demonstration and validation, and workforce development. The NSTI will focus on serving businesses with national security technology applications by nurturing them through critical stages of early development. The vision of the NSTI is to be a successful incubator of technologies and private enterprise that assist the NNSA in meeting new challenges in national safety, security, and protection of the homeland. The NSTI is operated and managed by the Arrowhead Center, responsible for leading the economic development mission of New Mexico State University (NMSU). The Arrowhead Center will recruit business with applications for national security technologies recruited for the NSTI program. The Arrowhead Center and its strategic partners will provide business incubation services, including hands-on mentoring in general business matters, marketing, proposal writing, management, accounting, and finance. Additionally, networking opportunities and technology development assistance will be provided.

  3. Examining Dimensions of Self-Efficacy for Writing

    Science.gov (United States)

    Bruning, Roger; Dempsey, Michael; Kauffman, Douglas F.; McKim, Courtney; Zumbrunn, Sharon

    2013-01-01

    A multifactor perspective on writing self-efficacy was examined in 2 studies. Three factors were proposed--self-efficacy for writing ideation, writing conventions, and writing self-regulation--and a scale constructed to reflect these factors. In Study 1, middle school students (N = 697) completed the Self-Efficacy for Writing Scale (SEWS), along…

  4. Writing with Phineas

    DEFF Research Database (Denmark)

    Wegener, Charlotte

    2014-01-01

    This article describes a collaborative writing strategy when you are alone. It is the story of how I came to bring Phineas, the protagonist in A. S. Byatt’s The Biographer’s Tale, into my writing process as a third voice in my dialogue with my data. It is a self-reflective text that shows how co...

  5. Writing successfully in science

    National Research Council Canada - National Science Library

    O'Connor, M; Gretton, J

    1991-01-01

    ... - from planning the initial framework of an article, preparing references and illustrative material and writing a first draft, to choosing suitable journals, writing to the editor and dealing with proofs of the final draft...

  6. 1992 update of US EPA's Superfund Innovative Technology Evaluation (SITE) Emerging Technology Program

    International Nuclear Information System (INIS)

    Lewis, N.M.; Barkley, N.P.; Williams, T.

    1992-01-01

    The Superfund Innovative Technology Evaluation (SITE) Emerging Technology Program (ETP) has financially supported further development of bench- and pilot-scale testing and evaluation of innovative technologies for use at hazardous waste sites for five years. The ETP was established under the Superfund Amendments and Reauthorization Act (SARA) of 1986. The ETP complies with the goal of the SITE Program to promote, accelerate and make commercially available the development of alternative/innovative treatment technologies for use at Superfund sites. Technologies are submitted to the ETP through yearly solicitations for Preproposals. Applicants are asked to submit a detailed project proposal and a cooperative agreement application that requires Developer/EPA cost sharing. EPA co-funds selected Developers for one to two years. Second-year funding requires documentation of significant progress during the first year. Facilities, equipment, data collection, performance and development are monitored throughout the project. The US Department of Energy (DOE) and the US Air Force (USAF) are participants in the ETP. DOE has co-funded ETP projects since 1990 and the USAF since 1991. A goal of the ETP is to move developed technologies to the field-demonstration stage. A developer may be considered for participation in the SITE Demonstration Program if performance in the ETP indicates the technology is field-ready for evaluation. Six technology categories: biological, chemical, materials handling, physical, solidification/stabilization and thermal, are presently in the ETP. Technologies of primary interest to EPA are those that can treat complex mixtures of hazardous organic and inorganic contaminants and provide improved solids handling and/or pretreatment. An account of the background and progress of the ETP's first five years is presented in this paper. Technologies currently in the ETP are noted, and developers and EPA Project Managers, are listed. 4 refs., 11 figs., 6 tabs

  7. Relating beliefs in writing skill malleability to writing performance: The mediating role of achievement goals and self-efficacy

    Directory of Open Access Journals (Sweden)

    Teresa Limpo

    2017-10-01

    Full Text Available It is well established that students’ beliefs in skill malleability influence their academic performance. Specifically, thinking of ability as an incremental (vs. fixed trait is associated with better outcomes. Though this was shown across many domains, little research exists into these beliefs in the writing domain and into the mechanisms underlying their effects on writing performance. The aim of this study was twofold: to gather evidence on the validity and reliability of instruments to measure beliefs in skill malleability, achievement goals, and self-efficacy in writing; and to test a path-analytic model specifying beliefs in writing skill malleability to influence writing performance, via goals and self-efficacy. For that, 196 Portuguese students in Grades 7-8 filled in the instruments and wrote an opinion essay that was assessed for writing performance. Confirmatory factor analyses supported instruments’ validity and reliability. Path analysis revealed direct effects from beliefs in writing skill malleability to mastery goals (ß = .45; from mastery goals to self-efficacy for conventions, ideation, and self-regulation (ß = .27, .42, and .42, respectively; and from self-efficacy for self-regulation to writing performance (ß = .16; along with indirect effects from beliefs in writing skill malleability to self-efficacy for self-regulation via mastery goals (ß = .19, and from mastery goals to writing performance via self-efficacy for self-regulation (ß = .07. Overall, students’ mastery goals and self-efficacy for self-regulation seem to be key factors underlying the link between beliefs in writing skill malleability and writing performance. These findings highlight the importance of attending to motivation-related components in the teaching of writing.

  8. The Functions of Writing in an Elementary Classroom.

    Science.gov (United States)

    Florio, Susan; Clark, Christopher M.

    1982-01-01

    Describes an ethnographic study of writing in one elementary classroom that identified four functions of writing: writing to participate in community, writing to know oneself and others, writing to occupy free time, and writing to demonstrate academic competence. (HOD)

  9. The Oral Language Process in Writing: A Real-Life Writing Session.

    Science.gov (United States)

    Shuy, Roger W.; Robinson, David G.

    1990-01-01

    Analyzes a real-life writing session involving a male executive in the construction business, his female secretary, and a male representing himself as a state official, working collaboratively to write a letter to a state official urging action on a long overdue claim. Discusses the quality of the drafts and the participants' roles. (KEH)

  10. Literacy Cafe: Making Writing Authentic

    Science.gov (United States)

    Daniels, Erika

    2007-01-01

    The "Literacy Cafe," a celebration of genre study and student writing, offers students (and visitors!) a positive environment in which to engage in reading and discussion of writing without self-consciousness or fear of criticism. It works because students learn to recognize writing as a learning tool and a relevant, authentic skill in the real…

  11. REMEDIATION TECHNOLOGY EVALUATION AT THE GILT EDGE MINE, SOUTH DAKOTA

    Science.gov (United States)

    This document reports the findings of the Mine Waste Technology Program's Activity III, Project 29,The Remediation Technology Evaluation Project at the Gilt Edge Mine, S.D. This project consisted of evaluating three emerging acidic waste rock stabilization technologies and compar...

  12. Report Writing

    DEFF Research Database (Denmark)

    Behnke, Eric

    In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations......In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations...

  13. Writing disciplines: producing disciplinary knowledge in the context of contemporary higher education

    Directory of Open Access Journals (Sweden)

    Karin Tusting

    2016-11-01

    Full Text Available This paper addresses academic disciplinary writing practices, and how these are affected by changes in the landscape of Higher Education in the UK. After exploring the definition and understanding of the notion of “discipline”, the paper presents research from an ESRC-funded research project studying academics’ everyday writing practices, working closely with academics across different disciplines and different kinds of higher education institutions. The changing context of Higher Education in the UK is presented, in particular the emergence of new kinds of managerial practices which shape and co-ordinate the everyday writing work of academics. The paper shows that while some disciplines, such as History and Pure Mathematics, are associated with clearly-defined writing practices, others are more diverse. It discusses how managerial practices, particularly those driven by centralised national research evaluations, affect different disciplines in different ways.

  14. Writing Skills for Technical Students. Fourth Edition.

    Science.gov (United States)

    Carlisle, Vicky; Smith, Harriet; Baker, Fred; Ellegood, George; Kopay, Carol; Tanzer, Ward; Young, Diana; Dujordan, Jerome; Webster, Ron; Lewis, Sara Drew

    This self-paced text/workbook is designed for the adult learner who needs a review of grammar and writing skills in order to write clearly and concisely on the job. It offers career-minded students 14 individualized instructional modules on grammar, paragraph writing, report writing, letter writing, and spelling. It is designed for both self-paced…

  15. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Science.gov (United States)

    Cao, Fan; Perfetti, Charles A

    2016-01-01

    Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG) is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  16. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Directory of Open Access Journals (Sweden)

    Fan Cao

    Full Text Available Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  17. REFLECTIVE PRACTICE THROUGH JOURNAL WRITING AND PEER OBSERVATION: A Case Study

    Directory of Open Access Journals (Sweden)

    B. Samrajya LAKSHMI

    2014-10-01

    Full Text Available Journal writing and Peer Observation in an educational context have become popular techniques, with several different types of applications. They have now been used quite widely in both language teaching and in teacher training. However, despite its reported advantages in both teaching and research, there are not many Peer Observation and Diary studies available based on the writing of experienced language teachers. The Teacher participants maintain Journal writing and Peer Observation as a means of reflective practice. They consider these practices as a mirror, which reflects the teacher’s own image as a practioner. The post-reflection discussion reveals that the teacher participants believe in reflective practice as an effective means of self-evaluation and of developing sensitivity to students’ learning. This paper examines Peer Observation and journal writing of two teachers working on the same language programme in terms of a variety of topic headings, and suggests that reflective practice can be a useful tool for both classroom research and teachers’ professional development.

  18. Military Legislation: Explaining Military Officers’ Writing Deficiencies

    Science.gov (United States)

    2016-06-01

    Skinner1953_Operant.pdf. 5 (and others) can learn writing subconsciously by turning into habit the responses they have encountered in their academic...100 Each source is evaluated for potential biases , purpose, and reliability. After evaluating multiple sources, the authors select those that are...and effect • Describe the tone, and look for bias • Identify inferences and assumptions • Identify implications139 Their third recommended step is

  19. Writing and reading training effects on font type and size preferences by students with low vision.

    Science.gov (United States)

    Atasavun Uysal, Songül; Düger, Tülin

    2012-06-01

    The effect of writing and reading training on preferred font type and size in low-vision students was evaluated in 35 children. An ophthalmologist confirmed low vision according to ICD-10-CM. Children identified the font type and size they could best read. The writing subtest of the Jebsen-Taylor Hand Function Test, read in 1 min., and legibility as measured by the number of readable written letters were used in evaluating the children. A writing and reading treatment program was conducted, beginning with the child's preferred font type and size, for 3 months, 2 days per week, for 45 min. per day at the child's school. Before treatment, the most preferred font type was Verdana; after treatment, the preferred font type and size changed. Students had gained reading and writing speed after training, but their writing legibility was not significantly better. Training might affect the preferred font type and size of students with low vision. Surprisingly, serif and sans-serif fonts were preferred about equally after treatment.

  20. Relationship between gender and tactile-kinesthetic sensitivity and the quality of writing among students with and without writing difficulties

    Directory of Open Access Journals (Sweden)

    Vujanović Marina M.

    2017-01-01

    Full Text Available Writing, a skill that students practice as soon as they start primary school, requires coordination between motor, perceptual and cognitive abilities. In order to determine the effect of gender on writing difficulties and the possible differences in the relationship between tactile-kinesthetic perception and writing skills of boys and girls with and without writing difficulties, a study was conducted in 2016 on a sample of 1,156 fifth to eighth grade students of eight Belgrade primary schools. Although the results obtained suggest that girls write faster than boys, difficulties with writing fast were equally present in both groups of students. However, difficulties with writing quality occurred with statistically significantly greater frequency among boys. Pencil grip, kinesthetic sensibility test results and consistency of pressure were not unrelated to students' gender, with girls achieving better results. Moreover, boys had significantly lower scores than girls on tactile function tests. The obtained results indicate that gender is a determinant of writing difficulties as measured through speed of writing and legibility. Also, girls have more developed kinesthetic-tactile functions, which are correlated with writing quality.