WorldWideScience

Sample records for surfaces si-si dimer

  1. Dimer-flipping-assisted diffusion on a Si(001) surface

    International Nuclear Information System (INIS)

    Zi, J.; Min, B. J.; Lu, Y.; Wang, C. Z.; Ho, K. M.

    2000-01-01

    The binding sites and diffusion pathways of Si adatoms on a c(4x2) reconstructed Si(001) surface are investigated by a tight-binding method with an environment-dependent silicon potential in conjunction with ab initio calculations using the Car--Parrinello method. A new diffusion pathway along the trough edge driven by dimer flipping is found with a barrier of 0.74 eV, comparable to that of 0.68 eV along the top of the dimer rows

  2. Experimental and Theoretical Study of the Rotation of Si Ad-dimers on the Si(100) Surface

    DEFF Research Database (Denmark)

    Swartzentruber, B. S.; Smith, A. P.; Jonsson, Hannes

    1996-01-01

    Scanning tunneling microscopy measurements and first principles density functional theory calculations are used to study the rate of the rotational transition of Si ad-dimers on top of the surface dimer rows of Si(100). The rotation rate and the relative population of the two stable orientations ...... of the ad-dimers are measured as a function of the applied electric field to extract the zero-field behavior. The measured relative stability of the two configurations is used to test the accuracy of various functionals for density functional theory calculations....

  3. Analysis of the dimerized Sb/Si(001)-(2x1) surface by x-ray standing waves

    International Nuclear Information System (INIS)

    Lyman, P.F.; Qian, Y.; Bedzyk, M.J.

    1994-12-01

    X-ray standing wave measurements were undertaken to study the bonding position of Sb adatoms on the Sb-saturated Si(001)-(2x1) surface. Using the (004) and (022) Bragg reflections, the authors find that the Sb atoms form dimers, and that the center of the Sb ad-dimers lies 1.64 angstrom above the bulk-like Si(004) surface atomic plane. These in-plane results are compared to two structural models consisting of dimers whose bonds are parallel to the surface plane and whose centers are either shifted or unshifted (parallel to the dimer bond direction) relative to the underlying substrate planes. The authors thus find two special cases consistent with these data: one with symmetric (unshifted) dimers having a dimer bond length of 2.81 angstrom, and the other with midpoint-shifted dimers, having a bond length of 2.88 angstrom and a lateral shift of 0.21 angstrom

  4. Investigation of split-off dimers on the Si(001)2x1 surface

    International Nuclear Information System (INIS)

    Schofield, S.R.; O'Brien, J.L.; Curson, N.J.; Simmons, M.Y.; Clark, R.G.

    2002-01-01

    Full text: A detailed knowledge of the nature of crystalline defects on the Si(001)2x1 surface is becoming increasingly important as more research effort is dedicated to producing atomic-scale electronic devices. Here we present high-resolution scanning tunnelling microscopy (STM) images and ab initio pseudopotential calculations of an unusual defect of the silicon (001) surface called the split-off dimer. In high-resolution filled-state images, split-off dimers appear as a pair of protrusions, in contrast to the surrounding surface dimers that appear as 'bean-shaped' protrusions. We show that π-bonding does not exist between the atoms of the split-off dimer, but instead, the dimer atoms form π-bonds with two second layer atoms as part of a tetramer bonding arrangement. We discuss the strain associated with split-off dimer defects and describe how this strain significantly affects the bonding arrangements and local density of states around these defects

  5. Atomic Layer Deposition of Al2O3 on H-Passivated GeSi: Initial Surface Reaction Pathways with H/GeSi(100)-2 × 1

    International Nuclear Information System (INIS)

    Yu, Shi; Qing-Qing, Sun; Lin, Dong; Han, Liu; Shi-Jin, Ding; Wei, Zhang

    2009-01-01

    The reaction mechanisms of Al(CH 3 ) 3 (TMA) adsorption on H-passivated GeSi(100)-2 × 1 surface are investigated with density functional theory. The Si–Ge and Ge–Ge one-dimer cluster models are employed to represent the GeSi(100)-2 × 1 surface with different Ge compositions. For a Si-Ge dimer of a H-passivated SiGe surface, TMA adsorption on both Si–H * and Ge–H * sites is considered. The activation barrier of TMA with the Si–H * site (1.2eV) is higher than that of TMA with the Ge-H * site (0.91 eV), which indicates that the reaction proceeds more slowly on the Si-H * site than on the Ge-H * site. In addition, adsorption of TMA is more energetically favorable on the Ge–Ge dimer than on the Si–Ge dimer of H-passivated SiGe. (atomic and molecular physics)

  6. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  7. Dimers at Ge/Si(001) surfaces: Ge coverage dependent quenching, reactivation of flip-flop motion, and interaction with dimer vacancy lines

    International Nuclear Information System (INIS)

    Hirayama, H.; Mizuno, H.; Yoshida, R.

    2002-01-01

    We studied Ge coverage (θ Ge ) dependent quenching, reactivation of the flip-flop motion, and interaction with dimer vacancy lines (DVLs) of dimers on Ge/Si(001) surfaces using a scanning tunneling microscope (STM) combined with a molecular beam epitaxy apparatus. Deposition of ∼0.3 ML (monolayer) Ge quenched the flip-flop motion, making all dimers asymmetric. Further deposition introduced DVLs at θ Ge ≥∼0.5 ML, and symmetric dimer domains appeared again locally at θ≥1.5 ML. High-resolution STM images indicated that asymmetric dimer rows always invert their phase in alternation with buckled dimer's up-end at the DVLs. Low-temperature STM images indicated that the symmetric dimer domains were due to flip-flopping of asymmetric dimers activated by large θ Ge at room temperature. The symmetric dimer domains extended along the dimer rows over the DVLs due to the phase correlation

  8. Surface diffusion of carbon atom and carbon dimer on Si(0 0 1) surface

    International Nuclear Information System (INIS)

    Zhu, J.; Pan, Z.Y.; Wang, Y.X.; Wei, Q.; Zang, L.K.; Zhou, L.; Liu, T.J.; Jiang, X.M.

    2007-01-01

    Carbon (C) atom and carbon dimer (C2) are known to be the main projectiles in the deposition of diamond-like carbon (DLC) films. The adsorption and diffusion of the C adatom and addimer (C2) on the fully relaxed Si(0 0 1)-(2 x 1) surface was studied by a combination of the molecular dynamics (MD) and Monte Carlo (MC) simulation. The adsorption sites of the C and C2 on the surface and the potential barriers between these sites were first determined using the semi-empirical many-body Brenner and Tersoff potential. We then estimated their hopping rates and traced their pathways. It is found that the diffusion of both C and C2 is strongly anisotropic in nature. In addition, the C adatom can diffuse a long distance on the surface while the adsorbed C2 is more likely to be confined in a local region. Thus we can expect that smoother films will be formed on the Si(0 0 1) surface with single C atoms as projectile at moderate temperature, while with C2 the films will grow in two-dimensional islands. In addition, relatively higher kinetic energy of the projectile, say, a few tens of eV, is needed to grow DLC films of higher quality. This is consistent with experimental findings

  9. Structure determination of the Si(001)-(2 x 1)-H reconstruction by surface X-ray diffraction: Weakening of the dimer bond by the addition of hydrogen

    DEFF Research Database (Denmark)

    Lauridsen, E.M.; Baker, J.; Nielsen, M.

    2000-01-01

    The atomic structure of the monohydride Si(001)-(2 x 1)-H reconstruction has been investigated by surface X-ray diffraction. Atomic relaxations down to the eighth layer have been determined. The bond length of the hydrogenated silicon dimers was found to be 2.47 +/- 0.02 Angstrom. which is longer...... than the dimer bond of the clean (2 x 1)-reconstructed Si(001) surface and also 5% longer than the bulk bond length of 2.35 Angstrom. The differences to the (2 x 1) structure of the clean surface are discussed in terms of the elimination of the weak pi-bond character of the dimer bond by the addition...

  10. Molecular modeling of alkyl monolayers on the Si (100)-2 x 1 surface

    NARCIS (Netherlands)

    Lee, M.V.; Guo, D.; Linford, M.R.; Zuilhof, H.

    2004-01-01

    Molecular modeling was used to simulate various surfaces derived from the addition of 1-alkenes and 1-alkynes to Si=Si dimers on the Si(100)-2 × 1 surface. The primary aim was to better understand the interactions between adsorbates on the surface and distortions of the underlying silicon crystal

  11. Surface-site-selective study of valence electronic states of a clean Si(111)-7x7 surface using Si L23VV Auger electron and Si 2p photoelectron coincidence measurements

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Tahara, Masashi; Nagaoka, Shin-ichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2011-01-01

    Valence electronic states of a clean Si(111)-7x7 surface are investigated in a surface-site-selective way using high-resolution coincidence measurements of Si pVV Auger electrons and Si 2p photoelectrons. The Si L 23 VV Auger electron spectra measured in coincidence with energy-selected Si 2p photoelectrons show that the valence band at the highest density of states in the vicinity of the rest atoms is shifted by ∼0.95 eV toward the Fermi level (E F ) relative to that in the vicinity of the pedestal atoms (atoms directly bonded to the adatoms). The valence-band maximum in the vicinity of the rest atoms, on the other hand, is shown to be shifted by ∼0.53 eV toward E F relative to that in the vicinity of the pedestal atoms. The Si 2p photoelectron spectra of Si(111)-7x7 measured in coincidence with energy-selected Si L 23 VV Auger electrons identify the topmost surface components, and suggest that the dimers and the rest atoms are negatively charged while the pedestal atoms are positively charged. Furthermore, the Si 2p-Si L 23 VV photoelectron Auger coincidence spectroscopy directly verifies that the adatom Si 2p component (usually denoted by C 3 ) is correlated with the surface state just below E F (usually denoted by S 1 ), as has been observed in previous angle-resolved photoelectron spectroscopy studies.

  12. The growth of Zn on a Si(1 0 0)-2x1 surface

    International Nuclear Information System (INIS)

    Xie Zhaoxiong; Tanaka, Ken-ichi

    2005-01-01

    Adsorption of Zn atoms on a Si(1 0 0)-2x1 surface was studied by scanning tunneling microscopy at room temperature. Narrow lines are grown perpendicular to the Si-dimer rows of the [1 1 0] direction at low coverage. The narrow line is formed by arraying rectangular Zn 3 dots, where a dot is composed of one Zn atom on a Si dimer and the other two in the neighboring two hollow sites. When the Si(1 0 0)-2x1 surface is covered with one monolayer of Zn, a 4x1 structure is established. More deposition of Zn on the 4x1 monolayer grows into three-dimensional Zn islands

  13. Formation of Si{sup 1+} in the early stages of the oxidation of the Si[001] 2 × 1 surface

    Energy Technology Data Exchange (ETDEWEB)

    Herrera-Gomez, Alberto, E-mail: aherrerag@cinvestav.mx [CINVESTAV-Unidad Queretaro, Queretaro 76230 (Mexico); Aguirre-Tostado, Francisco-Servando [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600 (Mexico); Pianetta, Piero [SLAC National Accelerator Center, Menlo Park, California 94025 (United States)

    2016-03-15

    The early stages of the oxidation of the Si[001] 2 × 1 surface were studied with synchrotron radiation photoelectron spectroscopy. The analysis was based on the block approach, which is a refinement of spectra-subtraction that accounts for changes on the background signal and for band-bending shifts. By this method, it was possible to robustly show that the formation of Si{sup 1+} is due to oxygen bonding to the upper dimer atoms. Our results contrast with ab initio calculation, which indicates that the most favorable bonding site is the back-bond of the down-dimer.

  14. Surface reconstruction switching induced by tensile stress of DB steps: From Ba/Si(0 0 1)- 2 × 3 to Ba/Si(0 0 1)-4° off- 3 × 2

    Science.gov (United States)

    Kim, Hidong; Lkhagvasuren, Altaibaatar; Zhang, Rui; Seo, Jae M.

    2018-05-01

    The alkaline-earth metal adsorption on Si(0 0 1) has attracted much interest for finding a proper template in the growth of high- κ and crystalline films. Up to now on the flat Si(0 0 1) surface with double domains and single-layer steps, the adsorbed Ba atoms are known to induce the 2 × 3 structure through removing two Si dimers and adding a Ba atom per unit cell in each domain. In the present investigation, the Si(0 0 1)-4° off surface with DB steps and single domains has been employed as a substrate and the reconstruction at the initial stage of Ba adsorption has been investigated by scanning tunneling microscopy and synchrotron photoemission spectroscopy. On this vicinal and single domain terrace, a novel 3 × 2 structure rotated by 90° from the 2 × 3 structure has been found. Such a 3 × 2 structure turns out to be formed by adding a Ba atom and a Si dimer per unit cell. This results from the fact that the adsorbed Ba2+ ions with a larger ionic radius relieve tensile stress on the original Si dimers exerted by the rebonded atoms at the DB step.

  15. Structural origin of Si-2p core-level shifts from Si(100)-c[4x2] surface: A spectral x-ray photoelectron diffraction study

    Energy Technology Data Exchange (ETDEWEB)

    Chen, X.; Tonner, B.P. [Univ. of Wisconsin, Milwaukee, WI (United States); Denlinger, J. [Univ. of Wisconsin, Milwaukee, WI (United States)][Ernest Orlando Lawrence Berkeley National Lab., CA (United States)] [and others

    1997-04-01

    The authors have performed angle-resolved x-ray photoelectron diffraction (XPD) from a Si(100)-c(4x2) surface to study the structural origin of Si-2p core-level shifts. In the experiment, the highly resolved surface Si-2p core-level spectra were measured as a fine grid of hemisphere and photon energies, using the SpectroMicroscopy Facility {open_quotes}ultraESCA{close_quotes} instrument. By carefully decomposing the spectra into several surface peaks, the authors are able to obtain surface-atom resolved XPD patterns. Using a multiple scattering analysis, they derived a detailed atomic model for the Si(100)-c(4x2) surface. In this model, the asymmetric dimers were found tilted by 11.5 plus/minus 2.0 degrees with bond length of 2.32 plus/minus 0.05{angstrom}. By matching model XPD patterns to experiment, the authors can identify which atoms in the reconstructed surface are responsible for specific photoemission lines in the 2p spectrum.

  16. Si/C and H coadsorption at 4H-SiC{0001} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wachowicz, E., E-mail: elwira@ifd.uni.wroc.pl [Institute of Experimental Physics, University of Wrocław, Plac M. Borna 9, PL-50-204 Wrocław (Poland); Interdisciplinary Centre for Mathematical and Computational Modelling, University of Warsaw, Pawińskiego 5a, PL-02-106 Warsaw (Poland)

    2016-06-15

    Highlights: • Si on C-terminated and C on Si-terminated surface adsorb in the H{sub 3} hollow site. • The preferred adsorption site is in contrary to the stacking order of bulk crystal. • The presence of hydrogen increases the adsorption energy of Si/C. • Hydrogen weakens the bonds between the adsorbed Si or C and the surface. • Carbon adsorbs on top of the surface carbon on the C-terminated surface. • With both C and H on Si-terminated surface the surface state vanishes. - Abstract: Density functional theory (DFT) study of adsorption of 0.25 monolayer of either Si or C on 4H-SiC{0001} surfaces is presented. The adsorption in high-symmetry sites on both Si- and C-terminated surfaces was examined and the influence of the preadsorbed 0.25 ML of hydrogen on the Si/C adsorption was considered. It was found out that for Si on C-terminated surface and C on Si-terminated the most favourable is threefolded adsorption site on both clean and H-precovered surface. This is contrary to the bulk crystal stacking order which would require adsorption on top of the topmost surface atom. In those cases, the presence of hydrogen weakens the bonding of the adsorbate. Carbon on the C-terminated surface, only binds on-top of the surface atom. The C−C bond-length is almost the same for the clean surface and for one with H and equals to ∼1.33 Å which is shorter by ∼0.2 than in diamond. The analysis of the electronic structure changes under adsorption is also presented.

  17. Initial oxidation processes of Si(001) surfaces by supersonic O2 molecular beams. Different oxidation mechanisms for clean and partially-oxidized surfaces

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2002-01-01

    Potential energy barriers for dissociative chemisorption of O 2 molecules on Si(001) clean surfaces were investigated using supersonic O 2 molecular beams and photoemission spectroscopy. Relative initial sticking probabilities of O 2 molecules and the saturated oxygen amount on the Si(001) surface were measured as a function of incident energy of O 2 molecules. Although the probability was independent on the incident energy in the region larger than 1 eV, the saturated oxygen amount was dependent on the incident energy without energy thresholds. An Si-2p photoemission spectrum of the Si(001) surface oxidized by thermal O 2 gas revealed the oxygen insertion into dimer backbond sites. These facts indicate that a reaction path of the oxygen insertion into dimer backbonds through bridge sites is open for the clean surface oxidation, and the direct chemisorption probability at the backbonds is negligibly small comparing with that at the bridge sites. (author)

  18. Are both symmetric and buckled dimers on Si(100) minima? Density functional and multireference perturbation theory calculations

    International Nuclear Information System (INIS)

    Jung, Yousung; Shao, Yihan; Gordon, Mark S.; Doren, Douglas J.; Head-Gordon, Martin

    2003-01-01

    We report a spin-unrestricted density functional theory (DFT) solution at the symmetric dimer structure for cluster models of Si(100). With this solution, it is shown that the symmetric structure is a minimum on the DFT potential energy surface, although higher in energy than the buckled structure. In restricted DFT calculations the symmetric structure is a saddle point connecting the two buckled minima. To further assess the effects of electron correlation on the relative energies of symmetric versus buckled dimers on Si(100), multireference second order perturbation theory (MRMP2) calculations are performed on these DFT optimized minima. The symmetric structure is predicted to be lower in energy than the buckled structure via MRMP2, while the reverse order is found by DFT. The implications for recent experimental interpretations are discussed

  19. Carbene supported dimer of heavier ketenimine analogue with p and si atoms.

    Science.gov (United States)

    Roy, Sudipta; Dittrich, Birger; Mondal, Totan; Koley, Debasis; Stückl, A Claudia; Schwederski, Brigitte; Kaim, Wolfgang; John, Michael; Vasa, Suresh Kumar; Linser, Rasmus; Roesky, Herbert W

    2015-05-20

    A cyclic alkyl(amino) carbene (cAAC) stabilized dimer [(cAAC)Si(P-Tip)]2 (2) (Tip = 2,4,6-triisopropylphenyl) is reported. 2 can be considered as a dimer of the heavier ketenimine (R2C═C═N-R) analogue. The dark-red rod-shaped crystals of 2 were synthesized by reduction of the precursor, cAAC-dichlorosilylene-stabilized phosphinidene (cAAC)SiCl2→P-Tip with sodium napthalenide. The crystals of 2 are storable at room temperature for several months and stable up to 215 °C under an inert atmosphere. X-ray single-crystal diffraction revealed that 2 contains a cyclic nonplanar four-membered SiPSiP ring. Magnetic susceptibility measurements confirmed the singlet spin ground state of 2. Cyclic voltammetry of 2 showed a quasi-reversible one-electron reduction indicating the formation of the corresponding radical anion 2(•-), which was further characterized by EPR measurements in solution. The electronic structure and bonding of 2 and 2(•-) were studied by theoretical calculations. The experimentally obtained data are in good agreement with the calculated values.

  20. Model, First-Principle Calculation of Ammonia Dissociation on Si(100 Surface. Importance of Proton Tunneling

    Directory of Open Access Journals (Sweden)

    Marek Z. Zgierski

    2003-06-01

    Full Text Available Abstract: The dissociation of an ammonia molecule on a cluster of Si atoms simulating the 100 silicon crystal structure with two Si dimers has been investigated by means of the DFT and an approximate instanton methods. The model corresponds to the low coverage limit of the surface. Absolute rate constants of two different dissociation paths are evaluated together with deuterium isotope effects. It is demonstrated that, even at room temperatures, the process is dominated by tunneling and that dissociation to a silicon atom of the adjacent dimer, rather than a silicon within the same dimer, is the prevailing mechanism. This leads to creation of a metastable structure which will slowly decay through a two-step hydrogen atom migration towards the absolute minimum on the potential energy surface corresponding to the NH2 group and the hydrogen atom residing in the same dimer.

  1. Fermi surfaces of YRu2Si2 and LaRu2Si2

    International Nuclear Information System (INIS)

    Settai, R.; Ikezawa, H.; Toshima, H.; Takashita, M.; Ebihara, T.; Sugawara, H.; Kimura, T.; Motoki, K.; Onuki, Y.

    1995-01-01

    We have measured the de Haas-van Alphen effect of YRu 2 Si 2 and LaRu 2 Si 2 to clarify the Fermi surfaces and cyclotron masses. Main hole-Fermi surfaces of both compounds with a distorted ellipsoid shape are similar, occupying about half of the Brillouin zone. The small hole-Fermi surfaces with the shape of a rugby ball are three in number for LaRu 2 Si 2 , and one for YRu 2 Si 2 . An electron-Fermi surface consists of a doughnut like shape for LaRu 2 Si 2 , while a cylinder along the [001] direction and a multiply-connected shape exist for YRu 2 Si 2 . The cyclotron masses of YRu 2 Si 2 are a little larger than those of LaRu 2 Si 2 . ((orig.))

  2. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  3. Effects of antimony (Sb) on electron trapping near SiO{sub 2}/4H-SiC interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Mooney, P. M.; Jiang, Zenan; Basile, A. F. [Physics Department, Simon Fraser University, Burnaby, British Columbia V5A 1S6 (Canada); Zheng, Yongju; Dhar, Sarit [Physics Department, Auburn University, Auburn, Alabama 36849 (United States)

    2016-07-21

    To investigate the mechanism by which Sb at the SiO{sub 2}/SiC interface improves the channel mobility of 4H-SiC MOSFETs, 1 MHz capacitance measurements and constant capacitance deep level transient spectroscopy (CCDLTS) measurements were performed on Sb-implanted 4H-SiC MOS capacitors. The measurements reveal a significant concentration of Sb donors near the SiO{sub 2}/SiC interface. Two Sb donor related CCDLTS peaks corresponding to shallow energy levels in SiC were observed close to the SiO{sub 2}/SiC interface. Furthermore, CCDLTS measurements show that the same type of near-interface traps found in conventional dry oxide or NO-annealed capacitors are present in the Sb implanted samples. These are O1 traps, suggested to be carbon dimers substituted for O dimers in SiO{sub 2}, and O2 traps, suggested to be interstitial Si in SiO{sub 2}. However, electron trapping is reduced by a factor of ∼2 in Sb-implanted samples compared with samples with no Sb, primarily at energy levels within 0.2 eV of the SiC conduction band edge. This trap passivation effect is relatively small compared with the Sb-induced counter-doping effect on the MOSFET channel surface, which results in improved channel transport.

  4. Structural And Energetic Changes of Si (100 Surface With Fluorine in Presence of Water – A Density Functional Study

    Directory of Open Access Journals (Sweden)

    Takeo Ebina

    2001-05-01

    Full Text Available Abstract: We report density functional electronic structure calculations to monitor the change in the surface characteristics of the Si (100-2x1 surface after fluorination followed by interaction with water. Embedded finite silicon clusters are used to model an extended Si (100-2x1 surface. Two high symmetry pathways and subsequent adsorption sites were examined: (i adsorption of an fluorine atom directing onto a silicon dangling bond to form a monocoordinated fluorine atom (ii adsorption of a fluorine atom directing on top of silicon dimer to form a bridging dicoordinated fluorine atom. However, in the later case we find that no barrier exists for the bridging fluorine atom to slide towards silicon dimer dangling bond to form more stable mono coordinated Si-F bond. We calculated activation barriers and equilibrium surface configuration as a function of fluorine coverage upto 2.0 ML. We compared the stability of the fluorinated surface. The results were compared with existing experimental and theoretical results. The reaction of water with HF treated Si surface is monitored. It produces, as a first step, the exchange of Si-F with water to form Si-OH groups reducing the concentration of the fluorine on the surface, followed by a rapture of Si-Si bonds and finally the Si-O-Si bridge formation in the lattice.

  5. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  6. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  7. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  8. Early stage oxynitridation process of Si(001) surface by NO gas: Reactive molecular dynamics simulation study

    International Nuclear Information System (INIS)

    Cao, Haining; Kim, Seungchul; Lee, Kwang-Ryeol; Srivastava, Pooja; Choi, Keunsu

    2016-01-01

    Initial stage of oxynitridation process of Si substrate is of crucial importance in fabricating the ultrathin gate dielectric layer of high quality in advanced MOSFET devices. The oxynitridation reaction on a relaxed Si(001) surface is investigated via reactive molecular dynamics (MD) simulation. A total of 1120 events of a single nitric oxide (NO) molecule reaction at temperatures ranging from 300 to 1000 K are statistically analyzed. The observed reaction kinetics are consistent with the previous experimental or calculation results, which show the viability of the reactive MD technique to study the NO dissociation reaction on Si. We suggest the reaction pathway for NO dissociation that is characterized by the inter-dimer bridge of a NO molecule as the intermediate state prior to NO dissociation. Although the energy of the inter-dimer bridge is higher than that of the intra-dimer one, our suggestion is supported by the ab initio nudged elastic band calculations showing that the energy barrier for the inter-dimer bridge formation is much lower. The growth mechanism of an ultrathin Si oxynitride layer is also investigated via consecutive NO reactions simulation. The simulation reveals the mechanism of self-limiting reaction at low temperature and the time evolution of the depth profile of N and O atoms depending on the process temperature, which would guide to optimize the oxynitridation process condition.

  9. Early stage oxynitridation process of Si(001) surface by NO gas: Reactive molecular dynamics simulation study

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Haining; Kim, Seungchul; Lee, Kwang-Ryeol, E-mail: krlee@kist.re.kr [Computational Science Research Center, Korea Institute of Science and Technology, 5, Hwarangno 14-gil, Seongbuk-gu, Seoul 02792 (Korea, Republic of); Department of Nanomaterial Science and Technology, Korea University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Srivastava, Pooja; Choi, Keunsu [Computational Science Research Center, Korea Institute of Science and Technology, 5, Hwarangno 14-gil, Seongbuk-gu, Seoul 02792 (Korea, Republic of)

    2016-03-28

    Initial stage of oxynitridation process of Si substrate is of crucial importance in fabricating the ultrathin gate dielectric layer of high quality in advanced MOSFET devices. The oxynitridation reaction on a relaxed Si(001) surface is investigated via reactive molecular dynamics (MD) simulation. A total of 1120 events of a single nitric oxide (NO) molecule reaction at temperatures ranging from 300 to 1000 K are statistically analyzed. The observed reaction kinetics are consistent with the previous experimental or calculation results, which show the viability of the reactive MD technique to study the NO dissociation reaction on Si. We suggest the reaction pathway for NO dissociation that is characterized by the inter-dimer bridge of a NO molecule as the intermediate state prior to NO dissociation. Although the energy of the inter-dimer bridge is higher than that of the intra-dimer one, our suggestion is supported by the ab initio nudged elastic band calculations showing that the energy barrier for the inter-dimer bridge formation is much lower. The growth mechanism of an ultrathin Si oxynitride layer is also investigated via consecutive NO reactions simulation. The simulation reveals the mechanism of self-limiting reaction at low temperature and the time evolution of the depth profile of N and O atoms depending on the process temperature, which would guide to optimize the oxynitridation process condition.

  10. Adsorption and surface reaction of bis-diethylaminosilane as a Si precursor on an OH-terminated Si (0 0 1) surface

    International Nuclear Information System (INIS)

    Baek, Seung-Bin; Kim, Dae-Hee; Kim, Yeong-Cheol

    2012-01-01

    The adsorption and the surface reaction of bis-diethylaminosilane (SiH 2 [N(C 2 H 5 ) 2 ] 2 , BDEAS) as a Si precursor on an OH-terminated Si (0 0 1) surface were investigated to understand the initial reaction mechanism of the atomic layer deposition (ALD) process using density functional theory. The bond dissociation energies between two atoms in BDEAS increased in the order of Si-H, Si-N, and the rest of the bonds. Therefore, the relatively weak Si-H and Si-N bonds were considered for bond breaking during the surface reaction. Optimum locations of BDEAS for the Si-H and Si-N bond breaking were determined on the surface, and adsorption energies of 0.43 and 0.60 eV, respectively, were obtained. The Si-H bond dissociation energy of the adsorbed BDEAS on the surface did not decrease, so that a high reaction energy barrier of 1.60 eV was required. On the other hand, the Si-N bond dissociation energy did decrease, so that a relatively low reaction energy barrier of 0.52 eV was required. When the surface reaction energy barrier was higher than the adsorption energy, BDEAS would be desorbed from the surface instead of being reacted. Therefore, the Si-N bond breaking would be dominantly involved during the surface reaction, and the result is in good agreement with the experimental data in the literature.

  11. Control of the graphene growth rate on capped SiC surface under strong Si confinement

    International Nuclear Information System (INIS)

    Çelebi, C.; Yanık, C.; Demirkol, A.G.; Kaya, İsmet İ.

    2013-01-01

    Highlights: ► Graphene is grown on capped SiC surface with well defined cavity size. ► Graphene growth rate linearly increases with the cavity height. ► Graphene uniformity is reduced with thickness. - Abstract: The effect of the degree of Si confinement on the thickness and morphology of UHV grown epitaxial graphene on (0 0 0 −1) SiC is investigated by using atomic force microscopy and Raman spectroscopy measurements. Prior to the graphene growth process, the C-face surface of a SiC substrate is capped by another SiC comprising three cavities on its Si-rich surface with depths varying from 0.5 to 2 microns. The Si atoms, thermally decomposed from the sample surface during high temperature annealing of the SiC cap /SiC sample stack, are separately trapped inside these individual cavities at the sample/cap interface. Our analyses show that the growth rate linearly increases with the cavity height. It was also found that stronger Si confinement yields more uniform graphene layers.

  12. Modulating the Surface State of SiC to Control Carrier Transport in Graphene/SiC.

    Science.gov (United States)

    Jia, Yuping; Sun, Xiaojuan; Shi, Zhiming; Jiang, Ke; Liu, Henan; Ben, Jianwei; Li, Dabing

    2018-05-28

    Silicon carbide (SiC) with epitaxial graphene (EG/SiC) shows a great potential in the applications of electronic and photoelectric devices. The performance of devices is primarily dependent on the interfacial heterojunction between graphene and SiC. Here, the band structure of the EG/SiC heterojunction is experimentally investigated by Kelvin probe force microscopy. The dependence of the barrier height at the EG/SiC heterojunction to the initial surface state of SiC is revealed. Both the barrier height and band bending tendency of the heterojunction can be modulated by controlling the surface state of SiC, leading to the tuned carrier transport behavior at the EG/SiC interface. The barrier height at the EG/SiC(000-1) interface is almost ten times that of the EG/SiC(0001) interface. As a result, the amount of carrier transport at the EG/SiC(000-1) interface is about ten times that of the EG/SiC(0001) interface. These results offer insights into the carrier transport behavior at the EG/SiC heterojunction by controlling the initial surface state of SiC, and this strategy can be extended in all devices with graphene as the top layer. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Stability of Ta-encapsulating Si clusters on Si(111)-(7x7) surfaces

    CERN Document Server

    Uchida, N; Miyazaki, T; Kanayama, T

    2003-01-01

    Tantalum containing Si cluster ions TaSi sub 1 sub 0 sub - sub 1 sub 3 H sub x sup + were synthesized in an ion trap and deposited onto Si(111)-(7x7) surfaces with a kinetic energy of 18 eV. Scanning tunnelling microscope observations revealed that the clusters adsorbed on the surface without decomposition, consistent with ab initio calculation results, that predicted the clusters would have stable Si-cage structures with a Ta atom at the centre. (rapid communication)

  14. Reactions between monolayer Fe and Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M; Kobayashi, N; Hayashi, N [Electrotechnical Lab., Tsukuba, Ibaraki (Japan)

    1997-03-01

    Reactions between 1.5 monolayer(ML) Fe deposited on Si(001)-2x1 and -dihydride surfaces were studied in situ by reflection high-energy electron diffraction and time-of-flight ion scattering spectrometry with the use of 25 keV H ions. The reactions between Fe and Si which were successively deposited on Si(001)-dihydride surface were also studied. After the room temperature deposition Fe reacted with Si(001)-2x1 substrate resulting in the formation of polycrystalline Fe5Si3. By annealing to 560-650degC composite heteroepitaxial layer of both type A and type B {beta}-FeSi2 was formed. On the dihydride surface polycrystalline Fe was observed after 1.5ML Fe deposition at room temperature, and reaction between Fe and Si(001)-dihydride surface is not likely at room temperature. We observed 3D rough surface when we deposited only Fe layer on the dihydride surface and annealed above 700degC. The hydrogen termination of Si(001) surface prevents the deposited Fe from diffusing into the substrate below 500degC, however the annealing above 710degC leads to the diffusion. We obtained 2D ordered surface, which showed 3x3 RHEED pattern as referenced to the primitive unreconstructed Si(001) surface net, when we deposited 2.5ML Fe and 5.8ML Si successively onto Si(001)-dihydride surface and annealed to 470degC. (author)

  15. Near-surface segregation in irradiated Ni3Si

    International Nuclear Information System (INIS)

    Wagner, W.; Rehn, L.E.; Wiedersich, H.

    1982-01-01

    The radiation-induced growth of Ni 3 Si films on the surfaces of Ni(Si) alloys containing = 3 Si phase has been observed. Post-irradiation depth profiling by Auger electron spectroscopy, as well as in situ analysis by high-resolution Rutherford backscattering spectrometry, reveals Si-enrichment at the surfaces of Ni(Si) alloys in excess of stoichiometric Ni 3 Si during irradiation. Thin, near-surface layers with silicon concentrations of 28 to 30 at.% are observed, and even higher Si enrichment is found in the first few atom layers. Transmission electron microscopy and selected area-electron diffraction were employed to characterize these Si-enriched layers. A complex, multiple-spot diffraction pattern is observed superposed on the diffraction pattern of ordered Ni 3 Si. The d-spacings obtained from the extra spots are consistent with those of the orthohexagonal intermetallic compound Ni 5 Si 2 . (author)

  16. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  17. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    Science.gov (United States)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  18. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  19. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  20. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  1. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  2. One-dimensional silicon nanolines in the Si(001):H surface

    International Nuclear Information System (INIS)

    Bianco, F.; Köster, S. A.; Longobardi, M.; Owen, J. H.G.; Renner, Ch.; Bowler, D. R.

    2013-01-01

    We present a detailed study of the structural and electronic properties of a self-assembled silicon nanoline embedded in the monohydride Si(001):H surface, known as the Haiku stripe. The nanoline is a perfectly straight and defect free endotaxial structure of huge aspect ratio; it can grow micrometer long at a constant width of exactly four Si dimers (1.54 nm). Another remarkable property is its capacity to be exposed to air without suffering any degradation. The nanoline grows independently of any step edges at tunable densities, from isolated nanolines to a dense array of nanolines. In addition to these unique structural characteristics, scanning tunnelling microscopy and density functional theory reveal a one-dimensional state confined along the Haiku core. This nanoline is a promising candidate for the long sought after electronic solid-state one-dimensional model system to explore the fascinating quantum properties emerging in such reduced dimensionality

  3. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.

    2017-04-11

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  4. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.; Niesen, Bjoern; Tomasi, Andrea; Ballif, Christophe; De Wolf, Stefaan

    2017-01-01

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  5. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  6. Magnetron-sputter epitaxy of β-FeSi2(220)/Si(111) and β-FeSi2(431)/Si(001) thin films at elevated temperatures

    International Nuclear Information System (INIS)

    Liu Hongfei; Tan Chengcheh; Chi Dongzhi

    2012-01-01

    β-FeSi 2 thin films have been grown on Si(111) and Si(001) substrates by magnetron-sputter epitaxy at 700 °C. On Si(111), the growth is consistent with the commonly observed orientation of [001]β-FeSi 2 (220)//[1-10]Si(111) having three variants, in-plane rotated 120° with respect to one another. However, on Si(001), under the same growth conditions, the growth is dominated by [-111]β-FeSi 2 (431)//[110]Si(001) with four variants, which is hitherto unknown for growing β-FeSi 2 . Photoelectron spectra reveal negligible differences in the valance-band and Fe2p core-level between β-FeSi 2 grown on Si(111) and Si(001) but an apparent increased Si-oxidization on the surface of β-FeSi 2 /Si(001). This phenomenon is discussed and attributed to the Si-surface termination effect, which also suggests that the Si/Fe ratio on the surface of β-FeSi 2 (431)/Si(001) is larger than that on the surface of β-FeSi 2 (220)/Si(111).

  7. Plasma processing of the Si(0 0 1) surface for tuning SPR of Au/Si-based plasmonic nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)]. E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Sacchetti, Alberto [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2006-12-15

    Au nanoclusters have been deposited on Si(0 0 1) surfaces by sputtering of a metallic Au target using an Ar plasma. Different wet and dry treatments of the Si(0 0 1) surface, including dipping in HF solution and exposure to H{sub 2} and N{sub 2} plasmas, have been applied and the effects of these treatments on the Au nanoparticles/Si interface, the Au nanoclusters aspect ratio and the surface plasmon resonance (SPR) energy and amplitude are investigated exploiting spectroscopic ellipsometry and atomic force microscopy. It is found that the Au nanoclusters aspect ratio depends on the extent of the Au-Si intermixing. The thicker the Au-Si interface layer, the larger the Au nanoparticles aspect ratio and the red-shift of the SPR peak. Furthermore, SiO{sub 2} and the H{sub 2} plasma treatment inhibit the Si-Au intermixing, while HF-dipping and the N{sub 2} plasma treatment favour Au-Si intermixing, yielding silicide formation which increases the Si wetting by Au.

  8. Grafting cavitands on the Si(100) surface.

    Science.gov (United States)

    Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Busi, Marco; Menozzi, Edoardo; Dalcanale, Enrico; Cristofolini, Luigi

    2006-12-19

    Cavitand molecules having double bond terminated alkyl chains and different bridging groups at the upper rim have been grafted on H-terminated Si(100) surface via photochemical hydrosilylation of the double bonds. Pure and mixed monolayers have been obtained from mesitylene solutions of either pure cavitand or cavitand/1-octene mixtures. Angle resolved high-resolution X-ray photoelectron spectroscopy has been used as the main tool for the monolayer characterization. The cavitand decorated surface consists of Si-C bonded layers with the upper rim at the top of the layer. Grafting of pure cavitands leads to not-well-packed layers, which are not able to efficiently passivate the Si(100) surface. By contrast, monolayers obtained from cavitand/1-octene mixtures consist of well-packed layers since they prevent silicon oxidation after aging. AFM measurements showed that these monolayers have a structured topography, with objects protruding from the Si(100) surface with average heights compatible with the expected ones for cavitand molecules.

  9. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    Science.gov (United States)

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  10. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  11. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  12. Growth rate and surface morphology of 4H-SiC crystals grown from Si-Cr-C and Si-Cr-Al-C solutions under various temperature gradient conditions

    Science.gov (United States)

    Mitani, Takeshi; Komatsu, Naoyoshi; Takahashi, Tetsuo; Kato, Tomohisa; Fujii, Kuniharu; Ujihara, Toru; Matsumoto, Yuji; Kurashige, Kazuhisa; Okumura, Hajime

    2014-09-01

    The growth rate and surface morphology of 4H-SiC crystals prepared by solution growth with Si1-xCrx and Si1-x-yCrxAly (x=0.4, 0.5 and 0.6; y=0.04) solvents were investigated under various temperature conditions. The growth rate was examined as functions of the temperature difference between the growth surface and C source, the amount of supersaturated C and supersaturation at the growth surface. We found that generation of trench-like surface defects in 4H-SiC crystals was suppressed using Si1-x-yCrxAly solvents even under highly supersaturated conditions where the growth rate exceeded 760 μm/h. Conversely, trench-like defects were observed in crystals grown with Si1-xCrx solvents under all experimental conditions. Statistical observation of the macrostep structure showed that the macrostep height in crystals grown with Si1-x-yCrxAly solvents was maintained at lower levels than that obtained using Si1-xCrx solvents. Addition of Al prevents the macrosteps from developing into large steps, which are responsible for the generation of trench-like surface defects.

  13. Anomalously high yield of doubly charged Si ions sputtered from cleaned Si surface by keV neutral Ar impact

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.; Morita, K. E-mail: k-morita@mail.nucl.nagoya-u.ac.jp; Dhole, S.D.; Ishikawa, D

    2001-08-01

    The energy spectra of positively charged and neutral species ejected from the Si(1 1 1) surfaces by keV Ar impact have been measured by means of a combined technique of the time-of-flight (TOF) analysis with the multi-photon resonance ionization spectroscopy (MPRIS). It is shown that positively charged species of Si{sup +}, Si{sup 2+} and SiO{sup +} are ejected from the as-cleaned 7x7 surface by 11 keV Ar impact. It is also shown that Ar sputter cleaning of the as-cleaned 7x7 surface for 14 min at the flux of 2x10{sup 13}/cm{sup 2}s removes completely the oxygen impurity and the yields of Si{sup 2+} is comparable to that of Si{sup +}. Moreover, the ionization probability of Si atoms sputtered is shown to be expressed as an exponential function of the inverse of their velocity. The production mechanism for the doubly charged Si ion is discussed based on the L-shell ionization of Si atoms due to quasi-molecule formation in the collisions of the surface atoms with energetic recoils and subsequent Auger decay of the L-shell vacancy to doubly ionized Si ions.

  14. Ordering of vacancies on Si(001)

    NARCIS (Netherlands)

    Zandvliet, Henricus J.W.

    1997-01-01

    Missing dimer vacancies are always present on the clean Si(001) surface. The vacancy density can be increased by ion bombardment (Xe+, Ar+), etching (O2, Br2, I2, etc.) or Ni contamination. The equilibrium shape at low vacancy concentrations (<0.2¿0.3 monolayers) of these vacancy islands is

  15. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  16. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  17. Near-surface and bulk behavior of Ag in SiC

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Zhang, Y.; Snead, L.L.; Shutthanandan, V.; Xue, H.Z.; Weber, W.J.

    2012-01-01

    Highlights: ► Ag release from SiC poses problems in safe operation of nuclear reactors. ► Near-surface and bulk behavior of Ag are studied by ab initio and ion beam methods. ► Ag prefers to adsorb on the surface rather than in the bulk SiC. ► At high temperature Ag desorbs from the surface instead of diffusion into bulk SiC. ► Surface diffusion may be a dominating mechanism accounting for Ag release from SiC. - Abstract: The diffusive release of fission products, such as Ag, from TRISO particles at high temperatures has raised concerns regarding safe and economic operation of advanced nuclear reactors. Understanding the mechanisms of Ag diffusion is thus of crucial importance for effective retention of fission products. Two mechanisms, i.e., grain boundary diffusion and vapor or surface diffusion through macroscopic structures such as nano-pores or nano-cracks, remain in debate. In the present work, an integrated computational and experimental study of the near-surface and bulk behavior of Ag in silicon carbide (SiC) has been carried out. The ab initio calculations show that Ag prefers to adsorb on the SiC surface rather than in the bulk, and the mobility of Ag on the surface is high. The energy barrier for Ag desorption from the surface is calculated to be 0.85–1.68 eV, and Ag migration into bulk SiC through equilibrium diffusion process is not favorable. Experimentally, Ag ions are implanted into SiC to produce Ag profiles buried in the bulk and peaked at the surface. High-temperature annealing leads to Ag release from the surface region instead of diffusion into the interior of SiC. It is suggested that surface diffusion through mechanical structural imperfection, such as vapor transport through cracks in SiC coatings, may be a dominating mechanism accounting for Ag release from the SiC in the nuclear reactor.

  18. Research Progress of Optical Fabrication and Surface-Microstructure Modification of SiC

    Directory of Open Access Journals (Sweden)

    Fang Jiang

    2012-01-01

    Full Text Available SiC has become the best candidate material for space mirror and optical devices due to a series of favorable physical and chemical properties. Fine surface optical quality with the surface roughness (RMS less than 1 nm is necessary for fine optical application. However, various defects are present in SiC ceramics, and it is very difficult to polish SiC ceramic matrix with the 1 nm RMS. Surface modification of SiC ceramics must be done on the SiC substrate. Four kinds of surface-modification routes including the hot pressed glass, the C/SiC clapping, SiC clapping, and Si clapping on SiC surface have been reported and reviewed here. The methods of surface modification, the mechanism of preparation, and the disadvantages and advantages are focused on in this paper. In our view, PVD Si is the best choice for surface modification of SiC mirror.

  19. Surface-site-selective study of valence electronic structures of clean Si(100)-2x1 using Si-L23VV Auger electron-Si-2p photoelectron coincidence spectroscopy

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Nagaoka, Shinichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2010-01-01

    Valence electronic structures of a clean Si(100)-2x1 surface are investigated in a surface-site-selective way using Si-L 23 VV Auger electron-Si-2p photoelectron coincidence spectroscopy. The Si-L 23 VV Auger electron spectra measured in coincidence with Si-2p photoelectrons emitted from the Si up-atoms or Si 2nd-layer of Si(100)-2x1 suggest that the position where the highest density of valence electronic states located in the vicinity of the Si up-atoms is shifted by 0.8 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. Furthermore, the valence band maximum in the vicinity of the Si up-atoms is indicated to be shifted by 0.1 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. These results are direct evidence of the transfer of negative charge from the Si 2nd-layer to the Si up-atoms. (author)

  20. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  1. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  2. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  3. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  4. Benchmarking surface signals when growing GaP on Si in CVD ambients

    Energy Technology Data Exchange (ETDEWEB)

    Doescher, Henning

    2010-10-26

    The present work investigates the formation of GaP films prepared on Si(100) surfaces and their anti-phase disorder in metalorganic vapor phase epitaxy (MOVPE) ambients. GaP films grown on Si(100) substrates served as a lattice matched model system for the crucial III-V/Si(100) interface to form silicon-based quasi substrates. A variety of surface-sensitive methods was required to establish suitable silicon substrate preparation and subsequent GaP growth free of anti-phase domains (APDs) by analyzing the substrate surface, the interface and the epitaxial films resulting from the heteroepitaxial nucleation process. Thorough investigations in the MOVPE ambients and an appropriate improvement of the equipment and of the VPE preparation process of the substrates led to clean Si(100) surfaces free of oxygen and other contaminants, as was evidenced by Xray photoelectron spectroscopy. Predominantly double-layer stepped Si(100) surfaces, as a prerequisite for subsequent III-V integration, were obtained for 0.1 , 2 and 6 misorientation in [011] direction. In contrast to standard preparation in ultra-high vacuum (UHV), the double-layer steps on 0.1 and 2 samples featured dimers oriented perpendicular to the step edges, contradicting well-established results with and without hydrogen coverage obtained in UHV. This striking difference was attributed to the presence of hydrogen as a process gas in the MOVPE environment leading to a silicon surface covered by monohydrides after substrate preparation, as was determined by Fourier-transform infrared spectroscopy (FTIR), while reflectance anisotropy spectroscopy (RAS) showed the absence of hydrogen termination at higher temperatures. On these substrates, optical in situ spectroscopy was established as a method for the quantitative evaluation of the APD content in GaP heteroepitaxy. The analysis required a detailed understanding of the GaP(100) surface reconstructions, which have been described theoretically in the literature and

  5. Improved study of electric dipoles on the Si(100)-2 × 1 surface by non-contact scanning nonlinear dielectric microscopy

    International Nuclear Information System (INIS)

    Suzuki, Masataka; Yamasue, Kohei; Cho, Yasuo; Abe, Masayuki; Sugimoto, Yoshiaki

    2014-01-01

    We studied a Si(100)-2 × 1 surface by non-contact scanning nonlinear dielectric microscopy (NC-SNDM). Simultaneously taken images of the topography and electric dipole moment distribution show that negative electric dipole moments are locally formed on individual dimers on the surface. In addition, we obtained the dc bias voltage dependence of the ε local (3) signal on a specific dimer by using an atom-tracking technique with NC-SNDM. We observed that the electric dipole induced a surface potential of around −250 mV on the dimer.

  6. Direct pathway for sticking/desorption of H2 on Si(100)

    DEFF Research Database (Denmark)

    Kratzer, Peter; Hammer, Bjørk; Nørskov, Jens Kehlet

    1995-01-01

    The energetics of H2 interacting with the Si(100) surface is studied by means of ab initio total-energy calculations within the framework of density-functional theory. We find a direct desorption pathway from the monohydride phase that is compatible with experimental activation energies and demon......The energetics of H2 interacting with the Si(100) surface is studied by means of ab initio total-energy calculations within the framework of density-functional theory. We find a direct desorption pathway from the monohydride phase that is compatible with experimental activation energies...... and demonstrate the importance of substrate relaxation for this process. Both the transition state configuration and the barrier height depend crucially on the degree of buckling of the Si dimers on the Si(100) surface. The adsorption barrier height on the clean surface is governed by the buckling via its...

  7. Si/Fe flux ratio influence on growth and physical properties of polycrystalline β-FeSi2 thin films on Si(100) surface

    Science.gov (United States)

    Tarasov, I. A.; Visotin, M. A.; Aleksandrovsky, A. S.; Kosyrev, N. N.; Yakovlev, I. A.; Molokeev, M. S.; Lukyanenko, A. V.; Krylov, A. S.; Fedorov, A. S.; Varnakov, S. N.; Ovchinnikov, S. G.

    2017-10-01

    This work investigates the Si/Fe flux ratio (2 and 0.34) influence on the growth of β-FeSi2 polycrystalline thin films on Si(100) substrate at 630 °C. Lattice deformations for the films obtained are confirmed by X-ray diffraction analysis (XRD). The volume unit cell deviation from that of β-FeSi2 single crystal are 1.99% and 1.1% for Si/Fe =2 and Si/Fe =0.34, respectively. Absorption measurements show that the indirect transition ( 0.704 eV) of the Si/Fe =0.34 sample changes to the direct transition with a bandgap value of 0.816 eV for the sample prepared at Si/Fe =2. The absorption spectrum of the Si/Fe =0.34 sample exhibits an additional peak located below the bandgap energy value with the absorption maximum of 0.36 eV. Surface magneto-optic Kerr effect (SMOKE) measurements detect the ferromagnetic behavior of the β-FeSi2 polycrystalline films grown at Si/Fe =0.34 at T=10 K, but no ferromagnetism was observed in the samples grown at Si/Fe =2. Theoretical calculations refute that the cell deformation can cause the emergence of magnetization and argue that the origin of the ferromagnetism, as well as the lower absorption peak, is β-FeSi2 stoichiometry deviations. Raman spectroscopy measurements evidence that the film obtained at Si/Fe flux ratio equal to 0.34 has the better crystallinity than the Si/Fe =2 sample.

  8. Experimental investigation of slow-positron emission from 4H-SiC and 6H-SiC surfaces

    International Nuclear Information System (INIS)

    Ling, C.C.; Beling, C.D.; Fung, S.; Weng, H.M.

    2002-01-01

    Slow-positron emission from the surfaces of as-grown n-type 4H-SiC and 6H-SiC (silicon carbide) with a conversion efficiency of ∼10 -4 has been observed. After 30 min of 1000 deg. C annealing in forming gas, the conversion efficiency of the n-type 6H-SiC sample was observed to be enhanced by 75% to 1.9x10 -4 , but it then dropped to ∼10 -5 upon a further 30 min annealing at 1400 deg. C. The positron work function of the n-type 6H-SiC was found to increase by 29% upon 1000 deg. C annealing. For both p-type 4H-SiC and p-type 6H-SiC materials, the conversion efficiency was of the order of ∼10 -5 , some ten times lower than that for the n-type materials. This was attributed to the band bending at the p-type material surface which caused positrons to drift away from the positron emitting surface. (author)

  9. Reaction of Tri-methylaluminum on Si (001) Surface for Initial Aluminum Oxide Thin-Film Growth

    International Nuclear Information System (INIS)

    Kim, Dae Hee; Kim, Dae Hyun; Jeong, Yong Chan; Seo, Hwa Il; Kim, Yeong Cheol

    2010-01-01

    We studied the reaction of tri-methylaluminum (TMA) on hydroxyl (OH)-terminated Si (001) surfaces for the initial growth of aluminum oxide thin-films using density functional theory. TMA was adsorbed on the oxygen atom of OH due to the oxygen atom's lone pair electrons. The adsorbed TMA reacted with the hydrogen atom of OH to produce a di-methylaluminum group (DMA) and methane with an energy barrier of 0.50 eV. Low energy barriers in the range of 0 - 0.11 eV were required for DMA migration to the inter-dimer, intra-dimer, and inter-row sites on the surface. A unimethylaluminum group (UMA) was generated at each site with low energy barriers in the range of 0.21 - 0.25 eV. Among the three sites, the inter-dimer site was the most probable for UMA formation

  10. Passivation of hexagonal SiC surfaces by hydrogen termination

    International Nuclear Information System (INIS)

    Seyller, Thomas

    2004-01-01

    Surface hydrogenation is a well established technique in silicon technology. It is easily accomplished by wet-chemical procedures and results in clean and unreconstructed surfaces, which are extremely low in charged surface states and stable against oxidation in air, thus constituting an ideal surface preparation. As a consequence, methods for hydrogenation have been sought for preparing silicon carbide (SiC) surfaces with similar well defined properties. It was soon recognized, however, that due to different surface chemistry new ground had to be broken in order to find a method leading to the desired monatomic hydrogen saturation. In this paper the results of H passivation of SiC surfaces by high-temperature hydrogen annealing will be discussed, thereby placing emphasis on chemical, structural and electronic properties of the resulting surfaces. In addition to their unique properties, hydrogenated hexagonal SiC {0001} surfaces offer the interesting possibility of gaining insight into the formation of silicon- and carbon-rich reconstructions as well. This is due to the fact that to date hydrogenation is the only method providing oxygen-free surfaces with a C to Si ratio of 1:1. Last but not least, the electronic properties of hydrogen-free SiC {0001} surfaces will be alluded to. SiC {0001} surfaces are the only known semiconductor surfaces that can be prepared in their unreconstructed (1 x 1) state with one dangling bond per unit cell by photon induced hydrogen desorption. These surfaces give indications of a Mott-Hubbard surface band structure

  11. A computational study on the adsorption configurations and reactions of SiHx(x = 1-4) on clean and H-covered Si(100) surfaces

    Science.gov (United States)

    Le, Thong N.-M.; Raghunath, P.; Huynh, Lam K.; Lin, M. C.

    2016-11-01

    Possible adsorption configurations of H and SiHx (x = 1 - 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH3 radicals effectively adsorb on the top sites, while SiH and SiH2 prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiHx species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiHx precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiHx radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiHx, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  12. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin

    2014-03-10

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  13. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin; Ould-Chikh, Samy; Abou-Hamad, Edy; Callens, Emmanuel; Mohandas, Janet Chakkamadathil; Khalid, Syed M.; Basset, Jean-Marie

    2014-01-01

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  14. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  15. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  16. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  17. Influence of a-Si:H deposition power on surface passivation property and thermal stability of a-Si:H/SiNx:H stacks

    Directory of Open Access Journals (Sweden)

    Hua Li

    2012-06-01

    Full Text Available The effectiveness of hydrogenated amorphous silicon (a-Si:H layers for passivating crystalline silicon surfaces has been well documented in the literature for well over a decade. One limitation of such layers however has arisen from their inability to withstand temperatures much above their deposition temperature without significant degradation. This limitation is of importance particularly with multicrystalline silicon materials where temperatures of at least 400°C are needed for effective hydrogenation of the crystallographic defects such as grain boundaries. To address this limitation, in this work the surface passivation quality and thermal stability of a stack passivating system, combining a layer of intrinsic a-Si:H and a capping layer of silicon nitride (SiNx:H, on p-type crystalline silicon wafers is studied and optimized. In particular the sensitivity of different microwave (MW power levels for underlying a-Si:H layer deposition are examined. Both effective minority carrier lifetime (ζeff measurement and Fourier transform infrared (FTIR spectrometry were employed to study the bonding configurations, passivating quality and thermal stability of the a-Si:H/SiNx:H stacks. It is established that the higher MW power could result in increased as-deposited ζeff and implied Voc (iVoc values, indicating likely improved surface passivation quality, but that this combination degrades more quickly when exposed to prolonged thermal treatments. The more dihydride-rich film composition corresponding to the higher MW power appears to be beneficial for bond restructuring by hydrogen interchanges when exposed to short term annealing, however it also appears more susceptible to providing channels for hydrogen out-effusion which is the likely cause of the poorer thermal stability for prolonged high temperature exposure compared with stacks with underlying a-Si:H deposited with lower MW power.

  18. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  19. Lowering the density of electronic defects on organic-functionalized Si(100) surfaces

    International Nuclear Information System (INIS)

    Peng, Weina; DeBenedetti, William J. I.; Kim, Seonjae; Chabal, Yves J.; Hines, Melissa A.

    2014-01-01

    The electrical quality of functionalized, oxide-free silicon surfaces is critical for chemical sensing, photovoltaics, and molecular electronics applications. In contrast to Si/SiO 2 interfaces, the density of interface states (D it ) cannot be reduced by high temperature annealing because organic layers decompose above 300 °C. While a reasonable D it is achieved on functionalized atomically flat Si(111) surfaces, it has been challenging to develop successful chemical treatments for the technologically relevant Si(100) surfaces. We demonstrate here that recent advances in the chemical preparation of quasi-atomically-flat, H-terminated Si(100) surfaces lead to a marked suppression of electronic states of functionalized surfaces. Using a non-invasive conductance-voltage method to study functionalized Si(100) surfaces with varying roughness, a D it as low as 2.5 × 10 11  cm −2 eV −1 is obtained for the quasi-atomically-flat surfaces, in contrast to >7 × 10 11  cm −2 eV −1 on atomically rough Si(100) surfaces. The interfacial quality of the organic/quasi-atomically-flat Si(100) interface is very close to that obtained on organic/atomically flat Si(111) surfaces, opening the door to applications previously thought to be restricted to Si(111)

  20. Controlling contamination in Mo/Si multilayer mirrors by Si surface capping modifications

    Science.gov (United States)

    Malinowski, Michael E.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.; Mrowka, Stanley; Soufli, Regina

    2002-07-01

    The performance of Mo/Si multilayer mirrors (MLMs) used to reflect UV (EUV) radiation in an EUV + hydrocarbon (NC) vapor environment can be improved by optimizing the silicon capping layer thickness on the MLM in order to minimize the initial buildup of carbon on MLMs. Carbon buildup is undesirable since it can absorb EUV radiation and reduce MLM reflectivity. A set of Mo/Si MLMs deposited on Si wafers was fabricated such that each MLM had a different Si capping layer thickness ranging form 2 nm to 7 nm. Samples from each MLM wafer were exposed to a combination of EUV light + (HC) vapors at the Advanced Light Source (ALS) synchrotron in order to determine if the Si capping layer thickness affected the carbon buildup on the MLMs. It was found that the capping layer thickness had a major influence on this 'carbonizing' tendency, with the 3 nm layer thickness providing the best initial resistance to carbonizing and accompanying EUV reflectivity loss in the MLM. The Si capping layer thickness deposited on a typical EUV optic is 4.3 nm. Measurements of the absolute reflectivities performed on the Calibration and Standards beamline at the ALS indicated the EUV reflectivity of the 3 nm-capped MLM was actually slightly higher than that of the normal, 4 nm Si-capped sample. These results show that he use of a 3 nm capping layer represents an improvement over the 4 nm layer since the 3 nm has both a higher absolute reflectivity and better initial resistance to carbon buildup. The results also support the general concept of minimizing the electric field intensity at the MLM surface to minimize photoelectron production and, correspondingly, carbon buildup in a EUV + HC vapor environment.

  1. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  2. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  3. Spectroscopic characterization of a single dangling bond on a bare Si(100)- c ( 4 × 2 ) surface for n - and p -type doping

    KAUST Repository

    Mantega, M.

    2012-07-19

    We investigate the charging state of an isolated single dangling bond formed on an unpassivated Si(100) surface with c(4×2) reconstruction, by comparing scanning tunneling microscopy and spectroscopy analysis with density functional theory calculations. The dangling bond is created by placing a single hydrogen atom on the bare surface with the tip of a scanning tunneling microscope. The H atom passivates one of the dimer dangling bonds responsible for the surface one-dimensional electronic structure. This leaves a second dangling at the reacted surface dimer which breaks the surface periodicity. We consider two possible H adsorption configurations for both the neutral and the doped situation (n- and p-type). In the case of n-doping we find that the single dangling bond state is doubly occupied and the most stable configuration is that with H bonded to the bottom Si atom of the surface dimer. In the case of p-doping the dangling bond is instead empty and the configuration with the H attached to the top atom of the dimer is the most stable. Importantly the two configurations have different scattering properties and phase shift fingerprints. This might open up interesting perspectives for fabricating a switching device by tuning the doping level or by locally charging the single dangling bond state. © 2012 American Physical Society.

  4. Spectroscopic characterization of a single dangling bond on a bare Si(100)- c ( 4 × 2 ) surface for n - and p -type doping

    KAUST Repository

    Mantega, M.; Rungger, I.; Naydenov, B.; Boland, J. J.; Sanvito, S.

    2012-01-01

    We investigate the charging state of an isolated single dangling bond formed on an unpassivated Si(100) surface with c(4×2) reconstruction, by comparing scanning tunneling microscopy and spectroscopy analysis with density functional theory calculations. The dangling bond is created by placing a single hydrogen atom on the bare surface with the tip of a scanning tunneling microscope. The H atom passivates one of the dimer dangling bonds responsible for the surface one-dimensional electronic structure. This leaves a second dangling at the reacted surface dimer which breaks the surface periodicity. We consider two possible H adsorption configurations for both the neutral and the doped situation (n- and p-type). In the case of n-doping we find that the single dangling bond state is doubly occupied and the most stable configuration is that with H bonded to the bottom Si atom of the surface dimer. In the case of p-doping the dangling bond is instead empty and the configuration with the H attached to the top atom of the dimer is the most stable. Importantly the two configurations have different scattering properties and phase shift fingerprints. This might open up interesting perspectives for fabricating a switching device by tuning the doping level or by locally charging the single dangling bond state. © 2012 American Physical Society.

  5. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    The present work describes an experimental investigation of the influence of the step properties on the submonolayer growth at the Si(111) surface. In particular the influence of step properties on the morphology, shape and structural stability of 2D Si/Ge nanostructures was explored. Visualization, morphology and composition measurements of the 2D SiGe nanostructures were carried out by scanning tunneling microscopy (STM). The formation of Ge nanowire arrays on highly ordered kink-free Si stepped surfaces is demonstrated. The crystalline nanowires with minimal kink densities were grown using Bi surfactant mediated epitaxy. The nanowires extend over lengths larger than 1 {mu}m have a width of 4 nm. To achieve the desired growth conditions for the formation of such nanowire arrays, a modified variant of surfactant mediated epitaxy was explored. It was shown that controlling the surfactant coverage at the surface and/or at step edges modifies the growth properties of surface steps in a decisive way. The surfactant coverage at step edges can be associated with Bi passivation of the step edges. The analysis of island size distributions showed that the step edge passivation can be tuned independently by substrate temperature and by Bi rate deposition. The measurements of the island size distributions for Si and Ge in surfactant mediated growth reveal different scaling functions for different Bi deposition rates on Bi terminated Si(111) surface. The scaling function changes also with temperature. The main mechanism, which results in the difference of the scaling functions can be revealed with data of Kinetic Monte-Carlo simulations. According to the data of the Si island size distributions at different growth temperatures and different Bi deposition rates the change of SiGe island shape and preferred step directions were attributed to the change of the step edge passivation. It was shown that the change of the step edge passivation is followed by a change of the

  6. Self-assembly of nanosize coordination cages on si(100) surfaces.

    Science.gov (United States)

    Busi, Marco; Laurenti, Marco; Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Montalti, Marco; Prodi, Luca; Dalcanale, Enrico

    2007-01-01

    Bottom-up fabrication of 3D organic nanostructures on Si(100) surfaces has been achieved by a two-step procedure. Tetradentate cavitand 1 was grafted on the Si surface together with 1-octene (Oct) as a spatial spectator by photochemical hydrosilylation. Ligand exchange between grafted cavitand 1 and self-assembled homocage 2, derived from cavitand 5 bearing a fluorescence marker, led to the formation of coordination cages on Si(100). Formation, quantification, and distribution of the nanoscale molecular containers on a silicon surface was assessed by using three complementary analytical techniques (AFM, XPS, and fluorescence) and validated by control experiments on cavitand-free silicon surfaces. Interestingly, the fluorescence of pyrene at approximately 4 nm above the Si(100) surface can be clearly observed.

  7. Carbon surface diffusion and SiC nanocluster self-ordering

    International Nuclear Information System (INIS)

    Pezoldt, J.; Trushin, Yu.V.; Kharlamov, V.S.; Schmidt, A.A.; Cimalla, V.; Ambacher, O.

    2006-01-01

    The process of the spatial ordering of SiC nanoclusters on the step edges on Si surfaces was studied by means of multi-scale computer simulation. The evolution of cluster arrays on an ideal flat surface and surfaces with terraces of various widths was performed by kinetic Monte Carlo (KMC) simulations based on quantitative studies of potential energy surfaces (PES) by molecular dynamics (MD). PES analysis revealed that certain types of steps act as strong trapping centres for both Si and C adatoms stimulating clusters nucleation. Spatial ordering of the SiC nanoclusters at the terrace edges can be achieved if the parameters of the growth process (substrate temperature, carbon flux) and substrate (steps direction and terrace widths) are adjusted to the surface morphology. Temperature ranges for growth regimes with and without formation of cluster chains were determined. Cluster size distributions and the dependence of optimal terrace width for self ordering on the deposition parameters were obtained

  8. Carbon nanotube on Si(001): structural and electronic properties

    International Nuclear Information System (INIS)

    Orellana, W.; Fazzio, A.; Miwa, R.W.

    2003-01-01

    Full text: The promising nanoscale technology based on carbon nanotubes has attracted much attention due to the unique electronic, chemical and mechanical properties of the nanotubes. Single-wall carbon nanotubes (SWCNs) provide an ideal atomically uniform one dimensional (1D) conductors, having a strong electronic confinement around its circumference, which can be retained up to room temperature[1]. This interesting property may lead one to consider SWCNs as 1D conductors for the development of nanoscale electronic devices. In this work the structural and electronic properties of the contact between a metallic (6,6) SWCN adsorbed on a silicon (001) surface are studied from first-principles total-energy calculations. We consider two adsorption sites for the tube on the Si(001) surface: on the top of the Si-dimer rows and on the surface 'trench' between two consecutive dimer rows. Our results show a chemical bond between the nanotube and Si(001) when the tube is located along the 'trench', which corresponds to the only bound structure. We find a binding energy per tube length of 0.21 eV/angstrom. We also verified that the binding energy depends on the rotation of the tube. Typically, a rotation of 15 deg can reduce the binding energy up to 0.07 eV/angstrom. Our calculated electronic properties indicate that the most stable structure shows a subband associated to the tube/surface bond that cross the Fermi level. This result indicates an enhanced metallic behavior along the tube/surface contact characterizing a 1D quantum wire. The charge transfer between the Si surface and the tube is also discussed. [1] Z. Yao, C. Dekker, and P. Avouris in Carbon Nanotubes, M. S. Dresselhaus, G. Dresselhaus, and P. Avouris Eds., (Springer, Berlin 2001), p. 147. (author)

  9. Advanced Optoelectronic Devices based on Si Quantum Dots/Si Nanowires Hetero-structures

    International Nuclear Information System (INIS)

    Xu, J; Zhai, Y Y; Cao, Y Q; Chen, K J

    2017-01-01

    Si quantum dots are currently extensively studied since they can be used to develop many kinds of optoelectronic devices. In this report, we review the fabrication of Si quantum dots (Si QD) /Si nanowires (Si NWs) hetero-structures by deposition of Si QDs/SiO 2 or Si QDs/SiC multilayers on Si NWs arrays. The electroluminescence and photovoltaic devices based on the formed hetero-structures have been prepared and the improved performance is confirmed. It is also found that the surface recombination via the surface defects states on the Si NWs, especially the ones obtained by the long-time etching, may deteriorate the device properties though they exhibit the better anti-reflection characteristics. The possible surface passivation approaches are briefly discussed. (paper)

  10. Surface Damage Mechanism of Monocrystalline Si Under Mechanical Loading

    Science.gov (United States)

    Zhao, Qingliang; Zhang, Quanli; To, Suet; Guo, Bing

    2017-03-01

    Single-point diamond scratching and nanoindentation on monocrystalline silicon wafer were performed to investigate the surface damage mechanism of Si under the contact loading. The results showed that three typical stages of material removal appeared during dynamic scratching, and a chemical reaction of Si with the diamond indenter and oxygen occurred under the high temperature. In addition, the Raman spectra of the various points in the scratching groove indicated that the Si-I to β-Sn structure (Si-II) and the following β-Sn structure (Si-II) to amorphous Si transformation appeared under the rapid loading/unloading condition of the diamond grit, and the volume change induced by the phase transformation resulted in a critical depth (ductile-brittle transition) of cut (˜60 nm ± 15 nm) much lower than the theoretical calculated results (˜387 nm). Moreover, it also led to abnormal load-displacement curves in the nanoindentation tests, resulting in the appearance of elbow and pop-out effects (˜270 nm at 20 s, 50 mN), which were highly dependent on the loading/unloading conditions. In summary, phase transformation of Si promoted surface deformation and fracture under both static and dynamic mechanical loading.

  11. Surface tension and density of Si-Ge melts

    Science.gov (United States)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  12. Hydrogen generation due to water splitting on Si - terminated 4H-Sic(0001) surfaces

    Science.gov (United States)

    Li, Qingfang; Li, Qiqi; Yang, Cuihong; Rao, Weifeng

    2018-02-01

    The chemical reactions of hydrogen gas generation via water splitting on Si-terminated 4H-SiC surfaces with or without C/Si vacancies were studied by using first-principles. We studied the reaction mechanisms of hydrogen generation on the 4H-SiC(0001) surface. Our calculations demonstrate that there are major rearrangements in surface when H2O approaches the SiC(0001) surface. The first H splitting from water can occur with ground-state electronic structures. The second H splitting involves an energy barrier of 0.65 eV. However, the energy barrier for two H atoms desorbing from the Si-face and forming H2 gas is 3.04 eV. In addition, it is found that C and Si vacancies can form easier in SiC(0001)surfaces than in SiC bulk and nanoribbons. The C/Si vacancies introduced can enhance photocatalytic activities. It is easier to split OH on SiC(0001) surface with vacancies compared to the case of clean SiC surface. H2 can form on the 4H-SiC(0001) surface with C and Si vacancies if the energy barriers of 1.02 and 2.28 eV are surmounted, respectively. Therefore, SiC(0001) surface with C vacancy has potential applications in photocatalytic water-splitting.

  13. Ab initio investigation of isomerism in not rigid dimer molecules of (LiAB)2 salts with 20 valent electrons (AB-=BO-, AlO-, BS-, AlS-, CN-, CP-, SiN-, SiP-)

    International Nuclear Information System (INIS)

    Charkin, O.P.; Klimenko, N.M.; MakKi, M.L.; Shlojer, P.R.

    1999-01-01

    Ab initio calculations of potential energy surfaces in neighborhood of key structures of non rigid dimer molecules of lithium salts of (LiAB) 2 type - (LiBO) 2 , (LiAlO) 2 , (LiBS) 2 , (LiAlS) 2 , (LiCN) 2 , (LiSiN) 2 , (LiCP) 2 , (LiSiP) 2 - with 20 valent electrons are done in the framework of MP2/6-31G8//HF/6-31G* + ZPE(HF/6-31G*) and MP4SDTQ/6-31G*//MP2/6-31G* + ZPE(MP2/6-31G*) approximations. Totality of low-lying isomers containing four- and six-membered cycles with lithium bridges is localized. It is shown that for all cycles not rigidity to high deformation of angles in the case of low energy changes is characterized. Equilibrium geometrical parameters, relative energy and energy of isomer decomposition, frequency and intensities of normal vibrations are determined [ru

  14. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  15. Near surface silicide formation after off-normal Fe-implantation of Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de; Pietsch, U. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Lützenkirchen-Hecht, D. [Fachbereich C - Physik, Bergische Universität Wuppertal, D-42097 Wuppertal (Germany); Hübner, R.; Grenzer, J.; Facsko, S. [Helmholtz-Zentrum Dresden-Rossendorf, 01314 Dresden (Germany)

    2014-07-14

    We report on formation of non-crystalline Fe-silicides of various stoichiometries below the amorphized surface of crystalline Si(001) after irradiation with 5 keV Fe{sup +} ions under off-normal incidence. We examined samples prepared with ion fluences of 0.1 × 10{sup 17} and 5 × 10{sup 17} ions cm{sup −2} exhibiting a flat and patterned surface morphology, respectively. Whereas the iron silicides are found across the whole surface of the flat sample, they are concentrated at the top of ridges at the rippled surface. A depth resolved analysis of the chemical states of Si and Fe atoms in the near surface region was performed by combining X-ray photoelectron spectroscopy and X-ray absorption spectroscopy (XAS) using synchrotron radiation. The chemical shift and the line shape of the Si 2p core levels and valence bands were measured and associated with the formation of silicide bonds of different stoichiometric composition changing from an Fe-rich silicides (Fe{sub 3}Si) close to the surface into a Si-rich silicide (FeSi{sub 2}) towards the inner interface to the Si(001) substrate. This finding is supported by XAS analysis at the Fe K-edge which shows changes of the chemical environment and the near order atomic coordination of the Fe atoms in the region close to surface. Because a similar Fe depth profile has been found for samples co-sputtered with Fe during Kr{sup +} ion irradiation, our results suggest the importance of chemically bonded Fe in the surface region for the process of ripple formation.

  16. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  17. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  18. Pt-Si Bifunctional Surfaces for CO and Methanol Electro-Oxidation

    DEFF Research Database (Denmark)

    Permyakova, Anastasia A.; Han, Binghong; Jensen, Jens Oluf

    2015-01-01

    and storage. Here we report on Pt-Si bulk samples prepared by arc-melting, for the first time, with high activities toward the electro-oxidation of CO and methanol. Increasing the Si concentration on the surface was correlated with the shifts of onset oxidation potentials to lower values and higher activities...... for CO and methanol electro-oxidation. It is proposed that the reaction on the Pt-Si catalyst could follow a Langmuir-Hinshelwood type of mechanism, where substantially enhanced catalytic activity is attributed to the fine-tuning of the surface Pt-Si atomic structure....

  19. A computational study on the adsorption configurations and reactions of SiH{sub x}(x = 1-4) on clean and H-covered Si(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Le, Thong N-M [Molecular Science and Nano-Materials Laboratory, Institute for Computational Science and Technology, Quang Trung Software Park, Dist. 12, Ho Chi Minh City (Viet Nam); Raghunath, P. [Center for Interdisciplinary Molecular Science, Department of Applied Chemistry, National Chiao Tung University, Hsinchu 300, Taiwan (China); Huynh, Lam K., E-mail: lamhuynh.us@gmail.com [Department of Applied Chemistry, School of Biotechnology,International University, VNU-HCMC, Quarter 6, Linh Trung, Thu Duc District, Ho Chi Minh City (Viet Nam); Lin, M.C., E-mail: chemmcl@emory.edu [Center for Interdisciplinary Molecular Science, Department of Applied Chemistry, National Chiao Tung University, Hsinchu 300, Taiwan (China)

    2016-11-30

    Highlights: • Possible adsorption configurations of all adsorbates on Si(100) surface are systematically explored. • The mechanisms leading to the formation of silicon adatoms on the surface are proposed. • The barriers for hydrogen abstractions from the surface are negligible comparing to the barriers for the hydrogen migrations. • The barriers for hydrogen abstractions from the adsorbed speices are negligible comparing to the barriers for the decompositions. - Abstract: Possible adsorption configurations of H and SiH{sub x} (x = 1 − 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH{sub 3} radicals effectively adsorb on the top sites, while SiH and SiH{sub 2} prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiH{sub x} species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiH{sub x} precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiH{sub x} radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiH{sub x}, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  20. Effects of Surface Treatment Processes of SiC Ceramic on Interfacial Bonding Property of SiC-AFRP

    Directory of Open Access Journals (Sweden)

    WEI Ru-bin

    2016-12-01

    Full Text Available To improve the interfacial bonding properties of SiC-aramid fiber reinforced polymer matrix composites (SiC-AFRP, the influences of etching process of SiC ceramic, coupling treatment process, and the adhesives types on the interfacial peel strength of SiC-AFRP were studied. The results show that the surface etching process and coupling treatment process of silicon carbide ceramic can effectively enhance interfacial bonding property of the SiC-AFRP. After soaked the ceramic in K3Fe(CN6 and KOH mixed etching solution for 2 hours, and coupled with vinyl triethoxy silane coupling agent, the interfacial peel strength of the SiC-AFRP significantly increases from 0.45kN/m to 2.20kN/m. EVA hot melt film with mass fraction of 15%VA is ideal for interface adhesive.

  1. Addimer diffusions on Si(100)

    International Nuclear Information System (INIS)

    Lee, Gun Do; Wang, C. Z.; Lu, Z. Y.; Ho, K. M.

    1999-01-01

    The diffusion pathways along the trough and between the trough and the dimer row on the Si(100) surface are investigated by tight-binding molecular dynamics calculations using the environment dependent tight-binding silicon potential and by ab initio calculations using the Car-Parrinello method. The studies discover new diffusion pathways consisting of rotation of addimer. The calculated energy barrier are in excellent agreement with experiment. The rotational diffusion pathway between the trough and the dimer row is much more energetically favorable than other diffusion pathways by parallel and perpendicular addimer. The new pathway along the trough is nearly same as the energy barrier of the diffusion pathway by dissociation of the addimer

  2. Biomorphous SiSiC/Al-Si ceramic composites manufactured by squeeze casting: microstructure and mechanical properties

    Energy Technology Data Exchange (ETDEWEB)

    Zollfrank, C.; Travitzky, N.; Sieber, H.; Greil, P. [Department of Materials Science, Glass and Ceramics, University of Erlangen-Nuernberg (Germany); Selchert, T. [Advanced Ceramics Group, Technical University of Hamburg-Harburg (Germany)

    2005-08-01

    SiSiC/Al-Si composites were fabricated by pressure-assisted infiltration of an Al-Si alloy into porous biocarbon preforms derived from the rattan palm. Al-Si alloy was found in the pore channels of the biomorphous SiSiC preform, whereas SiC and carbon were present in the struts. The formation of a detrimental Al{sub 4}C{sub 3}-phase was not observed in the composites. A bending strength of 200 MPa was measured. The fractured surfaces showed pull-out of the Al-alloy. (Abstract Copyright [2005], Wiley Periodicals, Inc.)

  3. First-principle study of Mg adsorption on Si(111) surfaces

    International Nuclear Information System (INIS)

    Min-Ju, Ying; Ping, Zhang; Xiao-Long, Du

    2009-01-01

    We have carried out first-principle calculations of Mg adsorption on Si(111) surfaces. Different adsorption sites and coverage effects have been considered. We found that the threefold hollow adsorption is energy-favoured in each coverage considered, while for the clean Si(111) surface of metallic feature, we found that 0.25 and 0.5 ML Mg adsorption leads to a semiconducting surface. The results for the electronic behaviour suggest a polarized covalent bonding between the Mg adatom and Si(111) surface. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Precise control of Si(001) initial oxidation by translational kinetic energy of O2 molecules

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2002-01-01

    The influence of translation kinetic energy of incident O 2 molecules on the passive oxidation of the clean Si(001) surface and the partially oxidized-Si(001) surface has been studied by high-resolution photoemission spectroscopy using synchrotron radiation. The incident energy of O 2 molecules was controlled up to 3 eV by a supersonic seeded molecular beam technique. Although two incident energy thresholds (1.0 eV and 2.6 eV) have been determined for the partially oxidized-surface oxidation in accordance with the first-principle calculation, the monotonic increase of oxygen saturation coverage was observed for the clean surface oxidation. The difference is caused by the initial dangling bond termination (Si-H and Si-OH) on the partially oxidized surface. Si-2p and O-1s photoemission spectra measured at representative incident energies showed the incident-energy-induced oxidation at the back bonds of Si dimers and the second-layer (subsurface) Si atoms. Moreover, the low-and high-binding-energy components in the O-1s photoemission spectra were assigned to bridge site oxygen and dangling bond site oxygen for the partially oxidized-surface oxidation. (author)

  5. Passivation of surface-nanostructured f-SiC and porous SiC

    DEFF Research Database (Denmark)

    Ou, Haiyan; Lu, Weifang; Ou, Yiyu

    The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper.......The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper....

  6. RDE-4 preferentially binds long dsRNA and its dimerization is necessary for cleavage of dsRNA to siRNA.

    Science.gov (United States)

    Parker, Greg S; Eckert, Debra M; Bass, Brenda L

    2006-05-01

    In organisms ranging from Arabidopsis to humans, Dicer requires dsRNA-binding proteins (dsRBPs) to carry out its roles in RNA interference (RNAi) and micro-RNA (miRNA) processing. In Caenorhabditis elegans, the dsRBP RDE-4 acts with Dicer during the initiation of RNAi, when long dsRNA is cleaved to small interfering RNAs (siRNAs). RDE-4 is not required in subsequent steps, and how RDE-4 distinguishes between long dsRNA and short siRNA is unclear. We report the first detailed analysis of RDE-4 binding, using purified recombinant RDE-4 and various truncated proteins. We find that, similar to other dsRBPs, RDE-4 is not sequence-specific. However, consistent with its in vivo roles, RDE-4 binds with higher affinity to long dsRNA. We also observe that RDE-4 is a homodimer in solution, and that the C-terminal domain of the protein is required for dimerization. Using extracts from wild-type and rde-4 mutant C. elegans, we show that the C-terminal dimerization domain is required for the production of siRNA. Our findings suggest a model for RDE-4 function during the initiation of RNAi.

  7. Surface modification of Al–Si alloy by excimer laser pulse processing

    Energy Technology Data Exchange (ETDEWEB)

    Mahanty, S., E-mail: soumitro@iitk.ac.in; Gouthama

    2016-04-15

    The laser irradiation on Al-Si alloy sample is carried out by excimer laser in ambient conditions for 30 or 45 pulses. Microstructural investigation of laser treated sample is done by OM, SEM and TEM and the surface hardness is evaluated by Vickers micro indentation. Laser treated, samples suggested the dissolution of coarse primary Si and β-AlFeSi particle in α-Al matrix. The SEM/EDS study shows the enhancement of retained Si in α-Al matrix. The interface analysis of laser treated sample suggested the effected modified depth is ∼6 μm. TEM investigation shows the formation of nanocrystalline Si in size ∼2–15 nm. The cellular structures of size range ∼30–50 nm are observed after 45 pulses. The α-Al cells and Si precipitates sizes were considerably refined at higher number of pulses. The fine Si precipitates are found to be dispersed in the intercellular boundaries. An improvement in surface hardness from ∼1.6 to 1.8 is observed 30 and 45 pulse treatment, respectively. The mechanism involves for improvement in surface properties are non-equilibrium solidification, metastable phase formation and microstructural refinement. - Highlights: • Coarse Si and β phase intermetallic are melted and the constituent elements dispersed into the matrix during re-solidification. • The solid solubility of the Si at the surface enhanced after the laser treatment. • The Cellular structure with the size range ∼30–50 nm observed in α-Al after 45 laser pulses. • Si nano particles in size ∼ 2–15 nm were observed in the intercellular region. • Surface hardness increased after laser processing.

  8. Density functional study of the decomposition pathways of SiH₃ and GeH₃ at the Si(100) and Ge(100) surfaces.

    Science.gov (United States)

    Ceriotti, M; Montalenti, F; Bernasconi, M

    2012-03-14

    By means of first-principles calculations we studied the decomposition pathways of SiH₃ on Ge(100) and of GeH₃ on Si(100), of interest for the growth of crystalline SiGe alloys and Si/Ge heterostructures by plasma-enhanced chemical vapor deposition. We also investigated H desorption via reaction of two adsorbed SiH₂/GeH₂ species (β₂ reaction) or via Eley-Rideal abstraction of surface H atoms from the impinging SiH₃ and GeH₃ species. The calculated activation energies for the different processes suggest that the rate-limiting step for the growth of Si/Ge systems is still the β₂ reaction of two SiH₂ as in the growth of crystalline Si.

  9. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  10. 4H-SiC surface energy tuning by nitrogen up-take

    Energy Technology Data Exchange (ETDEWEB)

    Pitthan, E., E-mail: eduardo.pitthan@ufrgs.br [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Amarasinghe, V.P. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Xu, C.; Gustafsson, T. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States); Stedile, F.C. [PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Instituto de Química, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Feldman, L.C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States)

    2017-04-30

    Highlights: • Wettability modification of 4H-SiC as a function of nitrogen adsorption is reported. • SiC surface energy was significantly reduced as nitrogen was incorporated. • Modifications obtained were proved to be inert to etching and stable against time. • Variable control of SiC surface provides new opportunities for biomedical applications. - Abstract: Surface energy modification and surface wettability of 4H silicon carbide (0001) as a function of nitrogen adsorption is reported. The surface wettability is shown to go from primarily hydrophilic to hydrophobic and the surface energy was significantly reduced with increasing nitrogen incorporation. These changes are investigated by x-ray photoelectron spectroscopy and contact angle measurements. The surface energy was quantitatively determined by the Fowkes model and interpreted primarily in terms of the variation of the surface chemistry with nitrogen coverage. Variable control of SiC surface energies with a simple and controllable atomic additive such as nitrogen that is inert to etching, stable against time, and also effective in electrical passivation, can provide new opportunities for SiC biomedical applications, where surface wetting plays an important role in the interaction with the biological interfaces.

  11. The origin of narrowing of the Si 2p coincidence photoelectron spectroscopy main line of Si(1 0 0) surface

    International Nuclear Information System (INIS)

    Ohno, Masahide

    2011-01-01

    Highlights: → The Si 2p coincidence photoelectron spectroscopy (PES) main line of Si(1 0 0) is calculated. → The PES main line shows an asymmetric line shape change compared to the singles one. → The narrowing of the coincidence Si 2p PES main line is well reproduced. → The inherent mechanism of APECS is explained by a many-body theory. - Abstract: The Si 2p photoelectron spectroscopy (PES) main line of Si(1 0 0) surface measured in coincidence with the singles (noncoincidence) Si L 2,3 -VV Auger-electron spectroscopy (AES) elastic peak is calculated. The agreement with the experiment is good. The present work is the first many-body calculation of the experimental coincidence PES spectrum of solid surface. The narrowing of the coincidence Si 2p PES main line compared to the singles one is due to the mechanism inherent in the coincidence PES. The inherent mechanism is explained by a many-body theory by which photoemission and Auger-electron emission are treated on the same footing.

  12. Topological states in a two-dimensional metal alloy in Si surface: BiAg/Si(111)-4 ×4 surface

    Science.gov (United States)

    Zhang, Xiaoming; Cui, Bin; Zhao, Mingwen; Liu, Feng

    2018-02-01

    A bridging topological state with a conventional semiconductor platform offers an attractive route towards future spintronics and quantum device applications. Here, based on first-principles and tight-binding calculations, we demonstrate the existence of topological states hosted by a two-dimensional (2D) metal alloy in a Si surface, the BiAg/Si(111)-4 ×4 surface, which has already been synthesized experimentally. It exhibits a topological insulating state with an energy gap of 71 meV (˜819 K ) above the Fermi level and a topological metallic state with quasiquantized conductance below the Fermi level. The underlying mechanism leading to the formation of such nontrivial states is revealed by analysis of the "charge-transfer" and "orbital-filtering" effect of the Si substrate. A minimal effective tight-binding model is employed to reveal the formation mechanism of the topological states. Our finding opens opportunities to detect topological states and measure its quantized conductance in a large family of 2D surface metal alloys, which have been or are to be grown on semiconductor substrates.

  13. Uniform Si nano-dot fabrication using reconstructed structure of Si(110)

    Science.gov (United States)

    Yano, Masahiro; Uozumi, Yuki; Yasuda, Satoshi; Asaoka, Hidehito

    2018-06-01

    Si nano-dot (ND) formation on Si(110) is observed by means of a scanning tunneling microscope (STM). The initial Si-NDs are Si crystals that are continuous from the substrate and grow during the oxide layer desorption. The NDs fabricated on the flat surface of Si(110)-1 × 1 are surrounded by four types of facets with almost identical appearance probabilities. An increase in the size of the NDs increases the variety of its morphology. In contrast, most Si-NDs fabricated on straight-stepped surface of Si(110)-16 × 2 reconstructed structure are surrounded by only a single type of facet, namely the \\text{Si}(17,15,1)-2 × 1 plane. An appearance probability of the facet in which the base line is along the step of Si(110)-16 × 2 exceeds 75%. This finding provides a fabrication technique of uniformed structural Si-NDs by using the reconstructed structure of Si(110).

  14. Electronic structure and bonding in the ternary silicide YNiSi3

    International Nuclear Information System (INIS)

    Sung, Gi Hong; Kang, Dae Bok

    2003-01-01

    An analysis of the electronic structure and bonding in the ternary silicide YNiSi 3 is made, using extended Hueckel tight-binding calculations. The YNiSi 3 structure consists of Ni-capped Si 2 dimer layers and Si zigzag chains. Significant bonding interactions are present between the silicon atoms in the structure. The oxidation state formalism of (Y 3+ )(Ni 0 )(Si 3 ) 3- for YNiSi 3 constitutes a good starting point to describe its electronic structure. Si atoms receive electrons form the most electropositive Y in YNiSi 3 , and Ni 3d and Si 3p states dominate below the Fermi level. There is an interesting electron balance between the two Si and Ni sublattices. Since the π orbitals in the Si chain and the Ni d and s block levels are almost completely occupied, the charge balance for YNiSi 3 can be rewritten as (Y 3+ )(Ni 2- )(Si 2- )(Si-Si) + , making the Si 2 layers oxidized. These results suggest that the Si zigzag chain contains single bonds and the Si 2 double layer possesses single bonds within a dimer with a partial double bond character. Stronger Si-Si and Ni-Si bonding interactions are important for giving stability to the structure, while essentially no metal-metal bonding exists at all. The 2D metallic behavior of this compound is due to the Si-Si interaction leading to dispersion of the several Si 2 π bands crossing the Fermi level in the plane perpendicular to the crystallographic b axis

  15. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  16. Interfacial characterization of CVI-SiC/SiC composites

    International Nuclear Information System (INIS)

    Yang, W.; Kohyama, A.; Noda, T.; Katoh, Y.; Hinoki, T.; Araki, H.; Yu, J.

    2002-01-01

    The mechanical properties of the interfaces of two families of chemical vapor infiltration SiC/SiC composites, advanced Tyranno-SA and Hi-Nicalon fibers reinforced SiC/SiC composites with various carbon and SiC/C interlayers, were investigated by single fiber push-out/push-back tests. Interfacial debonding and fibers sliding mainly occurred adjacent to the first carbon layer on the fibers. The interfacial debonding strengths and frictional stresses for both Tyranno-SA/SiC and Hi-Nicalon/SiC composites were correlated with the first carbon layer thickness. Tyranno-SA/SiC composites exhibited much larger interfacial frictional stresses compared to Hi-Nicalon/SiC composites. This was assumed to be mainly contributed by the rather rough surface of the Tyranno-SA fiber

  17. Study of surface segregation of Si on palladium silicide using Auger electron spectroscopy

    International Nuclear Information System (INIS)

    Abhaya, S; Amarendra, G; Gopalan, Padma; Reddy, G L N; Saroja, S

    2004-01-01

    The transformation of Pd/Si to Pd 2 Si/Si is studied using Auger electron spectroscopy over a wide temperature range of 370-1020 K. The Pd film gets totally converted to Pd 2 Si upon annealing at 520 K, and beyond 570 K, Si starts segregating on the surface of silicide. It is found that the presence of surface oxygen influences the segregation of Si. The time evolution study of Si segregation reveals that segregation kinetics is very fast and the segregated Si concentration increases as the temperature is increased. Scanning electron microscopy measurements show that Pd 2 Si is formed in the form of islands, which grow as the annealing temperature is increased

  18. Formation of Si/Ge/Si heterostructures with quantum dots

    International Nuclear Information System (INIS)

    Zinov'ev, V.A.; Dvurechenskij, A.V.; Novikov, P.L.

    2003-01-01

    It is present the Monte Carlo simulation of epitaxial embedding of faceted three-dimensional Ge islands (quantum dots) in a Si matrix. Under a Si flux these islands expand and undergo a shape change (from pyramidal to drop-like shape). The main expansion occurs at initial stage of embedding in Si (deposition of 1-2 monolayers). This change is controlled by surface diffusion. The shape of island can be preserved when one uses the higher Si fluxes. The reason of island conservation lies in blocking of Ge surface diffusion [ru

  19. Influence of SiC coating thickness on mechanical properties of SiCf/SiC composite

    Science.gov (United States)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-11-01

    Silicon carbide (SiC) coatings with varying thickness (ranging from 0.14 μm to 2.67 μm) were deposited onto the surfaces of Type KD-I SiC fibres with native carbonaceous surface using chemical vapour deposition (CVD) process. Then, two dimensional SiC fibre reinforced SiC matrix (2D SiCf/SiC) composites were fabricated using polymer infiltration and pyrolysis (PIP) process. Influences of the fibre coating thickness on mechanical properties of SiC fibre and SiCf/SiC composite were investigated using single-filament test and three-point bending test. The results indicated that flexural strength of the composites initially increased with the increasing CVD SiC coating thickness and reached a peak value of 363 MPa at the coating thickness of 0.34 μm. Further increase in the coating thickness led to a rapid decrease in the flexural strength of the composites. The bending modulus of composites showed a monotonic increase with increasing coating thickness. A chemical attack of hydrogen or other ions (e.g. a C-H group) on the surface of SiC fibres during the coating process, owing to the formation of volatile hydrogen, lead to an increment of the surface defects of the fibres. This was confirmed by Wang et al. [35] in their work on the SiC coating of the carbon fibre. In the present study, the existing ˜30 nm carbon on the surface of KD-I fibre [36] made the fibre easy to be attacked. Deposition of non-stoichiometric SiC, causing a decrease in strength. During the CVD process, a small amount of free silicon or carbon always existed [35]. The existence of free silicon, either disordered the structure of SiC and formed a new source of cracks or attacked the carbon on fibre surface resulting in properties degeneration of the KD-I fibre. The effect of residual stress. The different thermal expansion coefficient between KD-I SiC fibre and CVD SiC coating, which are 3 × 10-6 K-1 (RT ˜ 1000 °C) and 4.6 × 10-6 K-1 (RT ˜ 1000 °C), respectively, could cause residual stress

  20. Surface morphologies of excimer-laser annealed BF2+ implanted Si diodes

    International Nuclear Information System (INIS)

    Burtsev, A.; Schut, H.; Nanver, L.K.; Veen, A. van; Slabbekoorn, J.; Scholtes, T.L.M.

    2004-01-01

    Laser-induced surface roughness and damage formation in ultra-shallow n + -p and p + -n junctions, formed by low energy (5 keV) As + and BF 2 + implantations in Si, respectively, with a dose of 1 x 10 15 cm -2 have been investigated by atomic force microscopy (AFM) and Positron Annihilation Doppler Broadening (PADB) technique. The Si surface roughness is found to increase with laser energy density, and reaches a value of 3.5 nm after excimer-laser annealing (ELA) at 1100 mJ/cm 2 . However, anomalous behavior is witnessed for BF 2 + -implanted Si sample at 800 mJ/cm 2 , at which energy very high surface protrusions up to 9 nm high are observed. By PADB this behavior is correlated to extensive deep microcavity formation in the Si whereby the volatile F 2 fraction can accumulate and evaporate/out-diffuse, leading to Si surface roughening. The consequences for the diode characteristics and contact resistivity are examined

  1. Surface Defect Passivation and Reaction of c-Si in H2S.

    Science.gov (United States)

    Liu, Hsiang-Yu; Das, Ujjwal K; Birkmire, Robert W

    2017-12-26

    A unique passivation process of Si surface dangling bonds through reaction with hydrogen sulfide (H 2 S) is demonstrated in this paper. A high-level passivation quality with an effective minority carrier lifetime (τ eff ) of >2000 μs corresponding to a surface recombination velocity of passivation by monolayer coverage of S on the Si surface. However, S passivation of the Si surface is highly unstable because of thermodynamically favorable reaction with atmospheric H 2 O and O 2 . This instability can be eliminated by capping the S-passivated Si surface with a protective thin film such as low-temperature-deposited amorphous silicon nitride.

  2. MarsSI: Martian surface data processing information system

    Science.gov (United States)

    Quantin-Nataf, C.; Lozac'h, L.; Thollot, P.; Loizeau, D.; Bultel, B.; Fernando, J.; Allemand, P.; Dubuffet, F.; Poulet, F.; Ody, A.; Clenet, H.; Leyrat, C.; Harrisson, S.

    2018-01-01

    MarsSI (Acronym for Mars System of Information, https://emars.univ-lyon1.fr/MarsSI/, is a web Geographic Information System application which helps managing and processing martian orbital data. The MarsSI facility is part of the web portal called PSUP (Planetary SUrface Portal) developed by the Observatories of Paris Sud (OSUPS) and Lyon (OSUL) to provide users with efficient and easy access to data products dedicated to the martian surface. The portal proposes 1) the management and processing of data thanks to MarsSI and 2) the visualization and merging of high level (imagery, spectral, and topographic) products and catalogs via a web-based user interface (MarsVisu). The portal PSUP as well as the facility MarsVisu is detailed in a companion paper (Poulet et al., 2018). The purpose of this paper is to describe the facility MarsSI. From this application, users are able to easily and rapidly select observations, process raw data via automatic pipelines, and get back final products which can be visualized under Geographic Information Systems. Moreover, MarsSI also contains an automatic stereo-restitution pipeline in order to produce Digital Terrain Models (DTM) on demand from HiRISE (High Resolution Imaging Science Experiment) or CTX (Context Camera) pair-images. This application is funded by the European Union's Seventh Framework Programme (FP7/2007-2013) (ERC project eMars, No. 280168) and has been developed in the scope of Mars, but the design is applicable to any other planetary body of the solar system.

  3. White light emission from fluorescent SiC with porous surface

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Fiordaliso, Elisabetta Maria

    2017-01-01

    We report for the frst time a NUV light to white light conversion in a N-B co-doped 6H-SiC (fuorescent SiC) layer containing a hybrid structure. The surface of fuorescent SiC sample contains porous structures fabricated by anodic oxidation method. After passivation by 20nm thick Al2O3, the photol......We report for the frst time a NUV light to white light conversion in a N-B co-doped 6H-SiC (fuorescent SiC) layer containing a hybrid structure. The surface of fuorescent SiC sample contains porous structures fabricated by anodic oxidation method. After passivation by 20nm thick Al2O3...... the bulk fuorescent SiC layer. A high color rendering index of 81.1 has been achieved. Photoluminescence spectra in porous layers fabricated in both commercial n-type and lab grown N-B co-doped 6H-SiC show two emission peaks centered approximately at 460nm and 530nm. Such bluegreen emission phenomenon can......, the photoluminescence intensity from the porous layer was signifcant enhanced by a factor of more than 12. Using a porous layer of moderate thickness (~10µm), high-quality white light emission was realized by combining the independent emissions of blue-green emission from the porous layer and yellow emission from...

  4. SiO mass spectrometry and Si-2p photoemission spectroscopy for the study of oxidation reaction dynamics of Si(001) surface by supersonic O sub 2 molecular beams under 1000K

    CERN Document Server

    Teraoka, Y; Moritani, K

    2003-01-01

    The Si sup 1 sup 8 O desorption yield was measured in the Si(001) surface temperature region from 900K to 1300K at the sup 1 sup 8 O sub 2 incident energies of 0.7eV, 2.2eV and 3.3eV. The Si sup 1 sup 8 O desorption yield in a surface temperature region higher than 1000K increased with increasing incident energy, indicating the incident-energy-induced oxidation and the variation of angular distribution of Si sup 1 sup 8 O desorption. Inversely, the Si sup 1 sup 8 O desorption yield decreased with increasing incident energy in the region from 900K to 1000K, indicating the coexistence of the passive and the active oxidation. In order to clarify the reaction mechanisms of the later phenomenon, real-time in-situ Si-2p photoemission spectroscopy has been performed. The obtained Si-2p spectra showed the variation of the oxide-nuclei quality from the sub-oxide-rich structure to the SiO sub 2 -rich structure. The formation of the SiO sub 2 structure suppresses the SiO desorption due to the enhanced O sub 2 sticking a...

  5. Surface spins disorder in uncoated and SiO{sub 2} coated maghemite nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Zeb, F. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Nadeem, K., E-mail: kashif.nadeem@iiu.edu.pk [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Shah, S. Kamran Ali; Kamran, M. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Gul, I. Hussain [School of Chemical & Materials Engineering, National University of Sciences and Technology (NUST), H-12, 44000 Islamabad, Pakistan (Pakistan); Ali, L. [Materials Research Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan)

    2017-05-01

    We studied the surface spins disorder in uncoated and silica (SiO{sub 2}) coated maghemite (γ-Fe{sub 2}O{sub 3}) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO{sub 2} coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T{sub B}) for SiO{sub 2} coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M{sub s}) of SiO{sub 2} coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO{sub 2} coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT{sup b})) was fitted well for both uncoated and SiO{sub 2} coated nanoparticles and yields: B =3×10{sup −7} K{sup -b}, b=2.22 and B=0.0127 K{sup -b}, b=0.57 for uncoated and SiO{sub 2} coated nanoparticles, respectively. Higher value of B for SiO{sub 2} coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO{sub 2} coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO{sub 2} coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO{sub 2} coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface

  6. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  7. Enhanced absorption in Au nanoparticles/a-Si:H/c-Si heterojunction solar cells exploiting Au surface plasmon resonance

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bianco, Giuseppe V.; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy)

    2009-10-15

    Au nanoparticles (NPs)/(n-type)a-Si:H/(p-type)c-Si heterojunctions have been deposited combining plasma-enhanced chemical-vapour deposition (PECVD) with Au sputtering. We demonstrate that a density of {proportional_to}1.3 x 10{sup 11} cm{sup -2} of Au nanoparticles with an approximately 20 nm diameter deposited onto (n-type)a-Si:H/(p-type)c-Si heterojunctions enhance performance exploiting the improved absorption of light by the surface plasmon resonance of Au NPs. In particular, Au NPs/(n-type)a-Si:H/(p-type)c-Si show an enhancement of 20% in the short-circuit current, J{sub SC}, 25% in the power output, P{sub max} and 3% in the fill factor, FF, compared to heterojunctions without Au NPs. Structures have been characterized by spectroscopic ellipsometry, atomic force microscopy and current-voltage (I-V) measurements to correlate the plasmon resonance-induced enhanced absorption of light with photovoltaic performance. (author)

  8. Monolayer assembly and striped architecture of Co nanoparticles on organic functionalized Si surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bae, S.-S.; Lim, D.K.; Park, J.-I.; Kim, S. [Korea Advanced Institute of Science and Technology, Department of Chemistry and School of Molecular Science (BK 21), Daejeon (Korea); Cheon, J. [Yonsei University, Department of Chemistry, College of Sciences, Seoul (Korea); Jeon, I.C. [Chonbuk National University, Department of Chemistry, College of Natural Sciences, Chonbuk (Korea)

    2005-03-01

    We present a new strategy to fabricate a monolayer assembly of Br-terminated Co nanoparticles on functionalized Si surfaces by using chemical covalent bonding and microcontact printing method. Self-assembled monolayers (SAMs) of the Co nanoparticles formed on the hydroxyl-terminated Si surface exhibit two-dimensional island networks with locally ordered arrays via covalent linkage between nanoparticles and surface. On the other hand, SAMs of the nanoparticles on the aminopropyl-terminated Si surface show an individual and random distribution over an entire surface. Furthermore, we have fabricated striped architectures of Co nanoparticles using a combination of microcontact printing and covalent linkage. Microcontact printing of octadecyltrichlorosilane and selective covalent linkage between nanoparticles and functionalized Si surfaces lead to a hybrid nanostructure with selectively assembled nanoparticles stripes on the patterned functionalized Si surfaces. (orig.)

  9. Alkyl-terminated Si(111) surfaces: A high-resolution, core level photoelectron spectroscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1999-01-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied with high-resolution core level photoelectron spectroscopy (PES). Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) Olefin insertion into the H{endash}Si bond of the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, PES has revealed a C 1s component shifted to lower binding energy and a Si 2p component shifted to higher binding energy. Both components are attributed to the presence of a C{endash}Si bond at the interface. Along with photoelectron diffraction data [Appl. Phys. Lett. {bold 71}, 1056, (1997)], these data are used to show that these two synthetic methods can be used to functionalize the Si(111) surface. {copyright} {ital 1999 American Institute of Physics.}

  10. Formation of metallic Si and SiC nanoparticles from SiO2 particles by plasma-induced cathodic discharge electrolysis in chloride melt

    International Nuclear Information System (INIS)

    Tokushige, M.; Tsujimura, H.; Nishikiori, T.; Ito, Y.

    2013-01-01

    Silicon nanoparticles are formed from SiO 2 particles by conducting plasma-induced cathodic discharge electrolysis. In a LiCl–KCl melt in which SiO 2 particles were suspended at 450 °C, we obtained Si nanoparticles with diameters around 20 nm. During the electrolysis period, SiO 2 particles are directly reduced by discharge electrons on the surface of the melt just under the discharge, and the deposited Si atom clusters form Si nanoparticles, which leave the surface of the original SiO 2 particle due to free spaces caused by a molar volume difference between SiO 2 and Si. We also found that SiC nanoparticles can be obtained using carbon anode. Based on Faraday's law, the current efficiency for the formation of Si nanoparticles is 70%

  11. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    Science.gov (United States)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  12. Experimental surface charge density of the Si (100)-2x1H surface

    DEFF Research Database (Denmark)

    Ciston, J.; Marks, L.D.; Feidenhans'l, R.

    2006-01-01

    We report a three-dimensional charge density refinement from x-ray diffraction intensities of the Si (100) 2x1H surface. By paying careful attention to parameterizing the bulk Si bonding, we are able to locate the hydrogen atoms at the surface, which could not be done previously. In addition, we...

  13. Surface correlation behaviors of metal-organic Langmuir-Blodgett films on differently passivated Si(001) surfaces

    Science.gov (United States)

    Bal, J. K.; Kundu, Sarathi

    2013-03-01

    Langmuir-Blodgett films of standard amphiphilic molecules like nickel arachidate and cadmium arachidate are grown on wet chemically passivated hydrophilic (OH-Si), hydrophobic (H-Si), and hydrophilic plus hydrophobic (Br-Si) Si(001) surfaces. Top surface morphologies and height-difference correlation functions g(r) with in-plane separation (r) are obtained from the atomic force microscopy studies. Our studies show that deposited bilayer and trilayer films have self-affine correlation behavior irrespective of different passivations and different types of amphiphilic molecules, however, liquid like correlation coexists only for a small part of r, which is located near the cutoff length (1/κ) or little below the correlation length ξ obtained from the liquid like and self-affine fitting, respectively. Thus, length scale dependent surface correlation behavior is observed for both types of Langmuir-Blodgett films. Metal ion specific interactions (ionic, covalent, etc.,) in the headgroup and the nature of the terminated bond (polar, nonpolar, etc.,) of Si surface are mainly responsible for having different correlation parameters.

  14. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  15. Si surface passivation by SiOx:H films deposited by a low-frequency ICP for solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H P; Wei, D Y; Xu, S; Xiao, S Q; Xu, L X; Huang, S Y; Guo, Y N; Khan, S; Xu, M

    2012-01-01

    Hydrogenated silicon suboxide (SiO x :H) thin films are fabricated by a low-frequency inductively coupled plasma of hydrogen-diluted SiH 4 + CO 2 at a low temperature (100 °C). Introduction of a small amount of oxygen into the film results in a predominantly amorphous structure, wider optical bandgap, increased H content, lower conductivity and higher activation energy. The minority carrier lifetime in the SiO x :H-passivated p-type Si substrate is up to 428 µs with a reduced incubation layer at the interface. The associated surface recombination velocity is as low as 70 cm s -1 . The passivation behaviour dominantly originates from the H-related chemical passivation. The passivation effect is also demonstrated by the excellent photovoltaic performance of the heterojunction solar cell with the SiO x :H-based passivation and emitter layers.

  16. Atomic-scale study of the adsorption of calcium fluoride on Si(100) at low-coverage regime

    International Nuclear Information System (INIS)

    Chiaravalloti, Franco; Dujardin, Gerald; Riedel, Damien; Pinto, Henry P.; Foster, Adam S.

    2011-01-01

    We investigate, experimentally and theoretically, the initial stage of the formation of Ca/Si and Si/F structures that occurs during the adsorption of CaF 2 molecules onto a bare Si(100) surface heated to 1000 K in a low-coverage regime (0.3 monolayer). A low-temperature (5 K) scanning tunneling microscope (STM) is used to observe the topographies and the electronic properties of the exposed silicon surfaces. Our atomic-scale study reveals that several chemical reactions arise during CaF 2 deposition, such as dissociation of the CaF 2 molecules and etching of the surface silicon dimers. The experimental and calculated STM topographies are compared using the density functional theory, and this comparison enables us to identify two types of reacted structures on the Si(100) surface. The first type of observed complex surface structure consists of large islands formed with a semiperiodic sequence of 3 x 2 unit cells. The second one is made of isolated Ca adatoms adsorbed at specific sites on the Si(100)-2 x 1 surface.

  17. Second harmonic generation spectroscopy on Si surfaces and interfaces

    DEFF Research Database (Denmark)

    Pedersen, Kjeld

    2010-01-01

    Optical second harmonic generation (SHG) spectroscopy studies of Si(111) surfaces and interfaces are reviewed for two types of systems: (1) clean 7 x 7 and root 3 x root 3-Ag reconstructed surfaces prepared under ultra-high vacuum conditions where surface states are excited and (2) interfaces...... in silicon-on-insulator (SOI) structures and thin metal films on Si surfaces where several interfaces contribute to the SHG. In all the systems resonances are seen at interband transitions near the bulk critical points E-1 and E-2. On the clean surfaces a number of resonances appear below the onset of bulk...

  18. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  19. A surface-mediated siRNA delivery system developed with chitosan/hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lijuan [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Wu, Changlin, E-mail: Ph.Dclwu1314@sina.cn [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Liu, Guangwan [Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Liao, Nannan [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Zhao, Fang; Yang, Xuxia; Qu, Hongyuan [Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Peng, Bo [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Chen, Li [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Yang, Guang [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China)

    2016-12-15

    Highlights: • We prepared Chitosan/Hyaluronic acid-siRNA multilayer as carrier to effectively load and protect siRNAs. • The stability and integrity of the siRNA was verified in the siRNA-loaded films. • The siRNA-loaded films showed good cells adhesion and gene silencing effect in eGFP-HEK 293T cells. • This is a new type of surface-mediated non-viral multilayer films. - Abstract: siRNA delivery remains highly challenging because of its hydrophilic and anionic nature and its sensitivity to nuclease degradation. Effective siRNA loading and improved transfection efficiency into cells represents a key problem. In our study, we prepared Chitosan/Hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly, in which siRNAs can be effectively loaded and protected. The construction process was characterized by FTIR, {sup 13}C NMR (CP/MAS), UV–vis spectroscopy, and atomic force microscopy (AFM). We presented the controlled-release performance of the films during incubation in 1 M NaCl solution for several days through UV–vis spectroscopy and polyacrylamide gel electrophoresis (PAGE). Additionally, we verified the stability and integrity of the siRNA loaded on multilayer films. Finally, the biological efficacy of the siRNA delivery system was evaluated via cells adhesion and gene silencing analyses in eGFP-HEK 293T cells. This new type of surface-mediated non-viral multilayer films may have considerable potential in the localized and controlled-release delivery of siRNA in mucosal tissues, and tissue engineering application.

  20. C-H and C-C activation of n -butane with zirconium hydrides supported on SBA15 containing N-donor ligands: [(≡SiNH-)(≡SiX-)ZrH2], [(≡SiNH-)(≡SiX-)2ZrH], and[(≡SiN=)(≡SiX-)ZrH] (X = -NH-, -O-). A DFT study

    KAUST Repository

    Pasha, Farhan Ahmad

    2014-07-01

    Density functional theory (DFT) was used to elucidate the mechanism of n-butane hydrogenolysis (into propane, ethane, and methane) on well-defined zirconium hydrides supported on SBA15 coordinated to the surface via N-donor surface pincer ligands: [(≡SiNH-)(≡SiO-)ZrH2] (A), [(≡SiNH-)2ZrH2] (B), [(≡SiNH-)(≡SiO-) 2ZrH] (C), [(≡SiNH-)2(≡SiO-)ZrH] (D), [(≡SiN=)(≡Si-O-)ZrH] (E), and [(≡SiN=)(≡SiNH-)ZrH] (F). The roles of these hydrides have been investigated in C-H/C-C bond activation and cleavage. The dihydride A linked via a chelating [N,O] surface ligand was found to be more active than B, linked to the chelating [N,N] surface ligand. Moreover, the dihydride zirconium complexes are also more active than their corresponding monohydrides C-F. The C-C cleavage step occurs preferentially via β-alkyl transfer, which is the rate-limiting step in the alkane hydrogenolysis. The energetics of the comparative pathways over the potential energy surface diagram (PES) reveals the hydrogenolysis of n-butane into propane and ethane. © 2014 American Chemical Society.

  1. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  2. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  3. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    Energy Technology Data Exchange (ETDEWEB)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O. [UMR FOTON, CNRS, INSA Rennes, Rennes F-35708 (France); Stodolna, J.; Ponchet, A. [CEMES-CNRS, Université de Toulouse, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 04 (France); Bahri, M.; Largeau, L.; Patriarche, G. [Laboratoire de Photonique et Nanostructures, CNRS UPR 20, Route de Nozay, Marcoussis 91460 (France); Magen, C. [LMA, INA-ARAID, and Departamento de Física de la Materia Condensada, Universidad de Zaragoza, 50018 Zaragoza (Spain)

    2015-11-09

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.

  4. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    International Nuclear Information System (INIS)

    Ping Wang, Y.; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O.; Stodolna, J.; Ponchet, A.; Bahri, M.; Largeau, L.; Patriarche, G.; Magen, C.

    2015-01-01

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth

  5. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  6. SiN sub x passivation of silicon surfaces

    Science.gov (United States)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  7. Surface effects on the photoluminescence of Si quantum dots

    International Nuclear Information System (INIS)

    Wang, Chiang-Jing; Tsai, Meng-Yen; Chi, Cheng Chung; Perng, Tsong-Pyng

    2009-01-01

    Si quantum dots (SiQDs) with sizes ranging from 5 to 20 nm were fabricated by vapor condensation. They showed red photoluminescence (PL) in vacuum with the peak located at around 750 nm. After the specimen was exposed to air, the PL intensity became higher, and continued to increase during the PL test with a cycling of vacuum-air-vacuum. In pure oxygen, the PL intensity exhibited an irreversible decrease, while in nitrogen a smaller amount of reversible increase of PL intensity was observed. Furthermore, the PL intensity exhibited a remarkable enhancement if the SiQDs were treated with water. With HF treatment, the PL peak position showed a blue-shift to 680 nm, and was recovered after subsequent exposure to air. Si-O-H complexes were suggested to be responsible for this red luminescence. The irreversible decrease of PL intensity due to oxygen adsorption was speculated to be caused by the modification of chemical bonds on the surface. In the case of nitrogen adsorption, the PL change was attributed to the surface charging during adsorption.

  8. Fracture surface analysis on nano-SiO{sub 2}/epoxy composite

    Energy Technology Data Exchange (ETDEWEB)

    Zhao Rongguo [Institute of Fundamental Mechanics and Material Engineering, Xiangtan University, Hunan 411105 (China); Key Laboratory of Low Dimensional Materials and Application Technology, Xiangtan University, Ministry of Education, Hunan 411105 (China)], E-mail: zhaorongguo@xtu.edu.cn; Luo Wenbo [Institute of Fundamental Mechanics and Material Engineering, Xiangtan University, Hunan 411105 (China); Key Laboratory of Low Dimensional Materials and Application Technology, Xiangtan University, Ministry of Education, Hunan 411105 (China)

    2008-06-15

    Fracture surface morphologies of nano-SiO{sub 2}/epoxy composite with different weight percentage of SiO{sub 2} are investigated using scanning electron microscopy. Two types of curing agent, dimethylbenzanthracene (DMBA) and methyltetrahydrophthalic anhydride (MeTHPA), are individually used for preparing the composites. It is found that the fracture surface morphology of the composite cured by DMBA shows as radial striations, which suggests a rapid brittle fracture mode, while the fracture surface morphology of the composite cured by MeTHPA shows as regularly spaced 'rib' markings, which indicates a stick-slip motion during the fracture process. Furthermore, the uniaxial tensile behavior under constant loading rate and ambient temperature are investigated. It is shown that the elastic modulus of the composite cured by DMBA firstly increases, and then decreases with the mass fraction of nano-SiO{sub 2} particles, but the elongation of the composite cured by MeTHPA is reversed with increasing fraction of nano-SiO{sub 2} particles. For nano-SiO{sub 2}/epoxy composite cured with MeTHPA that possesses a suitable fraction of nano-SiO{sub 2}, an excellent synthetic mechanical property on elastic modulus and elongation is obtained.

  9. Theoretical reconsideration of antiferromagnetic Fermi surfaces in URu2Si2

    International Nuclear Information System (INIS)

    Yamagami, Hiroshi

    2011-01-01

    In an itinerant 5f-band model, the antiferromagnetic (AFM) Fermi surfaces of URu 2 Si 2 are reconsidered using a relativistic LAPW method within a local spin-density approximation, especially taking into account the lattice parameters dependent on pressures. The reduction of the z-coordinate of the Si sites results in the effect of flattening the Ru-Si layers of URu 2 Si 2 crystal structure, thus weakening a hybridization/mixing between the U-5f and Ru-4d states in the band structure. Consequently the 5f bands around the Fermi level are more flat in the dispersion with decreasing the z-coordinate, thus producing three closed Fermi surfaces like 'curing-stone', 'rugby-ball' and 'ball'. The origins of de Haas-van Alphen branches can be qualitatively interpreted from the obtained AFM Fermi surfaces.

  10. Preparation and Oxidation Resistance of Mo-Si-B Coating on Nb-Si Based Alloy Surface

    Directory of Open Access Journals (Sweden)

    PANG Jie

    2018-02-01

    Full Text Available Mo-Si-B coating was prepared on Nb-Si alloys to improve the high-temperature oxidation. The influence of the halide activators (NaF and AlF3 on Si-B co-depositing to obtain Mo-Si-B coating on Nb-Si alloys was analyzed by thermochemical calculations. The results show that NaF proves to be more suitable than AlF3 to co-deposit Si and B. Then Mo-Si-B can be coated on Nb-Si based alloys using detonation gun spraying of Mo followed by Si and B co-deposition. The fabricated coatings consist of outer MoSi2 layer with fine boride phase and inner unreacted Mo layer. The mass gain of the Mo-Si-B coating is 1.52mg/cm2 after oxidation at 1250℃ for 100h. The good oxidation resistance results in a protective borosilicate scale formed on the coating.

  11. Electrical properties of Si/Si1-xGex/Si inverted modulation doped structures

    International Nuclear Information System (INIS)

    Sadeghzadeh, M.A.

    1998-12-01

    This thesis is a report of experimental investigations of growth strategy and electrical properties of Si/Si 1-x Ge x /Si inverted Modulation Doped (MD) structures grown by solid source Molecular Beam Epitaxy (MBE). If the grown Si layer is B-doped at some distance (as spacer) before or after the alloy layer, this remote doping induces the formation of a quasi Two Dimensional Hole Gas (2-DHG) near to the inverted (SiGe on Si) or normal (Si on SiGe) heterointerfaces of the Si/Si 1-x Ge x /Si quantum well, respectively. The latter arrangement is the well known 'normal' MD structure but the former one is the so-called 'inverted' MD structure which is of great interest for Field Effect Transistor (FET) applications. A reproducible growth strategy was employed by the use of a thick (400nm) Si cap for inverted MD structures with Ge composition in the range of 16-23%. Boron segregation and cap surface charges are significant in these inverted structures with small ( 20nm) spacer layers, respectively. It was demonstrated by secondary ion mass spectroscopy (SIMS) that boron segregation, which causes a reduction in the effective spacer dimension, can be suppressed by growth interruption after boron doping. The enhancement in hole sheet density with increasing Si cap layer thickness, is attributed to a reduction in the influence of positive surface charges in these structures. Top-gated devices were fabricated using these structures and the hole sheet density could be varied by applying a voltage to the metal-semiconductor gate, and the maximum Hall mobility of 5550 cm 2 V -1 s -1 with 4.2x10 11 cm -2 was measured (at 1.6K) in these structures. Comparison of measured Hall mobility (at 4.2K) as a function of hole sheet density in normal and inverted MD structures implies that both 2-DHG confined at normal and/or inverted structures are subjected to very similar interface charge, roughness, and alloy scattering potentials. Low temperatures magnetotransport measurements (down to

  12. Plasmon-Enhanced Photoluminescence of an Amorphous Silicon Quantum Dot Light-Emitting Device by Localized Surface Plasmon Polaritons in Ag/SiOx:a-Si QDs/Ag Sandwich Nanostructures

    Directory of Open Access Journals (Sweden)

    Tsung-Han Tsai

    2015-01-01

    Full Text Available We investigated experimentally the plasmon-enhanced photoluminescence of the amorphous silicon quantum dots (a-Si QDs light-emitting devices (LEDs with the Ag/SiOx:a-Si QDs/Ag sandwich nanostructures, through the coupling between the a-Si QDs and localized surface plasmons polaritons (LSPPs mode, by tuning a one-dimensional (1D Ag grating on the top. The coupling of surface plasmons at the top and bottom Ag/SiOx:a-Si QDs interfaces resulted in the localized surface plasmon polaritons (LSPPs confined underneath the Ag lines, which exhibit the Fabry-Pérot resonance. From the Raman spectrum, it proves the existence of a-Si QDs embedded in Si-rich SiOx film (SiOx:a-Si QDs at a low annealing temperature (300°C to prevent the possible diffusion of Ag atoms from Ag film. The photoluminescence (PL spectra of a-Si QDs can be precisely tuned by a 1D Ag grating with different pitches and Ag line widths were investigated. An optimized Ag grating structure, with 500 nm pitch and 125 nm Ag line width, was found to achieve up to 4.8-fold PL enhancement at 526 nm and 2.46-fold PL integrated intensity compared to the a-Si QDs LEDs without Ag grating structure, due to the strong a-Si QDs-LSPPs coupling.

  13. Adsorption of carbon monoxide on the Si(111)-7 × 7 surface

    Energy Technology Data Exchange (ETDEWEB)

    Shong, Bonggeun, E-mail: bshong@cnu.ac.kr

    2017-05-31

    Highlights: • Detailed chemistry of CO with the Si(111)-7 × 7 surface is computationally studied. • On-top on rest-atoms and back-bond insertion on adatoms are suggested geometries. • The two structures exhibit no activation barrier for adsorption and significant stability. • Geometrical and spectroscopic properties of CO adsorbates are predicted. • Direction of the interfacial charge transfer depends on the bonding configuration. - Abstract: The adsorption of CO and surface chemistry of Si are well-understood topics in surface science. However, research into the adsorption of CO on the Si(111)-7 × 7 surface is deficient. In this study, the adsorption of CO on Si(111)-7 × 7 is investigated via high-level density functional theory calculations using cluster model. Two adsorption configurations are found to be kinetically and thermodynamically viable: on-top on rest-atoms and back-bond insertion on adatoms, both binding to the surface via C atom. Structural, electronic, and spectroscopic properties of the adsorbates indicate a σ-donating/π-accepting nature of the CO−Si bonds in both configurations. The domination of σ-donation in the on-top configuration results in a net positive charge on the on-top adsorbate, and the opposite situation yields a net negative charge on the back-bond insertion adsorbates. Our study provides a detailed understanding of the previous experimental observations of fundamental surface chemical phenomena, suggesting possible applications of Si surface functionalization using CO.

  14. Conversion of wood flour/SiO2/phenolic composite to porous SiC ceramic containing SiC whiskers

    Directory of Open Access Journals (Sweden)

    Li Zhong

    2013-01-01

    Full Text Available A novel wood flour/SiO2/phenolic composite was chosen to be converted into porous SiC ceramic containing SiC whiskers via carbothermal reduction. At 1550°C the composite is converted into porous SiC ceramic with pore diameters of 10~40μm, and consisting of β-SiC located at the position of former wood cell walls. β-SiC wire-like whiskers of less than 50 nm in diameter and several tens to over 100 μm in length form within the pores. The surface of the resulting ceramic is coated with β-SiC necklace-like whiskers with diameters of 1~2μm.

  15. EPR parameters of E centers inν-SiO2 from first-principles calculations

    International Nuclear Information System (INIS)

    Giacomazzi, Luigi; Martin-Samos, L.; Boukenter, A.; Ouerdane, Y.; Girard, S.; Richard, N.

    2014-01-01

    A first-principles investigation of E' centers in vitreous silica (ν-SiO 2 ) based on calculations of the electron paramagnetic resonance (EPR) parameters is presented. The EPR parameters are obtained by exploiting the gauge including projector augmented wave method as implemented in the QUANTUM-ESPRESSO package. First, we analyze the EPR parameters of a large number of Si 2 dimers. The g tensor of the Si 2 dimers is shown to possess an average rhombic symmetry and larger g principal values with respect to those observed, e.g., for the E 'γ center in silica. Furthermore, the g principal values clearly show a linear trend with the Si-Si dimer length. Our results suggest that the Si 2 dimers could correspond to an unidentified paramagnetic center, though occasionally the calculated g principal values of the Si 2 dimer might be compatible with those found experimentally for the E' δ center. Next, we generate non dimer configurations by a procedure involving structural relaxations in the subsequent positively charged states. In particular, puckered, un-puckered, doubly puckered, and forward-oriented configurations are generated. The distributions of the calculated EPR parameters of the puckered and un-puckered configurations further support the assignment of the E' γ center to an unpaired spin localized at a threefold coordinated silicon dangling bond. Moreover, by analyzing Fermi contacts and g tensors of the puckered and forward-oriented configurations, we suggest the assignment of the E' α center to the latter type of configurations. This work also suggests that the differences in the EPR parameters of E' α and E' γ centers mainly arise from the strained geometry of the silicon dangling bond. In the forward-oriented configurations, one Si-O bond is about 0.2 Angstroms longer than the remaining two, whereas in the silicon dangling bond of the puckered and un-puckered configurations, all three bonds have a length of ≅1

  16. SiGe Based Low Temperature Electronics for Lunar Surface Applications

    Science.gov (United States)

    Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John

    2012-01-01

    The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.

  17. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  18. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  19. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  20. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  1. Electroplating chromium on CVD SiC and SiCf-SiC advanced cladding via PyC compatibility coating

    Science.gov (United States)

    Ang, Caen; Kemery, Craig; Katoh, Yutai

    2018-05-01

    Electroplating Cr on SiC using a pyrolytic carbon (PyC) bond coat is demonstrated as an innovative concept for coating of advanced fuel cladding. The quantification of coating stress, SEM morphology, XRD phase analysis, and debonding test of the coating on CVD SiC and SiCf-SiC is shown. The residual tensile stress (by ASTM B975) of electroplated Cr is > 1 GPa prior to stress relaxation by microcracking. The stress can remove the PyC/Cr layer from SiC. Surface etching of ∼20 μm and roughening to Ra > 2 μm (by SEM observation) was necessary for successful adhesion. The debonding strength (by ASTM D4541) of the coating on SiC slightly improved from 3.6 ± 1.4 MPa to 5.9 ± 0.8 MPa after surface etching or machining. However, this improvement is limited due to the absence of an interphase, and integrated CVI processing may be required for further advancement.

  2. Effect of germanium concentrations on tunnelling current calculation of Si/Si1-xGex/Si heterojunction bipolar transistor

    Science.gov (United States)

    Hasanah, L.; Suhendi, E.; Khairrurijal

    2018-05-01

    Tunelling current calculation on Si/Si1-xGex/Si heterojunction bipolar transistor was carried out by including the coupling between transversal and longitudinal components of electron motion. The calculation results indicated that the coupling between kinetic energy in parallel and perpendicular to S1-xGex barrier surface affected tunneling current significantly when electron velocity was faster than 1x105 m/s. This analytical tunneling current model was then used to study how the germanium concentration in base to Si/Si1-xGex/Si heterojunction bipolar transistor influenced the tunneling current. It is obtained that tunneling current increased as the germanium concentration given in base decreased.

  3. Adsorption of 1,3-butadiene on Si(111)7x7 surface

    International Nuclear Information System (INIS)

    An, K.-S.; Kim, Y.; Baik, J.Y.; Park, C.-Y.; Kim, B.

    2004-01-01

    Full text: The adsorption of 1,3-butadiene (BD : C 4 H 10 ) on the Si(111)7x7 surface has been investigated using synchrotron radiation photoemission spectroscopy and scanning tunneling microscopy. BD adsorbs on the Si(111)7x7 surface at room temperature, not only adatom-rest-atom bridging but also adatom-adatom bridging type. In the valence band spectrum for the Si(111)7x7 surface, the adatom and rest-atom states were observed at the binding energies of about 0.2 and 0.8 eV, respectively. With increasing BD exposure, the adatom state is completely quenched at an initial exposure, while the rest-atom state disappears at higher exposure. This indicates two different reaction pathways of BD on the Si(111)7x7 surface. STM shows three different adsorption types on the 7x7 surface, two adatom-adatom bridging and one adatom-rest-atom bridging types. By comparing the valence band and Si 2p core level spectra with STM images, it was found that the chemical reactivity of BD molecule with the adatom-rest-atom pair is strongly higher than the adatom-adatom pair

  4. Ag/SiO2 surface-enhanced Raman scattering substrate for plasticizer detection

    Science.gov (United States)

    Wu, Ming-Chung; Lin, Ming-Pin; Lin, Ting-Han; Su, Wei-Fang

    2018-04-01

    In this study, we demonstrated a simple method of fabricating a high-performance surface-enhanced Raman scattering (SERS) substrate. Monodispersive SiO2 colloidal spheres were self-assembled on a silicon wafer, and then a silver layer was coated on it to obtain a Ag/SiO2 SERS substrate. The Ag/SiO2 SERS substrates were used to detect three kinds of plasticizer with different concentrations, namely, including bis(2-ethylhexyl)phthalate (DEHP), benzyl butyl phthalate (BBP), and dibutyl phthalate (DBP). The enhancement of Raman scattering intensity caused by surface plasmon resonance can be observed using the Ag/SiO2 SERS substrates. The Ag/SiO2 SERS substrate with a 150-nm-thick silver layer can detect plasticizers, and it satisfies the detection limit of plasticizers at 100 ppm. The developed highly sensitive Ag/SiO2 SERS substrates show a potential for the design and fabrication of functional sensors to identify the harmful plasticizers that plastic products release in daily life.

  5. Pd adsorption on Si(1 1 3) surface: STM and XPS study

    International Nuclear Information System (INIS)

    Hara, Shinsuke; Yoshimura, Masamichi; Ueda, Kazuyuki

    2008-01-01

    Pd-induced surface structures on Si(1 1 3) have been studied by scanning tunneling microscopy (STM) and X-ray photoelectron spectroscopy (XPS). In the initial process of the Pd adsorption below 0.10 ML, Pd silicide (Pd 2 Si) clusters are observed to form randomly on the surface. By increasing the Pd coverage to 0.10 ML, the clusters cover the entire surface, and an amorphous layer is formed. After annealing the Si(1 1 3)-Pd surface at 600 deg. C, various types of islands and chain protrusions appears. The agglomeration, coalescence and crystallization of these islands are observed by using high temperature (HT-) STM. It is also found by XPS that the islands correspond to Pd 2 Si structure. On the basis of these results, evolution of Pd-induced structures at high temperatures is in detail discussed

  6. Novel pathways for elimination of chlorine atoms from growing Si(100) surfaces in CVD reactors

    Science.gov (United States)

    Kunioshi, Nílson; Hagino, Sho; Fuwa, Akio; Yamaguchi, Katsunori

    2018-05-01

    Reactions leading to elimination of chlorine atoms from growing Si(100) surfaces were simulated using clusters of silicon atoms of different sizes and shapes, and at the UB3LYP/6-31 g(d,p) level of theory. The reactions of type SiCl2(s) + 2 H2(g), where (s) indicates an adsorbed species at the surface and (g) a gas-phase species, were found to proceed in two steps: SiCl2(s) + H2(g) → SiHCl(s) + HCl(g) and SiHCl(s) + H2(g) → SiH2(s) + HCl(g), each having activation energies around 55 kcal/mol, a value which is comparable to experimental values published in the literature. In addition, the results suggested that H-passivation of Si(100) surfaces support reactions leading to canonical epitaxial growth, providing a plausible explanation for the convenience of passivating the surfaces prior to silicon deposition. The reactions analyzed here can therefore be seen as important steps in the mechanism of epitaxial growth of Si(100) surfaces.

  7. Hybrid Integrated Si/SiN Platforms for Wideband Optical Processing

    Science.gov (United States)

    2017-05-08

    annealing process, makes the process prone to dopant redistribution, that hinderers the SiN deposition after full Si device fabrication. To resolve...with 220 nm of crystalline Si. In parallel, a Si die goes through a wet oxidation process to grow 5 μm of thermal oxide. In the next step, 400 nm of... annealing methods. As a figure of merit in hydrophilic bonding, we monitored the surface roughness and bonding strength of a thin oxide layer to

  8. DNA immobilization on polymer-modified Si surface by controlling pH

    International Nuclear Information System (INIS)

    Demirel, Goekcen Birlik; Caykara, Tuncer

    2009-01-01

    A novel approach based on polymer-modified Si surface as DNA sensor platforms is presented. The polymer-modified Si surface was prepared by using 3-(methacryloxypropyl)trimethoxysilane [γ-MPS] and poly(acrylamide) [PAAm]. Firstly, a layer of γ-MPS was formed on the hydroxylated silicon surface as a monolayer and then modified with different molecular weight of PAAm to form polymer-modified surface. The polymer-modified Si surface was used for dsDNA immobilization. All steps about formation of layer structure were characterized by ellipsometry, atomic force microscopy (AFM), attenuated total reflectance Fourier transformed infrared (ATR-FTIR), and contact angle (CA) measurements. We found that in this case the amount of dsDNA immobilized onto the surface was dictated by the electrostatic interaction between the substrate surface and the DNA. Our results thus demonstrated that DNA molecules could be immobilized differently onto the polymer-modified support surface via electrostatic interactions.

  9. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    Science.gov (United States)

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  10. Adsorption Mechanisms of NH3 on Chlorinated Si(100)-2 x 1 Surface

    International Nuclear Information System (INIS)

    Lee, Hee Soon; Choi, Cheol Ho

    2012-01-01

    The potential energy surfaces of ammonia molecule adsorptions on the symmetrically chlorinated Si(100)- 2 x 1 surface were explored with SIMOMM:MP2/6-31G(d). It was found that the initial nucleophilic attack by ammonia nitrogen to the surface Si forms a S N 2 type transition state, which eventually leads to an HCl molecular desorption. The second ammonia molecule adsorption requires much less reaction barrier, which can be rationalized by the surface cooperative effect. In general, it was shown that the surface Si-Cl bonds can be easily subjected to the substitution reactions by ammonia molecules yielding symmetric surface Si-NH 2 bonds, which can be a good initial template for subsequent surface chemical modifications. The ammonia adsorptions are in general more facile than the corresponding water adsorption, since ammonia is better nucleophile

  11. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  12. Light trapping of crystalline Si solar cells by use of nanocrystalline Si layer plus pyramidal texture

    Energy Technology Data Exchange (ETDEWEB)

    Imamura, Kentaro; Nonaka, Takaaki; Onitsuka, Yuya; Irishika, Daichi; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • Ultralow reflectivity Si wafers with light trapping effect can be obtained by forming a nanocrystalline Si layer on pyramidal textured Si surfaces. • Surface passivation using phosphosilicate glass improved minority carrier lifetime of the nanocrystalline Si layer/Si structure. • A high photocurrent density of 40.1 mA/cm{sup 2}, and a high conversion efficiency of 18.5% were achieved. - Abstract: The surface structure chemical transfer (SSCT) method has been applied to fabrication of single crystalline Si solar cells with 170 μm thickness. The SSCT method, which simply involves immersion of Si wafers in H{sub 2}O{sub 2} plus HF solutions and contact of Pt catalyst with Si taking only ∼30 s for 6 in. wafers, can decrease the reflectivity to less than 3% by the formation of a nanocrystalline Si layer. However, the reflectivity of the nanocrystalline Si layer/flat Si surface/rear Ag electrode structure in the wavelength region longer than 1000 nm is high because of insufficient absorption of incident light. The reflectivity in the long wavelength region is greatly decreased by the formation of the nanocrystalline Si layer on pyramidal textured Si surfaces due to an increase in the optical path length. Deposition of phosphosilicate glass (PSG) on the nanocrystalline Si layer for formation of pn-junction does not change the ultralow reflectivity because the surface region of the nanocrystalline Si layer possesses a refractive index of 1.4 which is nearly the same as that of PSG of 1.4–1.5. The PSG layer is found to passivate the nanocrystalline Si layer, which is evident from an increase in the minority carrier lifetime from 12 to 44 μs. Hydrogen treatment at 450 °C further increases the minority carrier lifetime approximately to a doubled value. The solar cells with the Si layer/pyramidal Si substrate/boron-diffused back surface field/Ag rear electrode> structure show a high conversion efficiency of 18

  13. Theoretical modelling of tip effects in the pushing manipulation of C60 on the Si(001) surface

    International Nuclear Information System (INIS)

    Martsinovich, N; Kantorovich, L

    2008-01-01

    We present the results of our theoretical studies on the repulsive (pushing) manipulation of a C 60 molecule on the Si(001) surface with several scanning tunnelling microscopy tips. We show that, for silicon tips, tip-C 60 bonds are formed even with tips that do not initially have dangling bonds, and this tip-C 60 interaction drives the manipulation of the molecule. The details of the atomic structure of the tip and its position relative to the molecule do not have a significant effect on the mechanism and the sequence of adsorption configurations during the pushing manipulation of C 60 along the trough, where the trough itself provides a guiding effect. The pushing manipulation is thus a very robust process that occurs largely independently of the tip structure. On the other hand, the pushing manipulation across an Si-Si dimer row into the neighbouring trough proceeds in a more complex way, with tip deformation and detachment more likely to occur. We demonstrate the role of tip deformation and tip-molecule bond rearrangement in the continuous manipulation of the molecule. Finally, we calculate and analyse the forces acting on the tip during manipulation and identify characteristic patterns

  14. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  15. Investigating the effect of silicon surface chemical treatment on Al/Si contact properties in GaP/Si solar cells

    Science.gov (United States)

    Kudryashov, D.; Gudovskikh, A.

    2018-03-01

    In the present work, experimental studies have been carried out to reveal how chemical treatment of a silicon surface affects the properties of the Al/Si contact. It has been shown that for p-type monocrystalline silicon substrates with a resistivity of 10 ohm cm, it is possible to form an ohmic Al/Si contact by magnetron sputtering of an aluminum thin film and its further annealing at temperatures of 400 - 450 °C. In the range of annealing temperatures of 250 - 400 °C, the Si substrate treatment in the HF solution leads to a significant increase in currents on the current-voltage curves of the Al/Si contact, while in the range of 450 - 700 °C, the effect of chemical treatment of the silicon is not detected.

  16. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  17. Alkane metathesis with the tantalum methylidene [(≡SiO)Ta(=CH2)Me2]/[(≡SiO)2Ta(=CH2)Me] generated from well-defined surface organometallic complex [(≡SiO)TaVMe4

    KAUST Repository

    Chen, Yin; Abou-Hamad, Edy; Hamieh, Ali Imad Ali; Hamzaoui, Bilel; Emsley, Lyndon; Basset, Jean-Marie

    2015-01-01

    By grafting TaMe5 on Aerosil700, a stable, well-defined, silica-supported tetramethyl tantalum(V) complex, [(≡SiO)TaMe4], is obtained on the silica surface. After thermal treatment at 150 °C, the complex is transformed into two surface tantalum methylidenes, [(≡SiO)2Ta(=CH2)Me] and [(≡SiO)Ta(=CH2)Me2], which are active in alkane metathesis and comparable to the previously reported [(≡SiO)2TaHx]. Here we present the first experimental study to isolate and identify a surface tantalum carbene as the intermediate in alkane metathesis. A systematic experimental study reveals a new reasonable pathway for this reaction.

  18. Alkane metathesis with the tantalum methylidene [(≡SiO)Ta(=CH2)Me2]/[(≡SiO)2Ta(=CH2)Me] generated from well-defined surface organometallic complex [(≡SiO)TaVMe4

    KAUST Repository

    Chen, Yin

    2015-01-21

    By grafting TaMe5 on Aerosil700, a stable, well-defined, silica-supported tetramethyl tantalum(V) complex, [(≡SiO)TaMe4], is obtained on the silica surface. After thermal treatment at 150 °C, the complex is transformed into two surface tantalum methylidenes, [(≡SiO)2Ta(=CH2)Me] and [(≡SiO)Ta(=CH2)Me2], which are active in alkane metathesis and comparable to the previously reported [(≡SiO)2TaHx]. Here we present the first experimental study to isolate and identify a surface tantalum carbene as the intermediate in alkane metathesis. A systematic experimental study reveals a new reasonable pathway for this reaction.

  19. Irradiation effect on Nite-SiC/SiC composites

    International Nuclear Information System (INIS)

    Hinoki, T.; Choi, Y.B.; Kohyama, A.; Ozawa, K.

    2007-01-01

    Full text of publication follows: Silicon carbide (SiC) and SiC composites are significantly attractive materials for nuclear application in particular due to exceptional low radioactivity, excellent high temperature mechanical properties and chemical stability. Despite of the excellent potential of SiC/SiC composites, the prospect of industrialization has not been clear mainly due to the low productivity and the high material cost. Chemical vapor infiltration (CVI) method can produce the excellent SiC/SiC composites with highly crystalline and excellent mechanical properties. It has been reported that the high purity SiC/SiC composites reinforced with highly crystalline fibers and fabricated by CVI method is very stable to neutron irradiation. However the production cost is high and it is difficult to fabricate thick and dense composites by CVI method. The novel processing called Nano-powder Infiltration and Transient Eutectic Phase (NITE) Processing has been developed based on the liquid phase sintering (LPS) process modification. The NITE processing can achieve both the excellent material quality and the low processing cost. The productivity of the processing is also excellent, and various kinds of shape and size of SiC/SiC composites can be produced by the NITE processing. The NITE processing can form highly crystalline matrix, which is requirement for nuclear application. The objective of this work is to understand irradiation effect of the NITESiC/SiC composites. The SiC/SiC composites used were reinforced with high purity SiC fibers, Tyranno TM SA and fabricated by the NITE method. The NITE-SiC/SiC composite bars and reference monolithic SiC bars fabricated by CVI and NITE were irradiated at up to 1.0 dpa and 600-1000 deg. C at JMTR, Japan. Mechanical properties of non-irradiated and irradiated NITESiC/ SiC composites bars were evaluated by tensile tests. Monolithic SiC bars were evaluated by flexural tests. The fracture surface was examined by SEM. Ultimate

  20. High resolution investigation of the 30Si(þ, þ)30Si reaction

    NARCIS (Netherlands)

    Walinga, J.; Rinsvelt, H.A. van; Endt, P.M.

    The differential cross section for elastic scattering of protons on 30Si was measured with surface barrier counters at four angles. Thirty-six 30Si(þ, γ)31P resonances are known in the Ep=1–2MeV region. Fifteen of these were also observed in the 30Si(þ, þ)30Si reaction, with natural widths varying

  1. Comparison of structural re-organisations observed on pre-patterned vicinal Si(1 1 1) and Si(1 0 0) surfaces during heat treatment

    International Nuclear Information System (INIS)

    Kraus, A.; Neddermeyer, H.; Wulfhekel, W.; Sander, D.; Maroutian, T.; Dulot, F.; Martinez-Gil, A.; Hanbuecken, M.

    2004-01-01

    The creation of distinct, periodically structured vicinal Si(1 1 1) and (1 0 0) substrates has been studied using scanning tunnelling microscopy at various temperatures. The vicinal Si(1 1 1) and (1 0 0) surfaces transform under heat treatment in a self-organised way into flat and stepped regions. Optical and electron beam lithography is used to produce a regular hole pattern on the surfaces, which interferes with the temperature-driven morphological changes. The step motions are strongly influenced by this pre-patterning. Pre-patterned Si(1 1 1) surfaces transform into regular one-dimensional (1D) and two-dimensional (2D) morphologies, which consist of terraces and arrangements of step bunches and facets. On pre-patterned Si(1 0 0) substrates different re-organisations were observed where checkerboard-like 2D structures are obtained

  2. Residual stresses and mechanical properties of Si3N4/SiC multilayered composites with different SiC layers

    International Nuclear Information System (INIS)

    Liua, S.; Lia, Y.; Chena, P.; Lia, W.; Gaoa, S.; Zhang, B.; Yeb, F.

    2017-01-01

    The effect of residual stresses on the strength, toughness and work of fracture of Si3N4/SiC multilayered composites with different SiC layers has been investigated. It may be an effective way to design and optimize the mechanical properties of Si3N4/SiC multilayered composites by controlling the properties of SiC layers. Si3N4/SiC multilayered composites with different SiC layers were fabricated by aqueous tape casting and pressureless sintering. Residual stresses were calculated by using ANSYS simulation, the maximum values of tensile and compressive stresses were 553.2MPa and −552.1MPa, respectively. Step-like fracture was observed from the fracture surfaces. Fraction of delamination layers increased with the residual stress, which can improve the reliability of the materials. Tensile residual stress was benefit to improving toughness and work of fracture, but the strength of the composites decreased. [es

  3. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    concentrations. Very high room temperature μ 0 , has been achieved from Si 0.81 Ge 0.19 P-MOSFETs fabricated using the PMOS process. Its average μ 0 is 620 cm 2 /V.s which is more than two times the mobility of the surface channel Si controls. The CMOS process also yield relatively high hole mobility with comparable electron mobility. The results give an optimistic picture for Si/SiGe CMOS as the future powerful device generation. (author)

  4. Direct UV/Optical Imaging of Stellar Surfaces: The Stellar Imager (SI) Vision Mission

    Science.gov (United States)

    Carpenter, Kenneth G.; Lyon, Richard G.; Schrijver, Carolus; Karovska, Margarita; Mozurkewich, David

    2007-01-01

    The Stellar Imager (SI) is a UV/optical, space-based interferometer designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and, via asteroseismology, stellar interiors and of the Universe in general. SI's science focuses on the role of magnetism in the Universe, particularly on magnetic activity on the surfaces of stars like the Sun. SI's prime goal is to enable long-term forecasting of solar activity and the space weather that it drives, in support of the Living with a Star program in the Exploration Era. SI will also revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes in thc Universe. SI is a "Flagship and Landmark Discovery Mission" in the 2005 Sun Solar System Connection (SSSC) Roadmap and a candidate for a "Pathways to Life Observatory" in the Exploration of the Universe Division (EUD) Roadmap. We discuss herein the science goals of the SI Mission, a mission architecture that could meet those goals, and the technologies needed to enable this mission. Additional information on SI can be found at: http://hires.gsfc.nasa.gov/si/.

  5. Nitrogen ion induced nitridation of Si(111) surface: Energy and fluence dependence

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India); ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Kumar, Mahesh [Physics and Energy Harvesting Group, National Physical Laboratory, New Delhi 110012 (India); Nötzel, R. [ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Shivaprasad, S.M., E-mail: smsprasad@jncasr.ac.in [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India)

    2014-06-01

    We present the surface modification of Si(111) into silicon nitride by exposure to energetic N{sub 2}{sup +} ions. In-situ UHV experiments have been performed to optimize the energy and fluence of the N{sub 2}{sup +} ions to form silicon nitride at room temperature (RT) and characterized in-situ by X-ray photoelectron spectroscopy. We have used N{sub 2}{sup +} ion beams in the energy range of 0.2–5.0 keV of different fluence to induce surface reactions, which lead to the formation of Si{sub x}N{sub y} on the Si(111) surface. The XPS core level spectra of Si(2p) and N(1s) have been deconvoluted into different oxidation states to extract qualitative information, while survey scans have been used for quantifying of the silicon nitride formation, valence band spectra show that as the N{sub 2}{sup +} ion fluence increases, there is an increase in the band gap. The secondary electron emission spectra region of photoemission is used to evaluate the change in the work function during the nitridation process. The results show that surface nitridation initially increases rapidly with ion fluence and then saturates. - Highlights: • A systematic study for the formation of silicon nitride on Si(111). • Investigation of optimal energy and fluence for energetic N{sub 2}{sup +} ions. • Silicon nitride formation at room temperature on Si(111)

  6. Pressureless sintering of dense Si3N4 and Si3N4/SiC composites with nitrate additives

    International Nuclear Information System (INIS)

    Kim, J.Y.; Iseki, Takayoshi; Yano, Toyohiko

    1996-01-01

    The effect of aluminum and yttrium nitrate additives on the densification of monolithic Si 3 N 4 and a Si 3 N 4 /SiC composite by pressureless sintering was compared with that of oxide additives. The surfaces of Si 3 N 4 particles milled with aluminum and yttrium nitrates, which were added as methanol solutions, were coated with a different layer containing Al and Y from that of Si 3 N 4 particles milled with oxide additives. Monolithic Si 3 N 4 could be sintered to 94% of theoretical density (TD) at 1,500 C with nitrate additives. The sintering temperature was about 100 C lower than the case with oxide additives. After pressureless sintering at 1,750 C for 2 h in N 2 , the bulk density of a Si 3 N 4 /20 wt% SiC composite reached 95% TD with nitrate additives

  7. Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    International Nuclear Information System (INIS)

    Hai-Qing, Xiao; Chun-Lan, Zhou; Xiao-Ning, Cao; Wen-Jing, Wang; Lei, Zhao; Hai-Ling, Li; Hong-Wei, Diao

    2009-01-01

    Al 2 O 3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 10 12 cm −2 is detected in the Al 2 O 3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO 2 and plasma enhanced chemical vapor deposition SiN x :H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al 2 O 3 . (cross-disciplinary physics and related areas of science and technology)

  8. Ordering at Si(111)/o-Si and Si(111)/SiO2 Interfaces

    DEFF Research Database (Denmark)

    Robinson, I. K.; Waskiewicz, W. K.; Tung, R. T.

    1986-01-01

    X-ray diffraction has been used to measure the intensity profile of the two-dimensional rods of scattering from a single interface buried inside a bulk material. In both Si(111)/a-Si and Si(111)/SiO2 examples there are features in the perpendicular-momentum-transfer dependence which are not expec...... are not expected from an ideal sharp interface. The diffraction profiles are explained by models with partially ordered layers extending into the amorphous region. In the Si(111)/a-Si case there is clear evidence of stacking faults which are attributed to residual 7×7 reconstruction....

  9. Influences of H on the Adsorption of a Single Ag Atom on Si(111-7 × 7 Surface

    Directory of Open Access Journals (Sweden)

    Lin Xiu-Zhu

    2009-01-01

    Full Text Available Abstract The adsorption of a single Ag atom on both clear Si(111-7 × 7 and 19 hydrogen terminated Si(111-7 × 7 (hereafter referred as 19H-Si(111-7 × 7 surfaces has been investigated using first-principles calculations. The results indicated that the pre-adsorbed H on Si surface altered the surface electronic properties of Si and influenced the adsorption properties of Ag atom on the H terminated Si surface (e.g., adsorption site and bonding properties. Difference charge density data indicated that covalent bond is formed between adsorbed Ag and H atoms on 19H-Si(111-7 × 7 surface, which increases the adsorption energy of Ag atom on Si surface.

  10. Growth of graphene from SiC{0001} surfaces and its mechanisms

    International Nuclear Information System (INIS)

    Norimatsu, Wataru; Kusunoki, Michiko

    2014-01-01

    Graphene, a one-atom-layer carbon material, can be grown by thermal decomposition of SiC. On Si-terminated SiC(0001), graphene nucleates at steps and grows layer-by-layer, and as a result a homogeneous monolayer or bilayer can be obtained. We demonstrate this mechanism both experimentally and theoretically. On the C-face (000 1-bar ), multilayer graphene nucleates not only at steps, but also on the terraces. These differences reflect the distinct differences in the reactivity of these faces. Due to its high quality and structural controllability, graphene on SiC{0001} surfaces will be a platform for high-speed graphene device applications. (paper)

  11. Ni3Si surface-film formation caused by radiation-induced segregation

    International Nuclear Information System (INIS)

    Potter, D.I.; Rehn, L.E.; Okamoto, P.R.; Wiedersich, H.

    1977-01-01

    Several advanced alloys being considered for reactor applications contain the ordered γ' phase Ni 3 X in which the X component is frequently Al, Si and/or Ti. These alloys are precipitation hardened, and their strength depends upon the volume fraction, size, and spatial distribution of the coherent γ' precipitate. The investigation shows that a substantial Ni 3 Si precipitate film forms on the surface of irradiated specimens of solid-solution as well as two-phase Ni-Si alloys

  12. Characterization of Si(112) and In/Si(112) studied by SPA-LEED

    Energy Technology Data Exchange (ETDEWEB)

    Hoecker, Jan; Speckmann, Moritz; Schmidt, Thomas; Falta, Jens [Institute of Solid State Physics, University of Bremen, 28359 Bremen (Germany)

    2010-07-01

    High index surfaces are of strong interest in todays research because of the possibility to grow low dimensional structures. It has for instance already been shown that the adsorption of Ga can induce the formation of 1D metal chains on Si(112) (cf. Snijders et al., PRB 72, 2005). In this work we investigated the clean Si(112) surface and the adsorption of In on Si(112) to establish an analogy to Ga/Si(112) using spot profile analyzing low energy electron diffraction (SPA-LEED). By means of reciprocal space mapping we determined the bare Si(112) surface to be decomposed into alternating (5512) and (111) facets in [1 anti 10] direction with (2 x 1) and (7 x 7) reconstruction, respectively (cf. Baski et al., Surf. Sci. 392, 1997). With SPA-LEED we were able to observe the decreasing intensity of the facet spots in-situ while depositing In on Si(112) and thus reveal the smoothening of the surface due to the deposition of In. At saturation coverage we found a (3.x1) reconstruction, where x is dependent on the deposition temperature and changes from x=7 at 400 C to x=5 at 500 C. This leads us to the assumption that the reconstruction is not incommensurate but a mixture of (3 x 1) and (4 x 1) building blocks, which is very similar to the super structure of Ga on Si(112).

  13. The Stellar Imager (SI) Project: Resolving Stellar Surfaces, Interiors, and Magnetic Activity

    Science.gov (United States)

    Carpenter, Kenneth G.; Schrijver, K.; Karovska, M.

    2007-01-01

    The Stellar Imager (SI) is a UV/Optical. Space-Based Interferometer designed to enable 0.1 milli-arcsec (mas) spectral imaging of stellar surfaces and, via asteroseismology, stellar interiors and of the Universe in general. The ultra-sharp images of SI will revolutionize our view of many dynamic astrophysical processes by transforming point sources into extended sources, and snapshots into evolving views. The science of SI focuses on the role of magnetism in the Universe, particularly on magnetic activity on the surfaces of stars like the Sun. Its prime goal is to enable long-term forecasting of solar activity and the space weather that it drives. SI will also revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes in the Universe. In this paper we discuss the science goals, technology needs, and baseline design of the SI mission.

  14. Synthesis and structural property of Si nanosheets connected to Si nanowires using MnCl{sub 2}/Si powder source

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Erchao [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan); Ueki, Akiko [Toyota Central R& D Labs., Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192 (Japan); Meng, Xiang [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan); Suzuki, Hiroaki [Graduate School of Engineering, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan); Itahara, Hiroshi [Toyota Central R& D Labs., Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192 (Japan); Tatsuoka, Hirokazu, E-mail: tatsuoka.hirokazu@shizuoka.ac.jp [Graduate School of Integrated Science and Technology, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan)

    2016-08-15

    Graphical abstract: Si nanosheets connected to Si nanowires synthesized using a MnCl{sub 2}/Si powder source with an Au catalyst avoid the use of air-sensitive SiH{sub 4} or SiCl{sub 4}. It was evident from these structural features of the nanosheets (leaf blade) with nanowires (petiole) that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes of the Si(111) nanosheets was clearly explained by the interference with the extra diffraction spots that arose due to the reciprocal lattice streaking effect. - Highlights: • New Si nanosheets connected to Si nanowires were synthesized using MnCl{sub 2}/Si powders. • The synthesis method has benefits in terms of avoiding air sensitive SiH{sub 4} or SiCl{sub 4}. • Structural property and electron diffraction of the Si nanosheets were clarified. • Odd lattice fringes of the Si nanosheets observed by HRTEM were clearly explained. - Abstract: Si nanosheets connected to Si nanowires were synthesized using a MnCl{sub 2}/Si powder source with an Au catalyst. The synthesis method has benefits in terms of avoiding conventionally used air-sensitive SiH{sub 4} or SiCl{sub 4}. The existence of the Si nanosheets connected to the Si<111> nanowires, like sprouts or leaves with petioles, was observed, and the surface of the nanosheets was Si{111}. The nanosheets were grown in the growth direction of <211> perpendicular to that of the Si nanowires. It was evident from these structural features of the nanosheets that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes, which do not appear for Si bulk crystals, of the Si(111) nanosheets obtained by high resolution transmission electron microscopy was clearly explained due to the extra diffraction spots that arose by the reciprocal lattice streaking effect.

  15. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  16. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  17. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  18. Ultrathin SiO{sub 2} layer formed by the nitric acid oxidation of Si (NAOS) method to improve the thermal-SiO{sub 2}/Si interface for crystalline Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Taketoshi; Nakajima, Hiroki; Irishika, Daichi; Nonaka, Takaaki; Imamura, Kentaro; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • The density of interface states at the SiO{sub 2}/Si interface is decreased by NAOS. • The minority carrier lifetime is increased by the NAOS treatment. • Great interfacial properties of the NAOS layer are kept after thermal oxidation. - Abstract: A combination of the nitric acid oxidation of Si (NAOS) method and post-thermal oxidation is found to efficiently passivate the SiO{sub 2}/n-Si(100) interface. Thermal oxidation at 925 °C and annealing at 450 °C in pure hydrogen atmosphere increases the minority carrier lifetime by three orders of magnitude, and it is attributed to elimination of Si dangling bond interface states. Fabrication of an ultrathin, i.e., 1.1 nm, NAOS SiO{sub 2} layer before thermal oxidation and H{sub 2} annealing further increases the minority carrier lifetime by 30% from 8.6 to 11.1 ms, and decreased the interface state density by 10% from 6.9 × 10{sup 9} to 6.3 × 10{sup 9}eV{sup −1} cm{sup −2}. After thermal oxidation at 800 °C, the SiO{sub 2} layer on the NAOS-SiO{sub 2}/Si(100) structure is 2.26 nm thick, i.e., 0.24 nm thicker than that on the Si(100) surface, while after thermal oxidation at 925 °C, it is 4.2 nm thick, i.e., 0.4 nm thinner than that on Si(100). The chemical stability results from the higher atomic density of a NAOS SiO{sub 2} layer than that of a thermal oxide layer as reported in Ref. [28] (Asuha et al., 2002). Higher minority carrier lifetime in the presence of the NAOS layer indicates that the NAOS-SiO{sub 2}/Si interface with a low interface state density is preserved after thermal oxidation, which supports out-diffusion oxidation mechanism, by which a thermal oxide layer is formed on the NAOS SiO{sub 2} layer.

  19. Luminescence of solar cells with a-Si:H/c-Si heterojunctions

    Science.gov (United States)

    Zhigunov, D. M.; Il'in, A. S.; Forsh, P. A.; Bobyl', A. V.; Verbitskii, V. N.; Terukov, E. I.; Kashkarov, P. K.

    2017-05-01

    We have studied the electroluminescence (EL) and photoluminescence (PL) of solar cells containing a-Si:H/c-Si heterojunctions. It is established that both the EL and PL properties of these cells are determined by the radiative recombination of nonequilibrium carriers in crystalline silicon (c-Si). The external EL energy yield (efficiency) of solar cells with a-Si:H/c-Si heterojunctions at room temperature amounts to 2.1% and exceeds the value reached in silicon diode structures. This large EL efficiency can be explained by good passivation of the surface of crystalline silicon and the corresponding increase in lifetime of minority carrier s in these solar cells.

  20. Self-Assembled Si(111) Surface States: 2D Dirac Material for THz Plasmonics

    Science.gov (United States)

    Wang, Z. F.; Liu, Feng

    2015-07-01

    Graphene, the first discovered 2D Dirac material, has had a profound impact on science and technology. In the last decade, we have witnessed huge advances in graphene related fundamental and applied research. Here, based on first-principles calculations, we propose a new 2D Dirac band on the Si(111) surface with 1 /3 monolayer halogen coverage. The s p3 dangling bonds form a honeycomb superstructure on the Si(111) surface that results in an anisotropic Dirac band with a group velocity (˜106 m /s ) comparable to that in graphene. Most remarkably, the Si-based surface Dirac band can be used to excite a tunable THz plasmon through electron-hole doping. Our results demonstrate a new way to design Dirac states on a traditional semiconductor surface, so as to make them directly compatible with Si technology. We envision this new type of Dirac material to be generalized to other semiconductor surfaces with broad applications.

  1. Self-Assembled Si(111) Surface States: 2D Dirac Material for THz Plasmonics.

    Science.gov (United States)

    Wang, Z F; Liu, Feng

    2015-07-10

    Graphene, the first discovered 2D Dirac material, has had a profound impact on science and technology. In the last decade, we have witnessed huge advances in graphene related fundamental and applied research. Here, based on first-principles calculations, we propose a new 2D Dirac band on the Si(111) surface with 1/3 monolayer halogen coverage. The sp(3) dangling bonds form a honeycomb superstructure on the Si(111) surface that results in an anisotropic Dirac band with a group velocity (∼10(6)  m/s) comparable to that in graphene. Most remarkably, the Si-based surface Dirac band can be used to excite a tunable THz plasmon through electron-hole doping. Our results demonstrate a new way to design Dirac states on a traditional semiconductor surface, so as to make them directly compatible with Si technology. We envision this new type of Dirac material to be generalized to other semiconductor surfaces with broad applications.

  2. Electrical properties of SiO{sub 2}/SiC interfaces on 2°-off axis 4H-SiC epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Vivona, M., E-mail: marilena.vivona@imm.cnr.it [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Fiorenza, P. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Sledziewski, T.; Krieger, M. [Friedrich-Alexander-University (FAU) Erlangen-Nuremberg, Department of Physics, Staudtstrasse 7/Bld. A3, D-91058 Erlangen (Germany); Chassagne, T.; Zielinski, M. [NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex (France); Roccaforte, F. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy)

    2016-02-28

    Graphical abstract: - Highlights: • Processing and electrical characterization of MOS capacitors fabricated on 4H-SiC epilayers grown on 2°-off axis heavily doped substrates. • Excellent characteristics of the SiO{sub 2}/4H-SiC interface in terms of flatness, interface state density and oxide reliability. • Electrical behavior of the MOS devices comparable with that obtained for the state-of-the-art of 4°-off axis 4H-SiC material. • Demonstration of the maturity of the 2°-off axis material for application in 4H-SiC MOSFET device technology. - Abstract: In this paper, the electrical properties of the SiO{sub 2}/SiC interface on silicon carbide (4H-SiC) epilayers grown on 2°-off axis substrates were studied. After epilayer growth, chemical mechanical polishing (CMP) allowed to obtain an atomically flat surface with a roughness of 0.14 nm. Metal-oxide-semiconductor (MOS) capacitors, fabricated on this surface, showed an interface state density of ∼1 × 10{sup 12} eV{sup −1} cm{sup −2} below the conduction band, a value which is comparable to the standard 4°-off-axis material commonly used for 4H-SiC MOS-based device fabrication. Moreover, the Fowler–Nordheim and time-zero-dielectric breakdown analyses confirmed an almost ideal behavior of the interface. The results demonstrate the maturity of the 2°-off axis material for 4H-SiC MOSFET device fabrication.

  3. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  4. Haemocompatibility evaluation of DLC- and SiC-coated surfaces

    Directory of Open Access Journals (Sweden)

    Nurdin N.

    2003-06-01

    Full Text Available Diamond-like carbon (DLC and silicon carbide (SiC coatings are attractive because of low friction coefficient, high hardness, chemical inertness and smooth finish, which they provide to biomedical devices. Silicon wafers (Siwaf and silicone rubber (Sirub plates were coated using plasma-enhanced chemical vapour deposition (PE-CVD techniques. This article describes: 1- the characterization of modified surfaces using attenuated total reflection-Fourier transform infrared spectroscopy (ATR/FTIR and contact angle measurements, 2- the results of three in-vitro haemocompatibility assays. Coated surfaces were compared to uncoated materials and various substrates such as polymethylmethacrylate (PMMA, polyethylene (LDPE, polydimethylsiloxane (PDMS and medical steel (MS. Thrombin generation, blood platelet adhesion and complement convertase activity tests revealed the following classification, from the most to the least heamocompatible surface: Sirub/ DLC-Sirub/ DLC-Siwaf/ LDPE/ PDMS/ SiC-Siwaf/ Siwaf/ PMMA/ MS. The DLC coating surfaces delayed the clotting time, tended to inhibit the platelet and complement convertase activation, whereas SiC-coated silicon wafer can be considered as thrombogenic. This study has taken into account three events of the blood activation: coagulation, platelet activation and inflammation. The response to those events is an indicator of the in vitro haemocompatibility of the different surfaces and it allows us to select biomaterials for further in vivo blood contacting investigations.

  5. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  6. Effects of nano-SiO{sub 2} particles on surface tracking characteristics of silicone rubber composites

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong, E-mail: tjuliuyong@tju.edu.cn; Li, Zhonglei; Du, Boxue [Key Laboratory of Smart Grid of Ministry of Education (Tianjin University), School of Electrical Engineering and Automation, Tianjin University, Tianjin 300072 (China)

    2014-09-08

    Compared with neat silicone rubber composites (SiRCs), SiRCs filled with nano-sized SiO{sub 2} particles at weight ratios from 0.1 to 1.0 wt. % exhibit a higher surface flashover voltage and a greater resistance to surface tracking. Scanning electron microscopy images of tracking morphologies indicate that the SiO{sub 2} particles are situated in close proximity to the polymeric chains and act as bridges to stabilize the chains and maintain the structure of the composite. Higher concentrations of nano-sized SiO{sub 2} particles, however, (above 0.3 wt. %) produce defects in the molecular network which lead to reductions in both the surface flashover voltage and the resistance to surface tracking, although these reduced values are still superior to those of neat SiRCs. Therefore, SiRCs filled with nano-sized SiO{sub 2} particles, especially at an optimal weight ratio (0.1 to 0.3 wt. %), may have significant potential applications as outdoor insulators for power systems.

  7. Isotopic effects in vibrational relaxation dynamics of H on a Si(100) surface

    Science.gov (United States)

    Bouakline, F.; Lorenz, U.; Melani, G.; Paramonov, G. K.; Saalfrank, P.

    2017-10-01

    In a recent paper [U. Lorenz and P. Saalfrank, Chem. Phys. 482, 69 (2017)], we proposed a robust scheme to set up a system-bath model Hamiltonian, describing the coupling of adsorbate vibrations (system) to surface phonons (bath), from first principles. The method is based on an embedded cluster approach, using orthogonal coordinates for system and bath modes, and an anharmonic phononic expansion of the system-bath interaction up to second order. In this contribution, we use this model Hamiltonian to calculate vibrational relaxation rates of H-Si and D-Si bending modes, coupled to a fully H(D)-covered Si(100)-( 2 × 1 ) surface, at zero temperature. The D-Si bending mode has an anharmonic frequency lying inside the bath frequency spectrum, whereas the H-Si bending mode frequency is outside the bath Debye band. Therefore, in the present calculations, we only take into account one-phonon system-bath couplings for the D-Si system and both one- and two-phonon interaction terms in the case of H-Si. The computation of vibrational lifetimes is performed with two different approaches, namely, Fermi's golden rule, and a generalized Bixon-Jortner model built in a restricted vibrational space of the adsorbate-surface zeroth-order Hamiltonian. For D-Si, the Bixon-Jortner Hamiltonian can be solved by exact diagonalization, serving as a benchmark, whereas for H-Si, an iterative scheme based on the recursive residue generation method is applied, with excellent convergence properties. We found that the lifetimes obtained with perturbation theory, albeit having almost the same order of magnitude—a few hundred fs for D-Si and a couple of ps for H-Si—, are strongly dependent on the discretized numerical representation of the bath spectral density. On the other hand, the Bixon-Jortner model is free of such numerical deficiencies, therefore providing better estimates of vibrational relaxation rates, at a very low computational cost. The results obtained with this model clearly show

  8. AES study of the reaction between a thin Fe-film and β-SiC (100) surface

    International Nuclear Information System (INIS)

    Mizokawa, Yusuke; Nakanishi, Shigemitsu; Miyase, Sunao

    1989-01-01

    The solid state reaction between thin Fe-films and β-SiC(100) in UHV has been studied using AES. Even at room temperature, the reaction between the thin Fe-film and SiC occurred and formed Fe-silicide and graphite with a minor product of Fe-carbide (Fe 3 C). The reaction proceeded with an increase of Fe-coverage to some extent. With annealing of 15 A-Fe-film/SiC below 540degC, the Fe-silicide formation was accelerated, but because the amount of available Fe was small, the dissolved carbon atoms were forced to form not the Fe-carbide but the graphite phase. Above 640degC, the Fe-silicide started to decompose and the carbon atoms diffused to the surface and formed surface graphite layers. With annealing at 1080degC, the free-Si segregats at the surface and formed Si-Si bonds, as well as the Si-C bonds consuming the surface graphite phase. (author)

  9. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  10. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  11. Study of Si/Si, Si/SiO2, and metal-oxide-semiconductor (MOS) using positrons

    International Nuclear Information System (INIS)

    Leung, To Chi.

    1991-01-01

    A variable-energy positron beam is used to study Si/Si, Si/SiO 2 , and metal-oxide-semiconductor (MOS) structures. The capability of depth resolution and the remarkable sensitivity to defects have made the positron annihilation technique a unique tool in detecting open-volume defects in the newly innovated low temperature (300C) molecular-beam-epitaxy (MBE) Si/Si. These two features of the positron beam have further shown its potential role in the study of the Si/SiO 2 . Distinct annihilation characteristics has been observed at the interface and has been studied as a function of the sample growth conditions, annealing (in vacuum), and hydrogen exposure. The MOS structure provides an effective way to study the electrical properties of the Si/SiO 2 interface as a function of applied bias voltage. The annihilation characteristics show a large change as the device condition is changed from accumulation to inversion. The effect of forming gas (FG) anneal is studied using positron annihilation and the result is compared with capacitance-voltage (C-V) measurements. The reduction in the number of interface states is found correlated with the changes in the positron spectra. The present study shows the importance of the positron annihilation technique as a non-contact, non-destructive, and depth-sensitive characterization tool to study the Si-related systems, in particular, the Si/SiO 2 interface which is of crucial importance in semiconductor technology, and fundamental understanding of the defects responsible for degradation of the electrical properties

  12. Methods for growth of relatively large step-free SiC crystal surfaces

    Science.gov (United States)

    Neudeck, Philip G. (Inventor); Powell, J. Anthony (Inventor)

    2002-01-01

    A method for growing arrays of large-area device-size films of step-free (i.e., atomically flat) SiC surfaces for semiconductor electronic device applications is disclosed. This method utilizes a lateral growth process that better overcomes the effect of extended defects in the seed crystal substrate that limited the obtainable step-free area achievable by prior art processes. The step-free SiC surface is particularly suited for the heteroepitaxial growth of 3C (cubic) SiC, AlN, and GaN films used for the fabrication of both surface-sensitive devices (i.e., surface channel field effect transistors such as HEMT's and MOSFET's) as well as high-electric field devices (pn diodes and other solid-state power switching devices) that are sensitive to extended crystal defects.

  13. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  14. SiNx layers on nanostructured Si solar cells: Effective for optical absorption and carrier collection

    International Nuclear Information System (INIS)

    Cho, Yunae; Kim, Eunah; Gwon, Minji; Kim, Dong-Wook; Park, Hyeong-Ho; Kim, Joondong

    2015-01-01

    We compared nanopatterned Si solar cells with and without SiN x layers. The SiN x layer coating significantly improved the internal quantum efficiency of the nanopatterned cells at long wavelengths as well as short wavelengths, whereas the surface passivation helped carrier collection of flat cells mainly at short wavelengths. The surface nanostructured array enhanced the optical absorption and also concentrated incoming light near the surface in broad wavelength range. Resulting high density of the photo-excited carriers near the surface could lead to significant recombination loss and the SiN x layer played a crucial role in the improved carrier collection of the nanostructured solar cells

  15. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  16. Low-temperature Au/a-Si wafer bonding

    International Nuclear Information System (INIS)

    Jing, Errong; Xiong, Bin; Wang, Yuelin

    2011-01-01

    The Si/SiO 2 /Ti/Au–Au/Ti/a-Si/SiO 2 /Si bonding structure, which can also be used for the bonding of non-silicon material, was investigated for the first time in this paper. The bond quality test showed that the bond yield, bond repeatability and average shear strength are higher for this bonding structure. The interfacial microstructure analysis indicated that the Au-induced crystallization of the amorphous silicon process leads to big Si grains extending across the bond interface and Au filling the other regions of the bond interface, which result into a strong and void-free bond interface. In addition, the Au-induced crystallization reaction leads to a change in the IR images of the bond interface. Therefore, the IR microscope can be used to evaluate and compare the different bond strengths qualitatively. Furthermore, in order to verify the superiority of the bonding structure, the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si (i.e. no Ti/Au layer on the a-Si surface) and Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structures (i.e. Au thermocompression bonding) were also investigated. For the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si bonding structure, the poor bond quality is due to the native oxide layer on the a-Si surface, and for the Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structure, the poor bond quality is caused by the wafer surface roughness which prevents intimate contact and limits the interdiffusion at the bond interface.

  17. Laser cladding of Al-Si/SiC composite coatings : Microstructure and abrasive wear behavior

    NARCIS (Netherlands)

    Anandkumar, R.; Almeida, A.; Vilar, R.; Ocelik, V.; De Hosson, J.Th.M.

    2007-01-01

    Surface coatings of an Al-Si-SiC composite were produced on UNS A03560 cast Al-alloy substrates by laser cladding using a mixture of powders of Al-12 wt.% Si alloy and SiC. The microstructure of the coatings depends considerably on the processing parameters. For a specific energy of 26 MJ/m2 the

  18. Evolution of 3C-SiC islands nucleated from a liquid phase on Si face α-SiC substrates

    International Nuclear Information System (INIS)

    Kim-Hak, Olivier; Ferro, Gabriel; Lorenzzi, Jean; Carole, Davy; Dazord, Jacques; Chaudouet, Patrick; Chaussende, Didier; Miele, Philippe

    2010-01-01

    The contact between α-SiC crystals and Si-Ge based melts provokes the nucleation of 3C-SiC islands on the crystal surface. Evolution of these islands as a function of various parameters was studied. On both 4H and 6H substrates, it was found that, after nucleation, 3C-SiC islands first enlarge and may form a complete 3C layer under certain conditions. The 3C deposit can then be dissolved by the liquid phase at high temperature or for prolonged contact at relatively moderate temperature. The graphite crucible is proposed to play a central role in these enlargement and dissolution mechanisms by providing extra carbon atoms on the seed surface (enlargement) or provoking thermal induced carbon transport toward the sidewall (dissolution). Several differences between the use of 4H and 6H substrates were also observed.

  19. Theoretical reconsideration of antiferromagnetic Fermi surfaces in URu{sub 2}Si{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Yamagami, Hiroshi, E-mail: yamagami@cc.kyoto-su.ac.jp [Department of Physics, Faculty of Science, Kyoto Sangyo University, Kyoto 603-8555 (Japan)

    2011-01-01

    In an itinerant 5f-band model, the antiferromagnetic (AFM) Fermi surfaces of URu{sub 2}Si{sub 2} are reconsidered using a relativistic LAPW method within a local spin-density approximation, especially taking into account the lattice parameters dependent on pressures. The reduction of the z-coordinate of the Si sites results in the effect of flattening the Ru-Si layers of URu{sub 2}Si{sub 2} crystal structure, thus weakening a hybridization/mixing between the U-5f and Ru-4d states in the band structure. Consequently the 5f bands around the Fermi level are more flat in the dispersion with decreasing the z-coordinate, thus producing three closed Fermi surfaces like 'curing-stone', 'rugby-ball' and 'ball'. The origins of de Haas-van Alphen branches can be qualitatively interpreted from the obtained AFM Fermi surfaces.

  20. Targeted delivery of anti-coxsackievirus siRNAs using ligand-conjugated packaging RNAs.

    Science.gov (United States)

    Zhang, Huifang M; Su, Yue; Guo, Songchuan; Yuan, Ji; Lim, Travis; Liu, Jing; Guo, Peixuan; Yang, Decheng

    2009-09-01

    Coxsackievirus B3 (CVB3) is a common pathogen of myocarditis. We previously synthesized a siRNA targeting the CVB3 protease 2A (siRNA/2A) gene and achieved reduction of CVB3 replication by 92% in vitro. However, like other drugs under development, CVB3 siRNA faces a major challenge of targeted delivery. In this study, we investigated a novel approach to deliver CVB3 siRNAs to a specific cell population (e.g. HeLa cells containing folate receptor) using receptor ligand (folate)-linked packaging RNA (pRNA) from bacterial phage phi29. pRNA monomers can spontaneously form dimers and multimers under optimal conditions by base-pairing between their stem loops. By covalently linking a fluorescence-tag to folate, we delivered the conjugate specifically to HeLa cells without the need of transfection. We further demonstrated that pRNA covalently conjugated to siRNA/2A achieved an equivalent antiviral effect to that of the siRNA/2A alone. Finally, the drug targeted delivery was further evaluated by using pRNA monomers or dimers, which carried both the siRNA/2A and folate ligand and demonstrated that both of them strongly inhibited CVB3 replication. These data indicate that pRNA as a siRNA carrier can specifically deliver the drug to target cells via its ligand and specific receptor interaction and inhibit virus replication effectively.

  1. Transformation of sludge Si to nano-Si/SiOx structure by oxygen inward diffusion as precursor for high performance anodes in lithium ion batteries

    Science.gov (United States)

    Hua, Qiqi; Dai, Dongyang; Zhang, Chengzhi; Han, Fei; Lv, Tiezheng; Li, Xiaoshan; Wang, Shijie; Zhu, Rui; Liao, Haojie; Zhang, Shiguo

    2018-05-01

    Although several Si/C composite structures have been proposed for high-performance lithium-ion batteries (LIBs), they have still suffered from expensive and complex processes of nano-Si production. Herein, a simple, controllable oxygen inward diffusion was utilized to transform Si sludge obtained from the photovoltaic (PV) industry into the nano-Si/SiOx structure as a result of the high diffusion efficiency of O inside Si and high surface area of the sludge. After further process, a yolk/shell Si/C structure was obtained as an anode material for LIBs. This composite demonstrated an excellent cycling stability, with a high reversible capacity (˜ 1250 mAh/g for 500 cycles), by void space originally left by the SiOx accommodate inner Si expansion. We believe this is a rather simple way to convert the waste Si into a valuable nano-Si for LIB applications.

  2. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  3. On the interplay between Si(110) epilayer atomic roughness and subsequent 3C-SiC growth direction

    Science.gov (United States)

    Khazaka, Rami; Michaud, Jean-François; Vennéguès, Philippe; Nguyen, Luan; Alquier, Daniel; Portail, Marc

    2016-11-01

    In this contribution, we performed the growth of a 3C-SiC/Si/3C-SiC layer stack on a Si(001) substrate by means of chemical vapor deposition. We show that, by tuning the growth conditions, the 3C-SiC epilayer can be grown along either the [111] direction or the [110] direction. The key parameter for the growth of the desired 3C-SiC orientation on the Si(110)/3C-SiC(001)/Si(001) heterostructure is highlighted and is linked to the Si epilayer surface morphology. The epitaxial relation between the layers has been identified using X-ray diffraction and transmission electron microscopy (TEM). We showed that, regardless of the top 3C-SiC epilayer orientation, domains rotated by 90° around the growth direction are present in the epilayer. Furthermore, the difference between the two 3C-SiC orientations was investigated by means of high magnification TEM. The results indicate that the faceted Si(110) epilayer surface morphology results in a (110)-oriented 3C-SiC epilayer, whereas a flat hetero-interface has been observed between 3C-SiC(111) and Si(110). The control of the top 3C-SiC growth direction can be advantageous for the development of new micro-electro-mechanical systems.

  4. Modification of Au and Si(111):H surfaces towards biological sensing

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin; Rappich, Joerg [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institut fuer Si Photovoltaik, Berlin (Germany); Sun, Guoguang; Hinrichs, Karsten; Rosu, Dana; Esser, Norbert [ISAS-Institute for Analytical Sciences, Department Berlin (Germany); Hovestaedt, Marc; Ay, Bernhard; Volkmer, Rudolf [Institut fuer Medizinische Immunologie, Charite Berlin, Berlin (Germany); Janietz, Silvia [Fraunhofer-Institut fuer Angewandte Polymerforschung, Golm (Germany)

    2010-07-01

    Within the topics to grow functional organic surfaces for biosensors we grafted carboxylbenzene, aminobenzene and maleimidobenzene onto Au and H-terminated Si surfaces by electrochemical deposition from 4-carboxylbenzene-diazonium tetrafluoroborate (4-CBDT), 4-aminobenzene-diazonium tetrafluoroborate (4-ABDT) and 4-maleimidobenzene-diazonium tetrafluoroborate (4-MBDT).The electron injection to the diazonium compound in solution (cathodic current) leads to the formation of intermediate radicals, which further react with the surface (Au or Si:H) and the respective molecule is grafted onto the surface.The aim was to functionalise these surfaces for further reaction with corresponding amines, acids or cysteine-modified peptides. Ex-situ infrared spectroscopic ellipsometry (IRSE) was applied to inspect the surface species before and after the functionalisation.

  5. Nanofabrication on a Si surface by slow highly charged ion impact

    International Nuclear Information System (INIS)

    Tona, Masahide; Watanabe, Hirofumi; Takahashi, Satoshi; Nakamura, Nobuyuki; Yoshiyasu, Nobuo; Sakurai, Makoto; Terui, Toshifumi; Mashiko, Shinro; Yamada, Chikashi; Ohtani, Shunsuke

    2007-01-01

    We have observed surface chemical reactions which occur at the impact sites on a Si(1 1 1)-(7 x 7) surface and a highly oriented pyrolytic graphite (HOPG) surface bombarded by highly charged ions (HCIs) by using a scanning tunneling microscope (STM). Crater structures are formed on the Si(1 1 1)-(7 x 7) surface by single I 50+ -impacts. STM-observation for the early step of oxidation on the surface suggests that the impact site is so active that dangling bonds created by HCI impacts are immediately quenched by reaction with residual gas molecules. We show also the selective adsorption of organic molecules at a HCI-induced impact site on the HOPG surface

  6. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    International Nuclear Information System (INIS)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-01-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN x /SiN y multilayers with high on/off ratio of 10 9 . High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  7. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    Science.gov (United States)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-09-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiNx/SiNy multilayers with high on/off ratio of 109. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  8. Two-dimensional Si nanosheets with local hexagonal structure on a MoS(2) surface.

    Science.gov (United States)

    Chiappe, Daniele; Scalise, Emilio; Cinquanta, Eugenio; Grazianetti, Carlo; van den Broek, Bas; Fanciulli, Marco; Houssa, Michel; Molle, Alessandro

    2014-04-02

    The structural and electronic properties of a Si nanosheet (NS) grown onto a MoS2 substrate by means of molecular beam epitaxy are assessed. Epitaxially grown Si is shown to adapt to the trigonal prismatic surface lattice of MoS2 by forming two-dimensional nanodomains. The Si layer structure is distinguished from the underlying MoS2 surface structure. The local electronic properties of the Si nanosheet are dictated by the atomistic arrangement of the layer and unlike the MoS2 hosting substrate they are qualified by a gap-less density of states. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Bi surfactant mediated growth for fabrication of Si/Ge nanostructures and investigation of Si/Ge intermixing by STM

    Energy Technology Data Exchange (ETDEWEB)

    Paul, N.

    2007-10-26

    In the thesis work presented here, we show that Bi is more promising surfactant material than Sb. We demonstrate that by using Bi as a terminating layer on Ge/Si surface, it is possible to distinguish between Si and Ge in Scanning tunnelling microscope (STM). Any attempt to utilize surfactant mediated growth must be preceded by a thorough study of its effect on the the system being investigated. Thus, the third chapter of this thesis deals with an extensive study of the Bi surfactant mediated growth of Ge on Si(111) surface as a function of Ge coverage. The growth is investigated from the single bilayer Ge coverage till the Ge coverage of about 15 BL when the further Ge deposition leads to two-dimensional growth. In the fourth chapter, the unique property of Bi terminating layer on Ge/Si surface to result in an STM height contrast between Si and Ge is explained with possible explanations given for the reason of this apparent height contrast. The controlled fabrication of Ge/Si nanostructures such as nanowires and nanorings is demonstrated. A study on Ge-Si diffusion in the surface layers by a direct method such as STM was impossible previously because of the similar electronic structure of Ge and Si. Since with the Bi terminating surface layer, one is able to distinguish between Ge and Si, the study of intermixing between them is also possible using STM. This method to distinguish between Si and Ge allows one to study intermixing on the nanoscale and to identify the fundamental diffusion processes giving rise to the intermixing. In Chapter 5 we discuss how this could prove useful especially as one could get a local probe over a very narrow Ge-Si interface. A new model is proposed to estimate change in the Ge concentration in the surface layer with time. The values of the activation energies of Ge/Si exchange and Si/Ge exchange are estimated by fitting the experimental data with the model. The Ge/Si intermixing has been studied on a surface having 1 ML Bi ({radical

  10. Investigation of the Si(111) surface in uhv: oxidation and the effect of surface phosphorus

    International Nuclear Information System (INIS)

    Tom, H.W.K.; Zhu, X.D.; Shen, Y.R.; Somorjai, G.A.

    1984-06-01

    We have studied the initial stages of oxidation, the segregation of phosphorus, and the effect of phosphorus on oxidation of the Si(111) 7 x 7 surface using optical second-harmonic generation. We have also observed a (√3 x √3)R30 0 LEED pattern for P on Si

  11. Characterization of μc-Si:H/a-Si:H tandem solar cell structures by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Murata, Daisuke; Yuguchi, Tetsuya; Fujiwara, Hiroyuki

    2014-01-01

    In order to perform the structural characterization of Si thin-film solar cells having submicron-size rough textured surfaces, we have developed an optical model that can be utilized for the spectroscopic ellipsometry (SE) analysis of a multilayer solar cell structure consisting of hydrogenated amorphous silicon (a-Si:H) and microcrystalline silicon (μc-Si:H) layers fabricated on textured SnO 2 :F substrates. To represent the structural non-uniformity in the textured structure, the optical response has been calculated from two regions with different thicknesses of the Si layers. Moreover, in the optical model, the interface layers are modeled by multilayer structures assuming two-phase composites and the volume fractions of the phases in the layers are controlled by the structural curvature factor. The polarized reflection from the μc-Si:H layer that shows extensive surface roughening during the growth has also been modeled. In this study, a state-of-the-art solar cell structure with the textured μc-Si:H (2000 nm)/ZnO (100 nm)/a-Si:H (200 nm)/SnO 2 :F/glass substrate structure has been characterized. The μc-Si:H/a-Si:H textured structure deduced from our SE analysis shows remarkable agreement with that observed by transmission electron microscopy. From the above results, we have demonstrated the high-precision characterization of highly-textured μc-Si:H/a-Si:H solar cell structures. - Highlights: • Characterization of textured μc-Si:H/a-Si:H solar cell structures by ellipsometry • A new optical model using surface area and multilayer models • High precision characterization of submicron-range rough interface structures

  12. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  13. UV and IR laser induced ablation of Al2O3/SiN:H and a-Si:H/SiN:H

    Directory of Open Access Journals (Sweden)

    Schutz-Kuchly T.

    2014-01-01

    Full Text Available Experimental work on laser induced ablation of thin Al2O3(20 nm/SiN:H (70 nm and a-Si:H (20 nm/SiN:H (70 nm stacks acting, respectively, as p-type and n-type silicon surface passivation layers is reported. Results obtained using two different laser sources are compared. The stacks are efficiently removed using a femtosecond infra-red laser (1030 nm wavelength, 300 fs pulse duration but the underlying silicon surface is highly damaged in a ripple-like pattern. This collateral effect is almost completely avoided using a nanosecond ultra-violet laser (248 nm wavelength, 50 ns pulse duration, however a-Si:H flakes and Al2O3 lace remain after ablation process.

  14. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  15. Photoelectrochemical Water Splitting Properties of Ti-Ni-Si-O Nanostructures on Ti-Ni-Si Alloy

    Directory of Open Access Journals (Sweden)

    Ting Li

    2017-10-01

    Full Text Available Ti-Ni-Si-O nanostructures were successfully prepared on Ti-1Ni-5Si alloy foils via electrochemical anodization in ethylene glycol/glycerol solutions containing a small amount of water. The Ti-Ni-Si-O nanostructures were characterized by field-emission scanning electron microscopy (FE-SEM, energy dispersive spectroscopy (EDS, X-ray diffraction (XRD, and diffuse reflectance absorption spectra. Furthermore, the photoelectrochemical water splitting properties of the Ti-Ni-Si-O nanostructure films were investigated. It was found that, after anodization, three different kinds of Ti-Ni-Si-O nanostructures formed in the α-Ti phase region, Ti2Ni phase region, and Ti5Si3 phase region of the alloy surface. Both the anatase and rutile phases of Ti-Ni-Si-O oxide appeared after annealing at 500 °C for 2 h. The photocurrent density obtained from the Ti-Ni-Si-O nanostructure photoanodes was 0.45 mA/cm2 at 0 V (vs. Ag/AgCl in 1 M KOH solution. The above findings make it feasible to further explore excellent photoelectrochemical properties of the nanostructure-modified surface of Ti-Ni-Si ternary alloys.

  16. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  17. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  18. Behind the Nature of Titanium Oxide Excellent Surface Passivation and Carrier Selectivity of c-Si

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Crovetto, Andrea; Hansen, Ole

    We present an expanded study of the passivation properties of titanium dioxide (TiO2) on p-type crystalline silicon (c-Si). We report a low surface recombination velocity (16 cm/s) for TiO2 passivation layers with a thin tunnelling oxide interlayer (SiO2 or Al2O3) on p-type crystalline silicon (c-Si......), and post-deposition annealing temperature were investigated. We have observed that that SiO2 and Al2O3 interlayers enhance the TiO2 passivation of c-Si. TiO2 thin film passivation layers alone result in lower effective carrier lifetime. Further annealing at 200  ̊C in N2 gas enhances the surface...

  19. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0SiO/sub x/ transition region between SiO/sub 2/ and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide.

  20. Gas-source molecular beam epitaxy of Si(111) on Si(110) substrates by insertion of 3C-SiC(111) interlayer for hybrid orientation technology

    Energy Technology Data Exchange (ETDEWEB)

    Bantaculo, Rolando, E-mail: rolandobantaculo@yahoo.com; Saitoh, Eiji; Miyamoto, Yu; Handa, Hiroyuki; Suemitsu, Maki

    2011-11-01

    A method to realize a novel hybrid orientations of Si surfaces, Si(111) on Si(110), has been developed by use of a Si(111)/3C-SiC(111)/Si(110) trilayer structure. This technology allows us to use the Si(111) portion for the n-type and the Si(110) portion for the p-type channels, providing a solution to the current drive imbalance between the two channels confronted in Si(100)-based complementary metal oxide semiconductor (CMOS) technology. The central idea is to use a rotated heteroepitaxy of 3C-SiC(111) on Si(110) substrate, which occurs when a 3C-SiC film is grown under certain growth conditions. Monomethylsilane (SiH{sub 3}-CH{sub 3}) gas-source molecular beam epitaxy (GSMBE) is used for this 3C-SiC interlayer formation while disilane (Si{sub 2}H{sub 6}) is used for the top Si(111) layer formation. Though the film quality of the Si epilayer leaves a lot of room for betterment, the present results may suffice to prove its potential as a new technology to be used in the next generation CMOS devices.

  1. Self-activated, self-limiting reactions on Si surfaces

    DEFF Research Database (Denmark)

    Morgen, Per; Hvam, Jeanette; Bahari, Ali

    The direct thermally activated reactions of oxygen and ammonia with Si surfaces in furnaces have been used for a very long time in the semiconductor industry for the growth of thick oxides and nitride layers respectively. The oxidation mechanism was described in the Deal-Grove model as a diffusion...... mechanism for the direct growth of ultrathin films (0-3 nm) of oxides and nitrides under ultrahigh vacuum conditions. Neutral oxygen and a microwave excited nitrogen plasma interact directly with Si surfaces kept at different temperatures during the reaction. The gas pressures are around 10-6 Torr...... energy of an oxide system, which happened for an ordered structure, at a thickness of 0.7-0.8 nm. Thus this thin oxide structure has definite crystalline features. We have closely monitored the reaction kinetics with normal x-ray induced photoelectron spectroscopies, and also the structure, composition...

  2. Electronic structure and electron dynamics at Si(100)

    Energy Technology Data Exchange (ETDEWEB)

    Weinelt, M. [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Festkoerperphysik, Erlangen (Germany); Max-Born-Institut, Berlin (Germany); Kutschera, M.; Schmidt, R.; Orth, C.; Fauster, T. [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Festkoerperphysik, Erlangen (Germany); Rohlfing, M. [International University Bremen, School of Engineering and Science, P.O. Box 750 561, Bremen (Germany)

    2005-02-01

    The electronic structure and electron dynamics at a Si(100) surface is studied by two-photon photoemission (2PPE). At 90 K the occupied D{sub up} dangling-bond state is located 150{+-}50 meV below the valence-band maximum (VBM) at the center of the surface Brillouin zone anti {gamma} and exhibits an effective hole mass of (0.5{+-}0.15)m{sub e}. The unoccupied D{sub down} band has a local minimum at anti {gamma} at 650{+-}50 meV above the VBM and shows strong dispersion along the dimer rows of the c(4 x 2) reconstructed surface. At 300 K the D{sub down} position shifts comparable to the Si conduction-band minimum by 40 meV to lower energies but the dispersion of the dangling-bond states is independent of temperature. The surface band bending for p-doped silicon is less than 30 meV, while acceptor-type defects cause significant and preparation-dependent band bending on n-doped samples. 2PPE spectra of Si(100) are dominated by interband transitions between the occupied and unoccupied surface states and emission out of transiently and permanently charged surface defects. Including electron-hole interaction in many-body calculations of the quasi-particle band structure leads us to assign a dangling-bond split-off state to a quasi-one-dimensional surface exciton with a binding energy of 130 meV. Electrons resonantly excited to the unoccupied D{sub down} dangling-bond band with an excess energy of about 350 meV need 1.5{+-}0.2 ps to scatter via phonon emission to the band bottom at anti {gamma} and relax within 5 ps with an excited hole in the occupied surface band to form an exciton living for nanoseconds. (orig.)

  3. Self-assembly of InAs and Si/Ge quantum dots on structured surfaces

    International Nuclear Information System (INIS)

    Patella, F; Sgarlata, A; Arciprete, F; Nufris, S; Szkutnik, P D; Placidi, E; Fanfoni, M; Motta, N; Balzarotti, A

    2004-01-01

    We discuss the self-aggregation process of InAs and Si-Ge quantum dots (QDs) on natural and patterned GaAs(001) and Si(001) and Si(111) surfaces, with reference to our recent studies with scanning tunnelling and atomic force microscopy and current experimental and theoretical works. Various methods for obtaining naturally structured surfaces are briefly surveyed, as the patterning formed by the surface instability and by the strain in mismatched heteroepitaxy, and the latest methods of pre-patterning and growth at selected sites are discussed. Basic topics are also addressed that determine the final morphology of QDs, such as the wetting layer formation, the elastic strain field and the two-dimensional to three-dimensional phase transition

  4. Trapping time of excitons in Si nanocrystals embedded in a SiO2 matrix

    Science.gov (United States)

    de Jong, E. M. L. D.; de Boer, W. D. A. M.; Yassievich, I. N.; Gregorkiewicz, T.

    2017-05-01

    Silicon (Si) nanocrystals (NCs) are of great interest for many applications, ranging from photovoltaics to optoelectonics. The photoluminescence quantum yield of Si NCs dispersed in SiO2 is limited, suggesting the existence of very efficient processes of nonradiative recombination, among which the formation of a self-trapped exciton state on the surface of the NC. In order to improve the external quantum efficiency of these systems, the carrier relaxation and recombination need to be understood more thoroughly. For that purpose, we perform transient-induced absorption spectroscopy on Si NCs embedded in a SiO2 matrix over a broad probe range for NCs of average sizes from 2.5 to 5.5 nm. The self-trapping of free excitons on surface-related states is experimentally and theoretically discussed and found to be dependent on the NC size. These results offer more insight into the self-trapped exciton state and are important to increase the optical performance of Si NCs.

  5. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  6. Towards modelling the vibrational signatures of functionalized surfaces: carboxylic acids on H-Si(111) surfaces

    Science.gov (United States)

    Giresse Tetsassi Feugmo, Conrard; Champagne, Benoît; Caudano, Yves; Cecchet, Francesca; Chabal, Yves J.; Liégeois, Vincent

    2012-03-01

    In this work, we investigate the adsorption process of two carboxylic acids (stearic and undecylenic) on a H-Si(111) surface via the calculation of structural and energy changes as well as the simulation of their IR and Raman spectra. The two molecules adsorb differently at the surface since the stearic acid simply physisorbs while the undecylenic acid undergoes a chemical reaction with the hydrogen atoms of the surface. This difference is observed in the change of geometry during the adsorption. Indeed, the chemisorption of the undecylenic acid has a bigger impact on the structure than the physisorption of the stearic acid. Consistently, the former is also characterized by a larger value of adsorption energy and a smaller value of the tilting angle with respect to the normal plane. For both the IR and Raman signatures, the spectra of both molecules adsorbed at the surface are in a first approximation the superposition of the spectra of the Si cluster and of the carboxylic acid considered individually. The main deviation from this simple observation is the peak of the stretching Si-H (ν(Si-H)) mode, which is split into two peaks upon adsorption. As expected, the splitting is bigger for the chemisorption than the physisorption. The modes corresponding to atomic displacements close to the adsorption site display a frequency upshift by a dozen wavenumbers. One can also see the disappearance of the peaks associated with the C=C double bond when the undecylenic acid chemisorbs at the surface. The Raman and IR spectra are complementary and one can observe here that the most active Raman modes are generally IR inactive. Two exceptions to this are the two ν(Si-H) modes which are active in both spectroscopies. Finally, we compare our simulated spectra with some experimental measurements and we find an overall good agreement.

  7. Towards modelling the vibrational signatures of functionalized surfaces: carboxylic acids on H-Si(111) surfaces

    International Nuclear Information System (INIS)

    Tetsassi Feugmo, Conrard Giresse; Champagne, Benoît; Liégeois, Vincent; Caudano, Yves; Cecchet, Francesca; Chabal, Yves J

    2012-01-01

    In this work, we investigate the adsorption process of two carboxylic acids (stearic and undecylenic) on a H-Si(111) surface via the calculation of structural and energy changes as well as the simulation of their IR and Raman spectra. The two molecules adsorb differently at the surface since the stearic acid simply physisorbs while the undecylenic acid undergoes a chemical reaction with the hydrogen atoms of the surface. This difference is observed in the change of geometry during the adsorption. Indeed, the chemisorption of the undecylenic acid has a bigger impact on the structure than the physisorption of the stearic acid. Consistently, the former is also characterized by a larger value of adsorption energy and a smaller value of the tilting angle with respect to the normal plane. For both the IR and Raman signatures, the spectra of both molecules adsorbed at the surface are in a first approximation the superposition of the spectra of the Si cluster and of the carboxylic acid considered individually. The main deviation from this simple observation is the peak of the stretching Si-H (ν(Si-H)) mode, which is split into two peaks upon adsorption. As expected, the splitting is bigger for the chemisorption than the physisorption. The modes corresponding to atomic displacements close to the adsorption site display a frequency upshift by a dozen wavenumbers. One can also see the disappearance of the peaks associated with the C=C double bond when the undecylenic acid chemisorbs at the surface. The Raman and IR spectra are complementary and one can observe here that the most active Raman modes are generally IR inactive. Two exceptions to this are the two ν(Si-H) modes which are active in both spectroscopies. Finally, we compare our simulated spectra with some experimental measurements and we find an overall good agreement. (paper)

  8. The strain effect in the surface barrier structures prepared on the basis of n-Si and p-Si

    International Nuclear Information System (INIS)

    Mamatkarimov, O.O.; Tuychiev, U.A.

    2004-01-01

    Full text: One of the ways of creation of large deformations in small volume of the semiconductor is the deformation created by a needle. At insignificant change of external influence the large deformation under a needle in small volume of the semiconductor the significant change of electrophysical parameters of the semiconductor in small volume is created. Therefore, in the present work the results of researches of local pressure influence on physical properties of surface barrier structures has been performed on the basis of silicon with Ni and Mn impurity. The relative changes of a direct current made on the basis n-Si and p-Si from a different degree of compensation are given depending on size of local pressure are shown. Change of current in structures Au-Si -Sb with specific resistance of base ρ=80 Ω·cm and ρ=200 Ω·cm are I p /I 0 =3-3.5 times and I P /I ) =2-2.5 times at pressure P=1.6·10 8 Pa respectively. These data show, that in structures received on the basis of initial silicon, change of a direct current with pressure is in inverse proportion to size of resistance of base of the diode. And in structures Au-Si -Sb with specific resistance of base ρ=5·10 2 Ω·cm and ρ=3·10 3 Ω·cm these changes accordingly are I P /I 0 =7 and I P /I 0 =14. Changes of direct current relative to initial value for structures on the basis p-Si with specific resistance ρ=7·10 2 Ω·cm and ρ=4·10 3 Ω·cm) are I P /I 0 =9 and I P /I 0 =16 respectively. The same changes of direct current of structures on the basis P-Si at local pressure are I P /I 0 =2-2.5. The given values I P /I 0 testify that as in structures Au-Si -Sb, and structures Sb-p-Si -Au, unlike structures on the basis of initial silicon, the values I P /I 0 are increased with increase of specific resistance of base of structures

  9. Dissociative scattering of low-energy SiF{sub 3}{sup +} and SiF{sup +} ions (5-200 eV) on Cu(100) surface

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Hiroyuki; Baba, Yuji; Sasaki, T A [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1997-03-01

    Dissociative scattering of molecular SiF{sub 3}{sup +} and SiF{sup +} ions from a Cu(100) single crystal surface has been investigated in the incident energy range from 5 eV to 200 eV with a scattering angle of 77deg. The scattered ion intensity of dissociative ions and parent molecular ions were measured as a function of incident ion energy. The observed data show that onset energies of dissociation for SiF{sub 3}{sup +} and SiF{sup +} ions are 30 eV and 40 eV, respectively. The obtained threshold energies are consistent with a impulsive collision model where the dissociation of incident ion is caused by vibrational excitation during collision. (author)

  10. Deposition of phospholipid layers on SiO{sub 2} surface modified by alkyl-SAM islands

    Energy Technology Data Exchange (ETDEWEB)

    Tero, R.; Takizawa, M.; Li, Y.J.; Yamazaki, M.; Urisu, T

    2004-11-15

    Formation of the supported planar bilayer of dipalmitoylphosphatidylcholine (DPPC) on SiO{sub 2} surfaces modified with the self-assembled monolayer (SAM) of octadecyltrichlorosilane (OTS) has been investigated by atomic force microscopy (AFM). DPPC was deposited by the fusion of vesicles on SiO{sub 2} surfaces with OTS-SAM islands of different sizes and densities. The DPPC bilayer membrane formed self-organizingly on the SiO{sub 2} surface with small and sparse OTS islands, while did not when the OTS islands were larger and denser. The relative size between the vesicles and the SiO{sub 2} regions is the critical factor for the formation of the DPPC bilayer membrane.

  11. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  12. Optical properties of silicene, Si/Ag(111), and Si/Ag(110)

    Science.gov (United States)

    Hogan, C.; Pulci, O.; Gori, P.; Bechstedt, F.; Martin, D. S.; Barritt, E. E.; Curcella, A.; Prevot, G.; Borensztein, Y.

    2018-05-01

    We present a state-of-the-art study of the optical properties of free-standing silicene and of single-layer Si one- and two-dimensional (1D and 2D) nanostructures supported on Ag(110) and Ag(111) substrates. Ab initio simulations of reflectance anisotropy spectroscopy (RAS) and surface differential reflectivity spectroscopy (SDRS) applied to the clean Ag surface and Si/Ag interfaces are compared with new measurements. For Si/Ag(110), we confirm a pentagonal nanoribbon geometry, strongly bonded to the substrate, and rule out competing zigzag chain and silicenelike models. For Si/Ag(111), we reproduce the main experimental features and isolate the optical signal of the epitaxial silicene overlayer. The absorption spectrum of a silicene sheet computed including excitonic and local field effects is found to be quite similar to that calculated within an independent particle approximation and shows strong modifications when adsorbed on a Ag substrate. Important details of the computational approach are examined and the origins of the RAS and SDRS signals are explained in terms of the interface and substrate response functions. Our study does not find any evidence for Si adlayers that retain the properties of freestanding silicene.

  13. Nonvolatile field effect transistors based on protons and Si/SiO2Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Vanheusden, K.; Fleetwood, D.M.; Schwank, J.R.; Winokur, P.S.; Knoll, M.G.; Devine, R.A.B.

    1997-01-01

    Recently, the authors have demonstrated that annealing Si/SiO 2 /Si structures in a hydrogen containing ambient introduces mobile H + ions into the buried SiO 2 layer. Changes in the H + spatial distribution within the SiO 2 layer were electrically monitored by current-voltage (I-V) measurements. The ability to directly probe reversible protonic motion in Si/SiO 2 /Si structures makes this an exemplar system to explore the physics and chemistry of hydrogen in the technologically relevant Si/SiO 2 structure. In this work, they illustrate that this effect can be used as the basis for a programmable nonvolatile field effect transistor (NVFET) memory that may compete with other Si-based memory devices. The power of this novel device is its simplicity; it is based upon standard Si/SiO 2 /Si technology and forming gas annealing, a common treatment used in integrated circuit processing. They also briefly discuss the effects of radiation on its retention properties

  14. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  15. Replication performance of Si-N-DLC-coated Si micro-molds in micro-hot-embossing

    International Nuclear Information System (INIS)

    Saha, B; Tor, S B; Liu, E; Khun, N W; Hardt, D E; Chun, J H

    2010-01-01

    Micro-hot-embossing is an emerging technology with great potential to form micro- and nano-scale patterns into polymers with high throughput and low cost. Despite its rapid progress, there are still challenges when this technology is employed, as demolding stress is usually very high due to large friction and adhesive forces induced during the process. Surface forces are dominating parameters in micro- and nano-fabrication technologies because of a high surface-to-volume ratio of products. This work attempted to improve the surface properties of Si micro-molds by means of silicon- and nitrogen-doped diamond-like carbon (Si-N-DLC) coatings deposited by dc magnetron cosputtering on the molds. The bonding structure, surface roughness, surface energy, adhesive strength and tribological behavior of the coated samples were characterized with micro Raman spectroscopy, atomic force microscopy (AFM), contact angle measurement, microscratch test and ball-on-disk sliding tribological test, respectively. It was observed that the doping condition had a great effect on the performance of the coatings. The Si-N-DLC coating deposited with 5 × 10 −6 m 3 min −1 N 2 had lowest surface roughness and energy of about 1.2 nm and 38.2 × 10 −3 N m −1 , respectively, while the coatings deposited with 20 × 10 −6 and 25 × 10 −6 m 3 min −1 N 2 showed lowest friction coefficients. The uncoated and Si-N-DLC-coated Si micro-molds were tested in a micro-hot-embossing process for a comparative study of their replication performance and lifetime. The experimental results showed that the performance of the Si micro-molds was improved by the Si-N-DLC coatings, and well-defined micro-features with a height of about 100 µm were fabricated successfully into cyclic olefin copolymer (COC) sheets using the Si-N-DLC-coated micro-molds.

  16. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  17. Experimental observations of the chemistry of the SiO2/Si interface

    Science.gov (United States)

    Grunthaner, F. J.; Maserjian, J.

    1977-01-01

    Changes in silicon surface preparation prior to thermal oxidation are shown to leave a signature by altering the final SiO2/Si interface structure. Surface analytical techniques, including XPS, static SIMS, ion milling, and newly developed wet-chemical profiling procedures are used to obtain detailed information on the chemical structure of the interface. The oxides are shown to be essentially SiO2 down to a narrow transitional interface layer (3-7 A). A number of discrete chemical species are observed in this interface layer, including different silicon bonds (e.g., C-, OH-, H-) and a range of oxidation states of silicon (0 to +4). The effect of surface preparation and the observed chemical species are correlated with oxide growth rate, surface-state density, and flatband shifts after irradiation.

  18. Discrete impurity band from surface danging bonds in nitrogen and phosphorus doped SiC nanowires

    Science.gov (United States)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Cao, Mao-Sheng; Fang, Xiao-Yong

    2018-04-01

    The electronic structure and optical properties of the nitrogen and phosphorus doped silicon carbide nanowires (SiCNWs) are investigated using first-principle calculations based on density functional theory. The results show doping can change the type of the band gap and improve the conductivity. However, the doped SiCNWs form a discrete impurity levels at the Fermi energy, and the dispersion degree decreases with the diameter increasing. In order to reveal the root of this phenomenon, we hydrogenated the doped SiCNWs, found that the surface dangling bonds were saturated, and the discrete impurity levels are degeneracy, which indicates that the discrete impurity band of the doped SiCNWs is derived from the dangling bonds. The surface passivation can degenerate the impurity levels. Therefore, both doping and surface passivation can better improve the photoelectric properties of the SiCNWs. The result can provide additional candidates in producing nano-optoelectronic devices.

  19. Effect of a Stepped Si(100) Surface on the Nucleation Process of Ge Islands

    Science.gov (United States)

    Yesin, M. Yu.; Nikiforov, A. I.; Timofeev, V. A.; Mashanov, V. I.; Tuktamyshev, A. R.; Loshkarev, I. D.; Pchelyakov, O. P.

    2018-03-01

    Nucleation of Ge islands on a stepped Si(100) surface is studied. It is shown by diffraction of fast electrons that at a temperature of 600°C, constant flux of Si, and deposition rate of 0.652 Å/s, a series of the 1×2 superstructure reflections completely disappears, if the Si (100) substrate deviated by an angle of 0.35° to the (111) face is preliminarily heated to 1000°C. The disappearance of the 1×2 superstructure reflexes is due to the transition from the surface with monoatomic steps to that with diatomic ones. Investigations of the Ge islands' growth were carried out on the Si(100) surface preliminarily annealed at temperatures of 800 and 1000°C. It is shown that the islands tend to nucleate at the step edges.

  20. Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures

    International Nuclear Information System (INIS)

    Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich

    2006-01-01

    The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si

  1. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  2. Residual stresses and mechanical properties of Si3N4/SiC multilayered composites with different SiC layers; Las tensiones residuales y las propiedades mecánicas de compuestos multicapa de Si3N4/SiC con diferentes capas de SiC

    Energy Technology Data Exchange (ETDEWEB)

    Liua, S.; Lia, Y.; Chena, P.; Lia, W.; Gaoa, S.; Zhang, B.; Yeb, F.

    2017-11-01

    The effect of residual stresses on the strength, toughness and work of fracture of Si3N4/SiC multilayered composites with different SiC layers has been investigated. It may be an effective way to design and optimize the mechanical properties of Si3N4/SiC multilayered composites by controlling the properties of SiC layers. Si3N4/SiC multilayered composites with different SiC layers were fabricated by aqueous tape casting and pressureless sintering. Residual stresses were calculated by using ANSYS simulation, the maximum values of tensile and compressive stresses were 553.2MPa and −552.1MPa, respectively. Step-like fracture was observed from the fracture surfaces. Fraction of delamination layers increased with the residual stress, which can improve the reliability of the materials. Tensile residual stress was benefit to improving toughness and work of fracture, but the strength of the composites decreased. [Spanish] Se ha investigado el efecto de las tensiones residuales en la resistencia, dureza y trabajo de fractura de los compuestos multicapa de Si3N4/SiC con diferentes capas de SiC. Puede ser una manera eficaz de diseñar y optimizar las propiedades mecánicas de los compuestos multicapa de Si3N4/SiC mediante el control de las propiedades de las capas de SiC. Los compuestos multicapa de Si3N4/SiC con diferentes capas de SiC se fabricaron por medio de colado en cinta en medio acuoso y sinterización sin presión. Las tensiones residuales se calcularon mediante el uso de la simulación ANSYS, los valores máximos de las fuerzas de tracción y compresión fueron 553,2 MPa y −552,1 MPa, respectivamente. Se observó una fractura escalonada a partir de las superficies de fractura. La fracción de capas de deslaminación aumenta con la tensión residual, lo que puede mejorar la fiabilidad de los materiales. La fuerza de tracción residual era beneficiosa para la mejora de la dureza y el trabajo de fractura, pero la resistencia de los compuestos disminuyó.

  3. Surface texturing of Si3N4–SiC ceramic tool components by pulsed laser machining

    CSIR Research Space (South Africa)

    Tshabalala, LC

    2016-03-01

    Full Text Available Traditional abrasive techniques such as grinding and lapping have long been used in the surface conditioning of engineering materials. However, in the processing of hard and brittle materials like silicon nitride (Si(sub3)N(sub4)), machining...

  4. Effect of fiber surface state on mechanical properties of Cf/Si-O-C composites

    International Nuclear Information System (INIS)

    Wang Song; Chen Zhaohui; Ma Qingsong; Hu Haifeng; Zheng Wenwei

    2005-01-01

    Three-dimensional braided carbon fiber reinforced silicon oxycarbide composites (3D-B C f /Si-O-C) were fabricated via a polysiloxane infiltration and pyrolysis route. The effects of fiber surface state on microstructure and mechanical properties of C f /Si-O-C composites were investigated. The change of carbon fiber surface state was achieved via heat treatment in vacuum. The results showed that heat treatment decreased carbon fiber surface activity due to the decrease of the amount of oxygen and nitrogen atoms. The C f /Si-O-C composites fabricated from the carbon fiber with low surface activity had excellent mechanical properties, which resulted from perfect interfacial bonding and good in situ fiber strength. The flexural strength and fracture toughness of the C f /Si-O-C composites from the treated fiber were 534 MPa and 23.4 MPa m 1/2 , respectively, which were about 7 and 11 times more than those of the composites from the as-received carbon fiber, respectively

  5. Adsorption properties of AlN on Si(111) surface: A density functional study

    Science.gov (United States)

    Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting

    2018-04-01

    In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.

  6. Photoelectrochemical Water Splitting Properties of Ti-Ni-Si-O Nanostructures on Ti-Ni-Si Alloy.

    Science.gov (United States)

    Li, Ting; Ding, Dongyan; Dong, Zhenbiao; Ning, Congqin

    2017-10-31

    Ti-Ni-Si-O nanostructures were successfully prepared on Ti-1Ni-5Si alloy foils via electrochemical anodization in ethylene glycol/glycerol solutions containing a small amount of water. The Ti-Ni-Si-O nanostructures were characterized by field-emission scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), and diffuse reflectance absorption spectra. Furthermore, the photoelectrochemical water splitting properties of the Ti-Ni-Si-O nanostructure films were investigated. It was found that, after anodization, three different kinds of Ti-Ni-Si-O nanostructures formed in the α-Ti phase region, Ti₂Ni phase region, and Ti₅Si₃ phase region of the alloy surface. Both the anatase and rutile phases of Ti-Ni-Si-O oxide appeared after annealing at 500 °C for 2 h. The photocurrent density obtained from the Ti-Ni-Si-O nanostructure photoanodes was 0.45 mA/cm² at 0 V (vs. Ag/AgCl) in 1 M KOH solution. The above findings make it feasible to further explore excellent photoelectrochemical properties of the nanostructure-modified surface of Ti-Ni-Si ternary alloys.

  7. Electro-physical properties of a Si-based MIS structure with a low-k SiOC(-H) film

    Energy Technology Data Exchange (ETDEWEB)

    Zakirov, Anvar Sagatovich; Navamathavan, Rangaswamy; Kim, Seung Hyun; Jang, Yong Jun; Jung, An Soo; Choi, Chi Kyu [Cheju National University, Jeju (Korea, Republic of)

    2006-09-15

    SiOC(-H) films with low dielectric constants have been prepared by using plasma enhanced chemical vapor deposition with a mixture of methyltriethoxysilane and oxygen precursors. The C-V characteristics of the structures, Al/SiOC(-H)/p-Si(100), were studied in the forward and the reverse directions by applying a polarizing potential. We found that the ratio of the maximum to the minimum capacitance (C{sub ma}x{sub /}C{sub min}) depended on the [MTES/(MTES+O{sub 2})] flow rate ratio. Annealed samples exhibited even greater reductions of the maximum capacitance and the dielectric constant of the SiOC(-H) samples. After annealing at 400 .deg. C, the measurement in the reverse direction revealed an interesting behavior in the form of strongly pronounced 'steps'. The bonds between Si-O and the -CH{sub 3} group reduced the surface charge density, and the distribution of the surface charge density depended on [MTES/(MTES+O{sub 2})] flow rate ratio and the annealing temperature because the fixed positive (Si-CH{sub 3}){sup +} and negative (Si-O){sup -} changed the configuration at the SiOC(-H)/p-Si(100) interface. The SiOC(-H) film had donor (O{sub 2}) and acceptor (Si-CH{sub 3} -groups) levels, and the electronic process at the SiOC(-H)/p-Si(100) interface was defined by the (Si-CH{sub 3}){sup +} and the (Si-O){sup -} bonds.

  8. Rate equation analysis of hydrogen uptake on Si (100) surfaces

    International Nuclear Information System (INIS)

    Inanaga, S.; Rahman, F.; Khanom, F.; Namiki, A.

    2005-01-01

    We have studied the uptake process of H on Si (100) surfaces by means of rate equation analysis. Flowers' quasiequilibrium model for adsorption and desorption of H [M. C. Flowers, N. B. H. Jonathan, A. Morris, and S. Wright, Surf. Sci. 396, 227 (1998)] is extended so that in addition to the H abstraction (ABS) and β 2 -channel thermal desorption (TD) the proposed rate equation further includes the adsorption-induced desorption (AID) and β 1 -TD. The validity of the model is tested by the experiments of ABS and AID rates in the reaction system H+D/Si (100). Consequently, we find it can well reproduce the experimental results, validating the proposed model. We find the AID rate curve as a function of surface temperature T s exhibits a clear anti-correlation with the bulk dangling bond density versus T s curve reported in the plasma-enhanced chemical vapor deposition (CVD) for amorphous Si films. The significance of the H chemistry in plasma-enhanced CVD is discussed

  9. Sub-barrier fusion of Si+Si systems

    Science.gov (United States)

    Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Bourgin, D.; Čolović, P.; Corradi, L.; Courtin, S.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Urbani, M.; Szilner, S.; Zhang, G. L.

    2017-11-01

    The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC) calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3- excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.

  10. Sub-barrier fusion of Si+Si systems

    Directory of Open Access Journals (Sweden)

    Colucci G.

    2017-01-01

    Full Text Available The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3− excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.

  11. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  12. The Stellar Imager (SI) - A Mission to Resolve Stellar Surfaces, Interiors, and Magnetic Activity

    International Nuclear Information System (INIS)

    Christensen-Dalsgaard, Joergen; Carpenter, Kenneth G; Schrijver, Carolus J; Karovska, Margarita

    2011-01-01

    The Stellar Imager (SI) is a space-based, UV/Optical Interferometer (UVOI) designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and of the Universe in general. It will also probe via asteroseismology flows and structures in stellar interiors. SI will enable the development and testing of a predictive dynamo model for the Sun, by observing patterns of surface activity and imaging of the structure and differential rotation of stellar interiors in a population study of Sun-like stars to determine the dependence of dynamo action on mass, internal structure and flows, and time. SI's science focuses on the role of magnetism in the Universe and will revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes in the Universe. SI is a 'Landmark/Discovery Mission' in the 2005 Heliophysics Roadmap, an implementation of the UVOI in the 2006 Astrophysics Strategic Plan, and a NASA Vision Mission ('NASA Space Science Vision Missions' (2008), ed. M. Allen). We present here the science goals of the SI Mission, a mission architecture that could meet those goals, and the technology development needed to enable this mission. Additional information on SI can be found at: http://hires.gsfc.nasa.gov/si/.

  13. The Stellar Imager (SI) - A Mission to Resolve Stellar Surfaces, Interiors, and Magnetic Activity

    Science.gov (United States)

    Christensen-Dalsgaard, Jørgen; Carpenter, Kenneth G.; Schrijver, Carolus J.; Karovska, Margarita; Si Team

    2011-01-01

    The Stellar Imager (SI) is a space-based, UV/Optical Interferometer (UVOI) designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and of the Universe in general. It will also probe via asteroseismology flows and structures in stellar interiors. SI will enable the development and testing of a predictive dynamo model for the Sun, by observing patterns of surface activity and imaging of the structure and differential rotation of stellar interiors in a population study of Sun-like stars to determine the dependence of dynamo action on mass, internal structure and flows, and time. SI's science focuses on the role of magnetism in the Universe and will revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes in the Universe. SI is a "Landmark/Discovery Mission" in the 2005 Heliophysics Roadmap, an implementation of the UVOI in the 2006 Astrophysics Strategic Plan, and a NASA Vision Mission ("NASA Space Science Vision Missions" (2008), ed. M. Allen). We present here the science goals of the SI Mission, a mission architecture that could meet those goals, and the technology development needed to enable this mission. Additional information on SI can be found at: http://hires.gsfc.nasa.gov/si/.

  14. C and Si delta doping in Ge by CH_3SiH_3 using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Mai, Andreas; Tillack, Bernd

    2016-01-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH_3SiH_3 is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H_2 or N_2 carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N_2 as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH_3SiH_3 is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H_2 as carrier gas, lower incorporated C is observed in comparison to Si. CH_3SiH_3 injected with H_2 carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N_2 at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH_3SiH_3 exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH_3SiH_3 adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  15. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  16. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  17. Joining of SiC ceramics and SiC/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Rabin, B.H. [Idaho National Engineering Lab., Idaho Falls, ID (United States)

    1996-08-01

    This project has successfully developed a practical and reliable method for fabricating SiC ceramic-ceramic joints. This joining method will permit the use of SiC-based ceramics in a variety of elevated temperature fossil energy applications. The technique is based on a reaction bonding approach that provides joint interlayers compatible with SiC, and excellent joint mechanical properties at temperatures exceeding 1000{degrees}C. Recent emphasis has been given to technology transfer activities, and several collaborative research efforts are in progress. Investigations are focusing on applying the joining method to sintered {alpha}-SiC and fiber-reinforced SiC/SiC composites for use in applications such as heat exchangers, radiant burners and gas turbine components.

  18. Hydrogen and oxygen behaviors on Porous-Si surfaces observed using a scanning ESD ion microscope

    International Nuclear Information System (INIS)

    Itoh, Yuki; Ueda, Kazuyuki

    2004-01-01

    A scanning electron-stimulated desorption (ESD) ion microscope (SESDIM) measured the 2-D images of hydrogen and oxygen distribution on solid surfaces. A primary electron beam at 600 eV, with a pulse width of 220 ns, resulted in ion yields of H + and O + . This SESDIM is applied to the surface analysis of Porous-Si (Po-Si) partially covered with SiN films. During the heating of a specimen of the Po-Si at 800 deg. C under ultra-high-vacuum (UHV) conditions, the components of the surface materials were moved or diffused by thermal decomposition accompanied by a redistribution of hydrogen and oxygen. After cyclic heating of above 800 deg. C, the dynamic behaviors of H + and O + accompanied by the movements of the SiN layers were observed as images of H + and O + . This was because the H + and O + ions have been identified as composite materials by their kinetic energies

  19. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  20. Comparative Study of Catalytic Oxidation of Ethanol to Acetaldehyde Using Fe(III Dispersed on Sb2O5 Grafted on SiO2 and on Untreated SiO2 Surfaces

    Directory of Open Access Journals (Sweden)

    Benvenutti Edilson V.

    1998-01-01

    Full Text Available Fe(III was supported on Sb(V oxide grafted on the silica gel surface and directly on the silica gel surface using ion-exchange and impregnation processes producing Fe/Sb/SiO2 and Fe/SiO2, respectively. The catalytic conversion of ethanol to acetaldehyde was much more efficient using Fe/Sb/SiO2 than Fe/SiO2 as catalyst. This higher efficiency of the former catalyst takes into account two aspects: a the new phase FeSbO4 formed when Fe/Sb/SiO2 is heat treated and, b it is higher dispersion on the matrix.

  1. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    International Nuclear Information System (INIS)

    Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel

  2. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)

    2008-07-30

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.

  3. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  4. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  5. Silver-coated Si nanograss as highly sensitive surface-enhanced Raman spectroscopy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Jing; Kuo, Huei Pei; Hu, Min; Li, Zhiyong; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Ou, Fung Suong [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Rice University, Department of Applied Physics, Houston, TX (United States); Stickle, William F. [Hewlett-Packard Company, Advanced Diagnostic Lab, Corvallis, OR (United States)

    2009-09-15

    We created novel surface-enhanced Raman spectroscopy (SERS) substrates by metalization (Ag) of Si nanograss prepared by a Bosch process which involves deep reactive ion etching of single crystalline silicon. No template or lithography was needed for making the Si nanograss, thus providing a simple and inexpensive method to achieve highly sensitive large-area SERS substrates. The dependence of the SERS effect on the thickness of the metal deposition and on the surface morphology and topology of the substrate prior to metal deposition was studied in order to optimize the SERS signals. We observed that the Ag-coated Si nanograss can achieve uniform SERS enhancement over large area ({proportional_to}1 cm x 1 cm) with an average EF (enhancement factor) of 4.2 x 10{sup 8} for 4-mercaptophenol probe molecules. (orig.)

  6. Simulation, microstructure and microhardness of the nano-SiC coating formed on Al surface via laser shock processing

    International Nuclear Information System (INIS)

    Cui, C.Y.; Cui, X.G.; Zhao, Q.; Ren, X.D.; Zhou, J.Z.; Liu, Z.; Wang, Y.M.

    2014-01-01

    Highlights: • Nano-SiC coating is successfully fabricated on pure Al surface via LSPC. • Movement states of the nano-SiC particles are analyzed by FEM. • Formation mechanism of the nano-SiC coating is put forward and discussed. • Microhardness of the Al is significantly improved due to the nano-SiC coating. - Abstract: A novel method, laser shock processing coating (LSPC), has been developed to fabricate a particle-reinforced coating based on laser shock processing (LSP). In this study, a nano-SiC coating is successfully prepared on pure Al surface via LSPC. The surface and cross section morphologies as well as the compositions of nano-SiC coating are investigated. Moreover, a finite element method (FEM) is employed to clarify the formation process of nano-SiC coating. On the basis of the above analyzed results, a possible formation mechanism of the nano-SiC coating is tentatively put forward and discussed. Furthermore, the nano-SiC coating shows superior microhardness over the Al substrate

  7. AFM and FTIR characterization of microcrystalline Si obtained from isothermal annealing of Al/a-Si:H

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M.; Orduna-Diaz, A.; Delgado-Macuil, R. [Centro de Investigacion en Biotecnologia Aplicada (CIBA), IPN, Tlaxcala, Tlax. 72197 (Mexico); Olvera-Hernandez, J. [Centro de Investigacion en Dispositivos Semiconductores (CIDS), BUAP, Puebla, Pue. 72570 (Mexico); Navarro-Contreras, H.; Vidal, M.A.; Saucedo, N.; Mendez-Garcia, V.H. [Instituto de Investigacion en Comunicacion Optica (IICO), UASLP, San Luis Potosi, S.L.P. 78100 (Mexico)

    2007-04-15

    Atomic force microscopy and Fourier transform infrared spectroscopy were used to investigate the morphology of the microcrystalline surface, and also the amorphous-crystalline structural transformation of a-Si:H films, isothermally annealed during several hours. Crystallization process was strongly influenced by the deposition of an Al layer on the surface of a-Si:H samples. Representative AFM images show the presence of grains, which increase in diameter with the annealing time. Relative crystallized fraction as a function of the annealing time can be described adequately by using the Avrami equation. The kinetic of this crystallization process suggest a two-dimensional growth of the Si nuclei. Fourier transform infrared measurements show the presence of an intense band near 512 cm{sup -1} associated to Si-Si bonding. We observed the relative diminishing of the intensity of the Si-H wagging mode at 694 cm{sup -1} with annealing time, suggesting effusion of hydrogen to the surface of microcrystalline films. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Microstructure and Mechanical Property of SiCf/SiC and Cf/SiC Composites

    International Nuclear Information System (INIS)

    Lee, S P; Cho, K S; Lee, H U; Lee, J K; Bae, D S; Byun, J H

    2011-01-01

    The mechanical properties of SiC based composites reinforced with different types of fabrics have been investigated, in conjunction with the detailed analyses of their microstructures. The thermal shock properties of SiC f /SiC composites were also examined. All composites showed a dense morphology in the matrix region. Carbon coated PW-SiC f /SiC composites had a good fracture energy, even if their strength was lower than that of PW-C f /SiC composites. SiC f /SiC composites represented a great reduction of flexural strength at the thermal shock temperature difference of 300 deg. C.

  9. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  10. Interaction of submonolayer Bi films with the Si(100) surface

    International Nuclear Information System (INIS)

    Goryachko, A.M.; Melnik, P.V.; Nakhodkin, M.G.

    1999-01-01

    Scanning tunneling microscopy and Auger electron spectroscopy were used to investigate interaction of submonolayer Bi films with the Si(100)-2x1 surface. Ultra small Bi amounts (≤ 0.15ML) do not form ordered structures, if deposited at room temperature. Annealing at 400 degree C causes Bi to coalesce into small islands of the densely packed 2x1 phase. Simultaneously, vacancy clusters are produced in the substrate, which remain after desorption of Bi at 600 degree C. In contrast, room temperature deposition and thermal desorption of larger Bi amounts (≥ 0.25 ML) produces vacancies grouped into lines. Further annealing of such a substrate in the temperature range of 600 degree C ≤ T ≤ 750 degree C causes the phase transition between the Si(100)-2xn and Si(100)-c(4x4)

  11. Crystalline and lattice matched Ba0.7Si0.3O layers on plane and vicinal Si(001) surfaces

    International Nuclear Information System (INIS)

    Zachariae, J.

    2006-01-01

    In this work the low temperature growth conditions of epitaxial and lattice-matched Ba 0.7 Sr 0.3 O layers on Si(100) were investigated using the combination of low energy electron diffraction (LEED), x-ray photoemission (XPS) and electron energy loss spectroscopy (EELS). With these methods crystallinity, stoichiometry and electronic structure of both occupied and unoccupied levels were studied as a function of layer thickness. Oxide layers were generated by evaporating the metals in oxygen ambient pressure with the sample at room temperature. Perfect crystallinity and lattice matching was only obtained starting with a preadsorbed monolayer (ML) of Sr or Ba at a concentration close to one monolayer. The XPS analysis shows that Ba 0.7 Sr 0.3 O as a high-K gate dielectric offers an adequate band gap, an appropriate band alignment and a atomically sharp interface to the Si(001) substrate. No silicide and silicate species, or SiO 2 formation at the interface after oxidation were found. To show that Ba 0.7 Sr 0.3 O is really appropriate to replace SiO 2 as a gate dielectric, first C-V and I-V curves of MOS-diodes with SrO, BaO and Ba 0.7 Sr 0.3 O as gateoxide were measured under ambient conditions. Besides other results, it turns out that the measured dielectric constant of Ba 0.7 Sr 0.3 O conforms with the expected value of ε ∼ 25 - 30. Exploring ways for self-organized structuring of insulating films, the possibility to produce replicas of step trains, given by a vicinal Si(001)-4 [110] surface, in layers of crystalline and perfectly lattice matched Ba 0.7 Sr 0.3 O were investigated. For this purpose high-resolution spot profile analyses in low-energy electron diffraction (SPA-LEED) both on flat Si(001) and on vicinal Si(001)-4 [110] were carried out. The G(S) analysis of these mixed oxide layers reveals a strong influence of local compositional fluctuations of Sr and Ba ions and their respective scattering phases, which appears as an unphysically large variation

  12. Chitosan/siRNA nanoparticles encapsulated in PLGA nanofibers for siRNA delivery

    DEFF Research Database (Denmark)

    Chen, Menglin; Gao, Shan; Dong, Mingdong

    2012-01-01

    Composite nanofibers of biodegradable poly(d,l-lactic-co-glycolic acid) (PLGA) encapsulating chitosan/siRNA nanoparticles (NPs) were prepared by electrospinning. Acidic/alkaline hydrolysis and a bulk/surface degradation mechanism were investigated in order to achieve an optimized release profile...... for prolonged and efficient gene silencing. Thermo-controlled AFM in situ imaging not only revealed the integrity of the encapsulated chitosan/siRNA polyplex but also shed light on the decreasing Tg of PLGA on the fiber surfaces during release. A triphasic release profile based on bulk erosion was obtained at p......RNA transfection, where the encapsulated chitosan/siRNA NPs exhibited up to 50% EGFP gene silencing activity after 48 h post-transfection on H1299 cells....

  13. Density Functional Calculation of the 0.5ML-Terminated Allyl Mercaptan/Si(100)-(2 × 1) Surface

    International Nuclear Information System (INIS)

    Chun-Mei, Tang; Kai-Ming, Deng; Xuan, Chen; Chuan-Yun, Xiao; Yu-Zhen, Liu; Qun-Xiang, Li

    2009-01-01

    The structural and electronic properties of the 0.5 ML-terminated allyl mercaptan (ALM)/Si(100)-(2 × 1) surface are studied using the density functional method. The calculated absorption energy of the ALM molecule on the 0.5 ML-terminated ALM/Si(100)-(2 × 1) surface is 3.36 eV, implying that adsorption is strongly favorable. The electronic structure calculations show that the ALM/Si(100)-(2 × 1), the clean Si(100)-(2 × 1), and the fully-terminated H/Si(100)-(2 × 1) surfaces have the nature of an indirect band gap semiconductor. The highest occupied molecular orbital is dominated by the ALM, confirming the mechanism proposed by Hossain for its chain reaction. (condensed matter: structure, mechanical and thermal properties)

  14. Dynamics of Defects and Dopants in Complex Systems: Si and Oxide Surfaces and Interfaces

    Science.gov (United States)

    Kirichenko, Taras; Yu, Decai; Banarjee, Sanjay; Hwang, Gyeong

    2004-10-01

    Fabrication of forthcoming nanometer scale electronic devices faces many difficulties including formation of extremely shallow and highly doped junctions. At present, ultra-low-energy ion implantation followed by high-temperature thermal annealing is most widely used to fabricate such ultra-shallow junctions. In the process, a great challenge lies in achieving precise control of redistribution and electrical activation of dopant impurities. Native defects (such as vacancies and interstitials) generated during implantation are known to be mainly responsible for the TED and also influence significantly the electrical activation/deactivation. Defect-dopant dynamics is rather well understood in crystalline Si and SiO2. However, little is known about their diffusion and annihilation (or precipitation) at the surfaces and interfaces, despite its growing importance in determining junction profiles as device dimensions get smaller. In this talk, we will present our density functional theory calculation results on the atomic and electronic structure and dynamical behavior of native defects and dopant-defect complexes in disordered/strained Si and oxide systems, such as i) clean and absorbent-modified Si(100) surface and subsurface layers, ii) amorphous-crystalline Si interfaces and iii) amorphous SiO2/Si interfaces. The fundamental understanding and data is essential in developing a comprehensive kinetic model for junction formation, which would contribute greatly in improving current process technologies.

  15. Direct Imaging of Stellar Surfaces: Results from the Stellar Imager (SI) Vision Mission Study

    Science.gov (United States)

    Carpenter, Kenneth; Schrijver, Carolus; Karovska, Margarita

    2006-01-01

    The Stellar Imager (SI) is a UV-Optical, Space-Based Interferometer designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and stellar interiors (via asteroseismology) and of the Universe in general. SI is identified as a "Flagship and Landmark Discovery Mission'' in the 2005 Sun Solar System Connection (SSSC) Roadmap and as a candidate for a "Pathways to Life Observatory'' in the Exploration of the Universe Division (EUD) Roadmap (May, 2005). The ultra-sharp images of the Stellar Imager will revolutionize our view of many dynamic astrophysical processes: The 0.1 mas resolution of this deep-space telescope will transform point sources into extended sources, and snapshots into evolving views. SI's science focuses on the role of magnetism in the Universe, particularly on magnetic activity on the surfaces of stars like the Sun. SI's prime goal is to enable long-term forecasting of solar activity and the space weather that it drives in support of the Living With a Star program in the Exploration Era. SI will also revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes in the Universe. In this paper we will discuss the results of the SI Vision Mission Study, elaborating on the science goals of the SI Mission and a mission architecture that could meet those goals.

  16. The Stellar Imager (SI) - A Mission to Resolve Stellar Surfaces, Interiors, and Magnetic Activity

    Energy Technology Data Exchange (ETDEWEB)

    Christensen-Dalsgaard, Joergen [Department of Physics and Astronomy, Aarhus University (Denmark); Carpenter, Kenneth G [Code 667 NASA-GSFC, Greenbelt, MD 20771 (United States); Schrijver, Carolus J [LMATC 3251 Hanover St., Bldg. 252, Palo Alto, CA 94304 (United States); Karovska, Margarita, E-mail: jcd@phys.au.d, E-mail: Kenneth.G.Carpenter@nasa.gov, E-mail: schryver@lmsal.com, E-mail: karovska@head.cfa.harvard.edu [60 Garden St., Cambridge, MA 02138 (United States)

    2011-01-01

    The Stellar Imager (SI) is a space-based, UV/Optical Interferometer (UVOI) designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and of the Universe in general. It will also probe via asteroseismology flows and structures in stellar interiors. SI will enable the development and testing of a predictive dynamo model for the Sun, by observing patterns of surface activity and imaging of the structure and differential rotation of stellar interiors in a population study of Sun-like stars to determine the dependence of dynamo action on mass, internal structure and flows, and time. SI's science focuses on the role of magnetism in the Universe and will revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes in the Universe. SI is a 'Landmark/Discovery Mission' in the 2005 Heliophysics Roadmap, an implementation of the UVOI in the 2006 Astrophysics Strategic Plan, and a NASA Vision Mission ('NASA Space Science Vision Missions' (2008), ed. M. Allen). We present here the science goals of the SI Mission, a mission architecture that could meet those goals, and the technology development needed to enable this mission. Additional information on SI can be found at: http://hires.gsfc.nasa.gov/si/.

  17. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  18. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  19. Thermally induced formation of SiC nanoparticles from Si/C/Si multilayers deposited by ultra-high-vacuum ion beam sputtering

    International Nuclear Information System (INIS)

    Chung, C-K; Wu, B-H

    2006-01-01

    A novel approach for the formation of SiC nanoparticles (np-SiC) is reported. Deposition of Si/C/Si multilayers on Si(100) wafers by ultra-high-vacuum ion beam sputtering was followed by thermal annealing in vacuum for conversion into SiC nanoparticles. The annealing temperature significantly affected the size, density, and distribution of np-SiC. No nanoparticles were formed for multilayers annealed at 500 0 C, while a few particles started to appear when the annealing temperature was increased to 700 0 C. At an annealing temperature of 900 0 C, many small SiC nanoparticles, of several tens of nanometres, surrounding larger submicron ones appeared with a particle density approximately 16 times higher than that observed at 700 0 C. The higher the annealing temperature was, the larger the nanoparticle size, and the higher the density. The higher superheating at 900 0 C increased the amount of stable nuclei, and resulted in a higher particle density compared to that at 700 0 C. These particles grew larger at 900 0 C to reduce the total surface energy of smaller particles due to the higher atomic mobility and growth rate. The increased free energy of stacking defects during particle growth will limit the size of large particles, leaving many smaller particles surrounding the large ones. A mechanism for the np-SiC formation is proposed in this paper

  20. Role of SiC substrate surface on local tarnishing of deposited silver mirror stacks

    Science.gov (United States)

    Limam, Emna; Maurice, Vincent; Seyeux, Antoine; Zanna, Sandrine; Klein, Lorena H.; Chauveau, Grégory; Grèzes-Besset, Catherine; Savin De Larclause, Isabelle; Marcus, Philippe

    2018-04-01

    The role of the SiC substrate surface on the resistance to the local initiation of tarnishing of thin-layered silver stacks for demanding space mirror applications was studied by combined surface and interface analysis on model stack samples deposited by cathodic magnetron sputtering and submitted to accelerated aging in gaseous H2S. It is shown that suppressing the surface pores resulting from the bulk SiC material production process by surface pretreatment eliminates the high aspect ratio surface sites that are imperfectly protected by the SiO2 overcoat after the deposition of silver. The formation of channels connecting the silver layer to its environment through the failing protection layer at the surface pores and locally enabling H2S entry and Ag2S growth as columns until emergence at the stack surface is suppressed, which markedly delays tarnishing initiation and thereby preserves the optical performance. The results revealed that residual tarnishing initiation proceeds by a mechanism essentially identical in nature but involving different pathways short circuiting the protection layer and enabling H2S ingress until the silver layer. These permeation pathways are suggested to be of microstructural origin and could correspond to the incompletely coalesced intergranular boundaries of the SiO2 layer.

  1. Interactions of atomic hydrogen with amorphous SiO2

    Science.gov (United States)

    Yue, Yunliang; Wang, Jianwei; Zhang, Yuqi; Song, Yu; Zuo, Xu

    2018-03-01

    Dozens of models are investigated by the first-principles calculations to simulate the interactions of an atomic hydrogen with a defect-free random network of amorphous SiO2 (a-SiO2) and oxygen vacancies. A wide variety of stable configurations are discovered due to the disorder of a-SiO2, and their structures, charges, magnetic moments, spin densities, and density of states are calculated. The atomic hydrogen interacts with the defect-free a-SiO2 in positively or negatively charged state, and produces the structures absent in crystalline SiO2. It passivates the neutral oxygen vacancies and generates two neutral hydrogenated E‧ centers with different Si dangling bond projections. Electron spin resonance parameters, including Fermi contacts, and g-tensors, are calculated for these centers. The atomic hydrogen interacts with the positive oxygen vacancies in dimer configuration, and generate four different positive hydrogenated defects, two of which are puckered like the Eγ‧ centers. This research helps to understand the interactions between an atomic hydrogen, and defect-free a-SiO2 and oxygen vacancies, which may generate the hydrogen-complexed defects that play a key role in the degeneration of silicon/silica-based microelectronic devices.

  2. SiC Nanoparticles Toughened-SiC/MoSi2-SiC Multilayer Functionally Graded Oxidation Protective Coating for Carbon Materials at High Temperatures

    Science.gov (United States)

    Abdollahi, Alireza; Ehsani, Naser; Valefi, Zia; Khalifesoltani, Ali

    2017-05-01

    A SiC nanoparticle toughened-SiC/MoSi2-SiC functionally graded oxidation protective coating on graphite was prepared by reactive melt infiltration (RMI) at 1773 and 1873 K under argon atmosphere. The phase composition and anti-oxidation behavior of the coatings were investigated. The results show that the coating was composed of MoSi2, α-SiC and β-SiC. By the variations of Gibbs free energy (calculated by HSC Chemistry 6.0 software), it could be suggested that the SiC coating formed at low temperatures by solution-reprecipitation mechanism and at high temperatures by gas-phase reactions and solution-reprecipitation mechanisms simultaneously. SiC nanoparticles could improve the oxidation resistance of SiC/MoSi2-SiC multiphase coating. Addition of SiC nanoparticles increases toughness of the coating and prevents spreading of the oxygen diffusion channels in the coating during the oxidation test. The mass loss and oxidation rate of the SiC nanoparticle toughened-SiC/MoSi2-SiC-coated sample after 10-h oxidation at 1773 K were only 1.76% and 0.32 × 10-2 g/cm3/h, respectively.

  3. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  4. Residual thermal desorption studies of Ga adatoms on trenched Si(5 5 12) surface

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kumar, Mahesh; Shivaprasad, S.M.

    2013-01-01

    We present here the thermal stability studies of the room temperature adsorbed Ga/Si(5 5 12) interfaces in the monolayer coverage regime, using AES and LEED as in-situ UHV characterization probes. Ga grows in Stranski–Krastanov growth mode at RT on the 2 × 1 reconstructed Si(5 5 12) surface where islands form on top of 2 ML of flat pseudomorphic Ga, yielding a (1 × 1) LEED pattern for coverages of 1.2 ML and above. When this RT adsorbed Ga/Si(5 5 12) interface is annealed at different temperatures, initially the strained Ga adlayers relax by agglomerating into 3D islands on top of a single Ga monolayer with an activation energy of 0.19 eV in the temperature range of 200–300 °C. The remnant Ga monolayer with a sharp (1 × 1) LEED pattern desorbs at temperature >400 °C, yielding the (1 1 2)–6 × 1 and 2 × (3 3 7) sub-monolayer superstructural. Finally at 720 °C Ga completely desorbs from the surface and leaves the clean 2 × 1 reconstructed Si(5 5 12) surface. The studies demonstrate the richness of the atomically trenched high index Si(5 5 12) surface, in obtaining several anisotropic features that can be used as templates to grow self-assembled nanostructures.

  5. Residual thermal desorption studies of Ga adatoms on trenched Si(5 5 12) surface

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India); ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Kumar, Mahesh [Physics and Energy Harvesting Group, National Physical Laboratory, New Delhi 110012 (India); Shivaprasad, S.M., E-mail: smsprasad@jncasr.ac.in [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India)

    2013-10-01

    We present here the thermal stability studies of the room temperature adsorbed Ga/Si(5 5 12) interfaces in the monolayer coverage regime, using AES and LEED as in-situ UHV characterization probes. Ga grows in Stranski–Krastanov growth mode at RT on the 2 × 1 reconstructed Si(5 5 12) surface where islands form on top of 2 ML of flat pseudomorphic Ga, yielding a (1 × 1) LEED pattern for coverages of 1.2 ML and above. When this RT adsorbed Ga/Si(5 5 12) interface is annealed at different temperatures, initially the strained Ga adlayers relax by agglomerating into 3D islands on top of a single Ga monolayer with an activation energy of 0.19 eV in the temperature range of 200–300 °C. The remnant Ga monolayer with a sharp (1 × 1) LEED pattern desorbs at temperature >400 °C, yielding the (1 1 2)–6 × 1 and 2 × (3 3 7) sub-monolayer superstructural. Finally at 720 °C Ga completely desorbs from the surface and leaves the clean 2 × 1 reconstructed Si(5 5 12) surface. The studies demonstrate the richness of the atomically trenched high index Si(5 5 12) surface, in obtaining several anisotropic features that can be used as templates to grow self-assembled nanostructures.

  6. Effects of sintering additives on the microstructural and mechanical properties of the ion-irradiated SiCf/SiC

    Science.gov (United States)

    Fitriani, Pipit; Sharma, Amit Siddharth; Yoon, Dang-Hyok

    2018-05-01

    SiCf/SiC composites containing three different types of sintering additives viz. Sc-nitrate, Al2O3-Sc2O3, and Al2O3-Y2O3, were subjected to ion irradiation using 0.2 MeV H+ ions with a fluence of 3 × 1020 ions/m2 at room temperature. Although all composites showed volumetric swelling upon ion irradiation, SiCf/SiC with Sc-nitrate showed the smallest change followed by those with the Al2O3-Sc2O3 and Al2O3-Y2O3 additives. In particular, SiCf/SiC containing the conventional Al2O3-Y2O3 additive revealed significant microstructural changes, such as surface roughening and the formation of cracks and voids, resulting in reduced fiber pullout upon irradiation. On the other hand, the SiCf/SiC with Sc-nitrate showed the highest resistance against ion irradiation without showing any macroscopic changes in surface morphology and mechanical strength, indicating the importance of the sintering additive in NITE-based SiCf/SiC for nuclear structural applications.

  7. Fabrication and Mechanical Properties of SiCw(p/SiC-Si Composites by Liquid Si Infiltration using Pyrolysed Rice Husks and SiC Powders as Precursors

    Directory of Open Access Journals (Sweden)

    Dan Zhu

    2014-03-01

    Full Text Available Dense silicon carbide (SiC matrix composites with SiC whiskers and particles as reinforcement were prepared by infiltrating molten Si at 1550 °C into porous preforms composed of pyrolysed rice husks (RHs and extra added SiC powder in different ratios. The Vickers hardness of the composites showed an increase from 18.6 to 21.3 GPa when the amount of SiC added in the preforms was 20% (w/w, and then decreased to 17.3 GPa with the increase of SiC added in the preforms up to 80% (w/w. The values of flexural strength of the composites initially decreased when 20% (w/w SiC was added in the preform and then increased to 587 MPa when the SiC concentration reached 80% (w/w. The refinement of SiC particle sizes and the improvement of the microstructure in particle distribution of the composites due to the addition of external SiC played an effective role in improving the mechanical properties of the composites.

  8. Self-assembly of Ge quantum dots on periodically corrugated Si surfaces

    International Nuclear Information System (INIS)

    Buljan, M.; Jerčinović, M.; Radić, N.; Facsko, S.; Baehtz, C.; Muecklich, A.; Grenzer, J.; Delač Marion, I.; Mikšić Trontl, V.; Kralj, M.; Holý, V.

    2015-01-01

    The fabrication of regularly ordered Ge quantum dot arrays on Si surfaces usually requires extensive preparation processing, ensuring clean and atomically ordered substrates, while the ordering parameters are quite limited by the surface properties of the substrate. Here, we demonstrate a simple method for fabrication of ordered Ge quantum dots with highly tunable ordering parameters on rippled Si surfaces. The ordering is achieved by magnetron sputter deposition, followed by an annealing in high vacuum. We show that the type of ordering and lattice vector parameters of the formed Ge quantum dot lattice are determined by the crystallographic properties of the ripples, i.e., by their shape and orientation. Moreover, the ordering is achieved regardless the initial amorphisation of the ripples surface and the presence of a thin oxide layer

  9. Morphology and Surface Energy of a Si Containing Semifluorinated Di-block Copolymer Thin Films.

    Science.gov (United States)

    Shrestha, Umesh; Clarson, Stephen; Perahia, Dvora

    2013-03-01

    The structure and composition of an interface influence stability, adhesiveness and response to external stimuli of thin polymeric films. Incorporation of fluorine affects interfacial energy as well as thermal and chemical stability of the layers. The incompatibility between the fluorinated and non-fluorinated blocks induces segregation that leads to long range correlations where the tendency of the fluorine to migrate to interfaces impacts the surface tension of the films. Concurrently Si in a polymeric backbone enhances the flexibility of polymeric chains. Our previous studies of poly trifluoro propyl methyl siloxane-polystyrene thin films with SiF fraction 0.03-0.5 as a function of temperature have shown that the SiF block drives layering parallel to the surface of the diblock. Here in we report the structure and interfacial energies of SiF-PS in the plane of the films, as a function of the volume fraction of the SiF block obtained from Atomic Force microscopy and contact angle measurement studies. This work is supported by NSF DMR - 0907390

  10. Atomic scale study of the chemistry of oxygen, hydrogen and water at SiC surfaces

    International Nuclear Information System (INIS)

    Amy, Fabrice

    2007-01-01

    Understanding the achievable degree of homogeneity and the effect of surface structure on semiconductor surface chemistry is both academically challenging and of great practical interest to enable fabrication of future generations of devices. In that respect, silicon terminated SiC surfaces such as the cubic 3C-SiC(1 0 0) 3 x 2 and the hexagonal 6H-SiC(0 0 0 1) 3 x 3 are of special interest since they give a unique opportunity to investigate the role of surface morphology on oxygen or hydrogen incorporation into the surface. In contrast to silicon, the subsurface structure plays a major role in the reactivity, leading to unexpected consequences such as the initial oxidation starting several atomic planes below the top surface or the surface metallization by atomic hydrogen. (review article)

  11. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  12. Real-time monitoring of initial thermal oxidation on Si(001) surfaces by synchrotron radiation photoemission spectroscopy

    CERN Document Server

    Yoshigoe, A; Teraoka, Y

    2003-01-01

    The thermal oxidation of Si(001) surfaces at 860 K, 895 K, 945 K and 1000 K under the O sub 2 pressure of 1 x 10 sup - sup 4 Pa has been investigated by time-resolved photoemission measurements with synchrotron radiation. Based on time evolution analyses by reaction kinetics models, it was found that the oxidation at 860 K, 895 K and 945 K has progressed with the Langmuir adsorption type, whereas the oxidation at 1000 K has showed the character of the two-dimensional island growth involving SiO desorption. The oxidation rates increases with increasing surface temperature in the passive oxidation condition. The time evolution of each Si oxidation state (Si sup n sup + : n = 1, 2, 3, 4) derived from the Si-2p core-level shifts has also been analyzed. The results revealed that the thermal energy contribution to the migration process of the adsorbed oxygen and the emission of the bulk silicon atoms. Thus, the fraction of the Si sup 4 sup + bonding state, i.e. SiO sub 2 structure, was increased. (author)

  13. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  14. Oscillations in the fusion of the Si + Si systems; Oscilaciones en la fusion de sistemas de Si + Si

    Energy Technology Data Exchange (ETDEWEB)

    Aguilera R, E F; Kolata, J J; DeYoung, P A; Vega, J J [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    1986-02-15

    Excitation functions for the yields of all the residual nuclei from the {sup 28} Si + {sup 28,30} and {sup 30} Si + {sup 30} Si reactions have been measured via the {gamma}-ray technique for center of mass energies in the region within one and two times the Coulomb barrier.Thirteen elements were identified for the first reaction and ten for the other two. While no structure is shown by the data for the {sup 28} + {sup 28} Si reaction, we have found evidence for intermediate width structure in the 2{alpha} and the {alpha}pn channels in {sup 28} Si + {sup 30} Si and for broad structure in the total fusion cross sections for {sup 30} Si + {sup 30} Si. Calculations using a barrier penetration model with one free parameter reproduce the experimental results quite well. Evaporation model calculations indicate that the individual structure of the nuclei involved in the respective decay chains might have an important influence upon the deexcitation process at the energies relevant to our experiments. (Author)

  15. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  16. Combined sputtering yield and surface topography development studies on Si

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Lewis, G.W.; Whitton, J.L.

    1981-01-01

    The sputtering yield-incidence angle function has been measured for 8 keV Ar + ions incident on Si by direct scanning electron microscope observation of the depths of sputtered craters on substrate boundaries. This function displays a maximum sputtering yield at an angle thetasub(p) approximately equal to 40 0 to the surface normal. The sequential ion fluence dependence of features developed beneath local surface contaminant was then studied, quasi dynamically, in the same on-line ion source-S.E.M. system. During erosion of the contaminant a steeply elevated pillar of Si forms, which then transforms to a cone, again of high elevation angle >>thetasub(p). This cone is gradually eroded into the surrounding surface with no special significance associated with orientations of angle thetasub(p). Pedal depressions surrounding the pillar-cone system are also noted. The reasons for these observations and their relevance to ion beam surface channel etching are discussed. (Auth.)

  17. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valladares, L. de los Santos, E-mail: ld301@cam.ac.uk [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Dominguez, A. Bustamante [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Llandro, J.; Holmes, S. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Quispe, O. Avalos [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Langford, R. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Laboratório de Supercondutividade e Materiais Avançados, Departamento de Física, Universidade Federal de Pernambuco, 50670-901, Recife (Brazil); Barnes, C.H.W. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom)

    2014-10-15

    Highlights: • Annealing promotes outgassing of SiO{sub 2}/Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO{sub 2} islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO{sub 2}/Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10{sup 8}, respectively. Over an area of 22 × 10{sup −3} cm{sup 2} the density of bubbles obtained at slow annealing (9 × 10{sup 3} cm{sup −2}) is smaller than that at rapid annealing (6.4 × 10{sup 4} cm{sup −2}), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as

  18. Release of Si from Silicon, a Ferrosilicon (FeSi) Alloy and a Synthetic Silicate Mineral in Simulated Biological Media

    Science.gov (United States)

    Herting, Gunilla; Jiang, Tao; Sjöstedt, Carin; Odnevall Wallinder, Inger

    2014-01-01

    Unique quantitative bioaccessibility data has been generated, and the influence of surface/material and test media characteristics on the elemental release process were assessed for silicon containing materials in specific synthetic body fluids at certain time periods at a fixed loading. The metal release test protocol, elaborated by the KTH team, has previously been used for classification, ranking, and screening of different alloys and metals. Time resolved elemental release of Si, Fe and Al from particles, sized less than 50 µm, of two grades of metallurgical silicon (high purity silicon, SiHG, low purity silicon, SiLG), an alloy (ferrosilicon, FeSi) and a mineral (aluminium silicate, AlSi) has been investigated in synthetic body fluids of varying pH, composition and complexation capacity, simple models of for example dermal contact and digestion scenarios. Individual methods for analysis of released Si (as silicic acid, Si(OH)4) in synthetic body fluids using GF-AAS were developed for each fluid including optimisation of solution pH and graphite furnace parameters. The release of Si from the two metallurgical silicon grades was strongly dependent on both pH and media composition with the highest release in pH neutral media. No similar effect was observed for the FeSi alloy or the aluminium silicate mineral. Surface adsorption of phosphate and lactic acid were believed to hinder the release of Si whereas the presence of citric acid enhanced the release as a result of surface complexation. An increased presence of Al and Fe in the material (low purity metalloid, alloy or mineral) resulted in a reduced release of Si in pH neutral media. The release of Si was enhanced for all materials with Al at their outermost surface in acetic media. PMID:25225879

  19. Release of Si from silicon, a ferrosilicon (FeSi alloy and a synthetic silicate mineral in simulated biological media.

    Directory of Open Access Journals (Sweden)

    Gunilla Herting

    Full Text Available Unique quantitative bioaccessibility data has been generated, and the influence of surface/material and test media characteristics on the elemental release process were assessed for silicon containing materials in specific synthetic body fluids at certain time periods at a fixed loading. The metal release test protocol, elaborated by the KTH team, has previously been used for classification, ranking, and screening of different alloys and metals. Time resolved elemental release of Si, Fe and Al from particles, sized less than 50 µm, of two grades of metallurgical silicon (high purity silicon, SiHG, low purity silicon, SiLG, an alloy (ferrosilicon, FeSi and a mineral (aluminium silicate, AlSi has been investigated in synthetic body fluids of varying pH, composition and complexation capacity, simple models of for example dermal contact and digestion scenarios. Individual methods for analysis of released Si (as silicic acid, Si(OH4 in synthetic body fluids using GF-AAS were developed for each fluid including optimisation of solution pH and graphite furnace parameters. The release of Si from the two metallurgical silicon grades was strongly dependent on both pH and media composition with the highest release in pH neutral media. No similar effect was observed for the FeSi alloy or the aluminium silicate mineral. Surface adsorption of phosphate and lactic acid were believed to hinder the release of Si whereas the presence of citric acid enhanced the release as a result of surface complexation. An increased presence of Al and Fe in the material (low purity metalloid, alloy or mineral resulted in a reduced release of Si in pH neutral media. The release of Si was enhanced for all materials with Al at their outermost surface in acetic media.

  20. Fabrication of a bionic microstructure on a C/SiC brake lining surface: Positive applications of surface defects for surface wetting control

    Science.gov (United States)

    Wu, M. L.; Ren, C. Z.; Xu, H. Z.; Zhou, C. L.

    2018-05-01

    The material removal processes generate interesting surface topographies, unfortunately, that was usually considered to be surface defects. To date, little attention has been devoted to the positive applications of these interesting surface defects resulted from laser ablation to improve C/SiC surface wettability. In this study, the formation mechanism behind surface defects (residual particles) is discussed first. The results showed that the residual particles with various diameters experienced regeneration and migration, causing them to accumulate repeatedly. The effective accumulation of these residual particles with various diameters provides a new method about fabricating bionic microstructures for surface wetting control. The negligible influence of ablation processes on the chemical component of the subsurface was studied by comparing the C-O-Si weight percentage at the C/SiC subsurface. A group of microstructures were fabricated under different laser trace and different laser parameters. Surface wettability experimental results for different types of microstructures were compared. The results showed that the surface wettability increased as the laser scanning speed decreased. The surface wettability increased with the density of the laser scanning trace. We also demonstrated the application of optimized combination of laser parameters and laser trace to simulate a lotus leaf's microstructure on C/SiC surfaces. The parameter selection depends on the specific material properties.

  1. Surface and subsurface cracks characteristics of single crystal SiC wafer in surface machining

    Energy Technology Data Exchange (ETDEWEB)

    Qiusheng, Y., E-mail: qsyan@gdut.edu.cn; Senkai, C., E-mail: senkite@sina.com; Jisheng, P., E-mail: panjisheng@gdut.edu.cn [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou, 510006 (China)

    2015-03-30

    Different machining processes were used in the single crystal SiC wafer machining. SEM was used to observe the surface morphology and a cross-sectional cleavages microscopy method was used for subsurface cracks detection. Surface and subsurface cracks characteristics of single crystal SiC wafer in abrasive machining were analysed. The results show that the surface and subsurface cracks system of single crystal SiC wafer in abrasive machining including radial crack, lateral crack and the median crack. In lapping process, material removal is dominated by brittle removal. Lots of chipping pits were found on the lapping surface. With the particle size becomes smaller, the surface roughness and subsurface crack depth decreases. When the particle size was changed to 1.5µm, the surface roughness Ra was reduced to 24.0nm and the maximum subsurface crack was 1.2µm. The efficiency of grinding is higher than lapping. Plastic removal can be achieved by changing the process parameters. Material removal was mostly in brittle fracture when grinding with 325# diamond wheel. Plow scratches and chipping pits were found on the ground surface. The surface roughness Ra was 17.7nm and maximum subsurface crack depth was 5.8 µm. When grinding with 8000# diamond wheel, the material removal was in plastic flow. Plastic scratches were found on the surface. A smooth surface of roughness Ra 2.5nm without any subsurface cracks was obtained. Atomic scale removal was possible in cluster magnetorheological finishing with diamond abrasive size of 0.5 µm. A super smooth surface eventually obtained with a roughness of Ra 0.4nm without any subsurface crack.

  2. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  3. Analyses of the As doping of SiO{sub 2}/Si/SiO{sub 2} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, Francesco; Miritello, Maria [CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Tomasello, Mario Vincenzo [Scuola Superiore di Catania, via San Nullo 5/i, 95123 Catania (Italy); De Bastiani, Riccardo; Grimaldi, Maria Grazia [Dipartimento di Fisica ed Astronomia, Universita di Catania, via S. Sofia 64, 95123 Catania (Italy); CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Nicotra, Giuseppe; Spinella, Corrado [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), VIII Strada 5, 95121 Catania (Italy)

    2011-03-15

    We illustrate the behaviour of As when it is confined, by the implantation technique, in a SiO{sub 2}(70nm)/Si(30nm)/SiO{sub 2}(70nm) multilayer and its spatial redistribution when annealing processes are performed. By Rutherford backscattering spectrometry and Z-contrast transmission electron microscopy we found an As accumulation at the Si/SiO{sub 2} interfaces and at the Si grain boundaries with no segregation of the As in the Si layer. Such an effect is in agreement with a model that assumes a traps distribution in the Si in the first 2-3 nm above the SiO{sub 2}/Si interfaces and along the Si grain boundaries. The traps concentration at the Si/SiO{sub 2} interfaces was estimated in 10{sup 14} traps/cm{sup 2}. The outlined results can open perspectives on the doping properties of As in Si nanocrystals, whose applications in nanoelectronics and optoelectronics are widely investigated (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Effect of surface morphology and densification on the infrared emissivity of C/SiC composites

    International Nuclear Information System (INIS)

    Wang, Fuyuan; Cheng, Laifei; Zhang, Qing; Zhang, Litong

    2014-01-01

    Highlights: • The cauliflower-like microstructure improved the infrared emissivity multiply. • The infrared emissivity decreased continually with the improving surface flatness. • The densification process boosted the infrared emissivity. - Abstract: The effects of surface morphology and densification on the infrared emissivity of 2D C/SiC composites were investigated in 6–16 μm from 1000 °C to 1600 °C. As the sample surface was polished, the reflection and scattering for the electromagnetic waves of thermal radiation were reduced, causing a sustained decrease in the infrared emissivity. The space-variant polarizations caused by the cauliflower-like microstructure were enervated in the smooth surface, which enhanced the reduction trendy in the infrared emissivity. In densification process, the increasing SiC content and the growing amount of the cauliflower-like microstructure on sample surface improved the infrared emissivity of C/SiC composites, while the decreasing porosity decreased it. Due to the greater positive effects on the thermal radiation during the densification process, the infrared emissivity of C/SiC composites increased successively with density

  5. Effect of surface morphology and densification on the infrared emissivity of C/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Fuyuan, E-mail: wangfy1986@gmail.com; Cheng, Laifei; Zhang, Qing, E-mail: zhangqing@nwpu.edu.cn; Zhang, Litong

    2014-09-15

    Highlights: • The cauliflower-like microstructure improved the infrared emissivity multiply. • The infrared emissivity decreased continually with the improving surface flatness. • The densification process boosted the infrared emissivity. - Abstract: The effects of surface morphology and densification on the infrared emissivity of 2D C/SiC composites were investigated in 6–16 μm from 1000 °C to 1600 °C. As the sample surface was polished, the reflection and scattering for the electromagnetic waves of thermal radiation were reduced, causing a sustained decrease in the infrared emissivity. The space-variant polarizations caused by the cauliflower-like microstructure were enervated in the smooth surface, which enhanced the reduction trendy in the infrared emissivity. In densification process, the increasing SiC content and the growing amount of the cauliflower-like microstructure on sample surface improved the infrared emissivity of C/SiC composites, while the decreasing porosity decreased it. Due to the greater positive effects on the thermal radiation during the densification process, the infrared emissivity of C/SiC composites increased successively with density.

  6. Realization of a quantum Hamiltonian Boolean logic gate on the Si(001):H surface.

    Science.gov (United States)

    Kolmer, Marek; Zuzak, Rafal; Dridi, Ghassen; Godlewski, Szymon; Joachim, Christian; Szymonski, Marek

    2015-08-07

    The design and construction of the first prototypical QHC (Quantum Hamiltonian Computing) atomic scale Boolean logic gate is reported using scanning tunnelling microscope (STM) tip-induced atom manipulation on an Si(001):H surface. The NOR/OR gate truth table was confirmed by dI/dU STS (Scanning Tunnelling Spectroscopy) tracking how the surface states of the QHC quantum circuit on the Si(001):H surface are shifted according to the input logical status.

  7. Structure compatibility of TiO{sub 2} and SiO{sub 2} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tokarský, Jonáš, E-mail: jonas.tokarsky@vsb.cz; Čapková, Pavla

    2013-11-01

    A simple method for the estimation of the most suitable mutual crystallographic orientations of TiO{sub 2} nanoparticles anchored on SiO{sub 2} substrate is presented in this work. Number of overlapping titanium and oxygen atoms creating atomic pairs can be used to quantify the structure compatibility. These atomic pairs are obtained directly from non-optimized TiO{sub 2} and SiO{sub 2} atomic planes. The descriptions of algorithms being implemented as scripts into the MATLAB environment in order to make the method more effective are also provided. This method can help with the selection of the most promising (h k l) planes of TiO{sub 2} and SiO{sub 2} adjacent surfaces and the outputs are in good agreement with results of molecular modeling of TiO{sub 2} nanoparticles anchored on SiO{sub 2} surfaces within the meaning of ability to determine the optimized models with the highest and the lowest TiO{sub 2}–SiO{sub 2} adhesion energies. To the best of our knowledge, there is no other such simple and efficient method providing this information, which is very important for molecular modeling of nanoparticle-crystalline substrate systems.

  8. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiN{sub x}/SiN{sub y} multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaofan; Ma, Zhongyuan, E-mail: zyma@nju.edu.cn; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan [National Laboratory of Solid State Microstructures, Jiangsu Provincial Key Laboratory of Photonic Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China)

    2014-09-28

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN{sub x}/SiN{sub y} multilayers with high on/off ratio of 10{sup 9}. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  9. Development of Readout Interconnections for the Si-W Calorimeter of SiD

    Energy Technology Data Exchange (ETDEWEB)

    Woods, M.; Fields, R.G.; Holbrook, B.; Lander, R.L.; Moskaleva, A.; Neher, C.; Pasner, J.; Tripathi, M.; /UC, Davis; Brau, J.E.; Frey, R.E.; Strom, D.; /Oregon U.; Breidenbach, M.; Freytag, D.; Haller, G.; Herbst, R.; Nelson, T.; /SLAC; Schier, S.; Schumm, B.; /UC, Santa Cruz

    2012-09-14

    The SiD collaboration is developing a Si-W sampling electromagnetic calorimeter, with anticipated application for the International Linear Collider. Assembling the modules for such a detector will involve special bonding technologies for the interconnections, especially for attaching a silicon detector wafer to a flex cable readout bus. We review the interconnect technologies involved, including oxidation removal processes, pad surface preparation, solder ball selection and placement, and bond quality assurance. Our results show that solder ball bonding is a promising technique for the Si-W ECAL, and unresolved issues are being addressed.

  10. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  11. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  12. "Equilibrium structure of monatomic steps on vicinal Si(001)

    NARCIS (Netherlands)

    Zandvliet, Henricus J.W.; Elswijk, H.B.; van Loenen, E.J.; Dijkkamp, D.

    1992-01-01

    The equilibrium structure of monatomic steps on vicinal Si(001) is described in terms of anisotropic nearest-neighbor and isotropic second-nearest-neighbor interactions between dimers. By comparing scanning-tunneling-microscopy data and this equilibrium structure, we obtained interaction energies of

  13. Surface modification and its role in the preparation of FeSi gradient alloys with good magnetic property and ductility

    Science.gov (United States)

    Yu, Haiyuan; Bi, Xiaofang

    2018-04-01

    Realization of the effective Si penetration at a lower processing temperature is a challenge, but of significance in reducing the strict requirements for the equipment and realizing cost-cutting in production. In this work, we have modified the surface microstructure of Fe-3 wt%Si alloy by using surface mechanical attrition treatment. The modified surface microstructure is characteristic of nanocrystalline, which is found to significantly enhance the efficiency of subsequent Si penetration into the alloy, and successively leading to the decrease of penetration temperature up to 200 °C. As a consequence, the Si gradient distribution across thickness can be readily controlled by changing penetration time, and FeSi alloys with various gradients are prepared by chemical vapor deposition along with subsequent annealing process. The dependence of magnetic and mechanical properties on Si gradient for demonstrates that the increase of Si gradient reduces core losses, especially at higher frequencies, and meanwhile improves ductility of FeSi alloys as well. The mechanism underlying the effect of Si gradient is clarified by combining magnetostriction measurement and domain structure observations. This work provides a facile and effective way for achieving gradient FeSi alloys with good magnetic property and ductility.

  14. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  15. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  16. Broadband absorption enhancement in amorphous Si solar cells using metal gratings and surface texturing

    Science.gov (United States)

    Magdi, Sara; Swillam, Mohamed A.

    2017-02-01

    The efficiencies of thin film amorphous silicon (a-Si) solar cells are restricted by the small thickness required for efficient carrier collection. This thickness limitations result in poor light absorption. In this work, broadband absorption enhancement is theoretically achieved in a-Si solar cells by using nanostructured back electrode along with surface texturing. The back electrode is formed of Au nanogratings and the surface texturing consists of Si nanocones. The results were then compared to random texturing surfaces. Three dimensional finite difference time domain (FDTD) simulations are used to design and optimize the structure. The Au nanogratings achieved absorption enhancement in the long wavelengths due to sunlight coupling to surface plasmon polaritons (SPP) modes. High absorption enhancement was achieved at short wavelengths due to the decreased reflection and enhanced scattering inside the a-Si absorbing layer. Optimizations have been performed to obtain the optimal geometrical parameters for both the nanogratings and the periodic texturing. In addition, an enhancement factor (i.e. absorbed power in nanostructured device/absorbed power in reference device) was calculated to evaluate the enhancement obtained due to the incorporation of each nanostructure.

  17. In situ photoemission spectroscopy using synchrotron radiation for O2 translational kinetic energy induced oxidation processes of partially-oxidized Si(001) surfaces

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2001-01-01

    The influence of translational kinetic energy of incident O 2 molecules for the passive oxidation process of partially-oxidized Si(001) surfaces has been studied by photoemission spectroscopy. The translational kinetic energy of O 2 molecules was controlled up to 3 eV by a supersonic seed beam technique using a high temperature nozzle. Two translational kinetic energy thresholds (1.0 eV and 2.6 eV) were found out in accordance with the first-principles calculation for the oxidation of clean surfaces. Si-2p photoemission spectra measured in representative translational kinetic energies revealed that the translational kinetic energy dependent oxidation of dimers and the second layer (subsurface) backbonds were caused by the direct dissociative chemisorption of O 2 molecules. Moreover, the difference in chemical bonds for oxygen atoms was found out to be as low and high binding energy components in O-1s photoemission spectra. Especially, the low binding energy component increased with increasing the translational kinetic energy that indicates the translational kinetic energy induced oxidation in backbonds. (author)

  18. Dopant activation mechanism of Bi wire-δ-doping into Si crystal, investigated with wavelength dispersive fluorescence x-ray absorption fine structure and density functional theory.

    Science.gov (United States)

    Murata, Koichi; Kirkham, Christopher; Shimomura, Masaru; Nitta, Kiyofumi; Uruga, Tomoya; Terada, Yasuko; Nittoh, Koh-Ichi; Bowler, David R; Miki, Kazushi

    2017-04-20

    We successfully characterized the local structures of Bi atoms in a wire-δ-doped layer (1/8 ML) in a Si crystal, using wavelength dispersive fluorescence x-ray absorption fine structure at the beamline BL37XU, in SPring-8, with the help of density functional theory calculations. It was found that the burial of Bi nanolines on the Si(0 0 1) surface, via growth of Si capping layer at 400 °C by molecular beam epitaxy, reduced the Bi-Si bond length from [Formula: see text] to [Formula: see text] Å. We infer that following epitaxial growth the Bi-Bi dimers of the nanoline are broken, and the Bi atoms are located at substitutional sites within the Si crystal, leading to the shorter Bi-Si bond lengths.

  19. Si@SiOx/Graphene nanosheet anode materials for lithium-ion batteries synthesized by ball milling process

    Science.gov (United States)

    Tie, Xiaoyong; Han, Qianyan; Liang, Chunyan; Li, Bo; Zai, Jiantao; Qian, Xuefeng

    2017-12-01

    Si@SiOx/Graphene nanosheet (GNS) nanocomposites as high performance anode materials for lithium-ion batteries are synthesized by mechanically blending the mixture of expanded graphite with Si nanoparticles, and characterized by X-ray diffraction, Raman spectrum, field emission scanning electron microscopy and transmission electron microscopy. During the ball milling process, the size of Si nanoparticles will decrease, and the layer of expanded graphite can be peeled off to thin multilayers. Electrochemical performances reveal that the obtained Si@SiOx/GNS nanocomposites exhibit improved cycling stability, high reversible lithium storage capacity and superior rate capability, e.g. the discharge capacity is kept as high as 1055 mAh g-1 within 50 cycles at a current density of 200 mA g-1, retaining 63.6% of the initial value. The high performance of the obtained nanocomposites can be ascribed to GNS prepared through heat-treat and ball-milling methods, the decrease in the size of Si nanoparticles and SiOx layer on Si surface, which enhance the interactions between Si and GNS.

  20. Strained Si/SiGe MOS transistor model

    Directory of Open Access Journals (Sweden)

    Tatjana Pešić-Brđanin

    2009-06-01

    Full Text Available In this paper we describe a new model of surfacechannel strained-Si/SiGe MOSFET based on the extension of non-quasi-static (NQS circuit model previously derived for bulk-Si devices. Basic equations of the NQS model have been modified to account for the new physical parameters of strained-Si and relaxed-SiGe layers. From the comparisons with measurements, it is shown that a modified NQS MOS including steady-state self heating can accurately predict DC characteristics of Strained Silicon MOSFETs.

  1. Decreased bacteria activity on Si3N4 surfaces compared with PEEK or titanium

    Directory of Open Access Journals (Sweden)

    Puckett S

    2012-09-01

    Full Text Available Deborah Gorth,1 Sabrina Puckett,1 Batur Ercan,1 Thomas J Webster,1 Mohamed Rahaman,2 B Sonny Bal31School of Engineering and Department of Orthopaedics, Brown University, Providence, RI, 2Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO, 3Department of Orthopaedic Surgery, School of Medicine, University of Missouri, Columbia, MO, USAAbstract: A significant need exists for orthopedic implants that can intrinsically resist bacterial colonization. In this study, three biomaterials that are used in spinal implants – titanium (Ti, poly-ether-ether-ketone (PEEK, and silicon nitride (Si3N4 – were tested to understand their respective susceptibility to bacterial infection with Staphylococcus epidermidis, Staphlococcus aureus, Pseudomonas aeruginosa, Escherichia coli and Enterococcus. Specifically, the surface chemistry, wettability, and nanostructured topography of respective biomaterials, and the effects on bacterial biofilm formation, colonization, and growth were investigated. Ti and PEEK were received with as-machined surfaces; both materials are hydrophobic, with net negative surface charges. Two surface finishes of Si3N4 were examined: as-fired and polished. In contrast to Ti and PEEK, the surface of Si3N4 is hydrophilic, with a net positive charge. A decreased biofilm formation was found, as well as fewer live bacteria on both the as-fired and polished Si3N4. These differences may reflect differential surface chemistry and surface nanostructure properties between the biomaterials tested. Because protein adsorption on material surfaces affects bacterial adhesion, the adsorption of fibronectin, vitronectin, and laminin on Ti, PEEK, and Si3N4 were also examined. Significantly greater amounts of these proteins adhered to Si3N4 than to Ti or PEEK. The findings of this study suggest that surface properties of biomaterials lead to differential adsorption of physiologic proteins, and that this

  2. U-Mo/Al-Si interaction: Influence of Si concentration

    International Nuclear Information System (INIS)

    Allenou, J.; Palancher, H.; Iltis, X.; Cornen, M.; Tougait, O.; Tucoulou, R.; Welcomme, E.; Martin, Ph.; Valot, C.; Charollais, F.; Anselmet, M.C.; Lemoine, P.

    2010-01-01

    Within the framework of the development of low enriched nuclear fuels for research reactors, U-Mo/Al is the most promising option that has however to be optimised. Indeed at the U-Mo/Al interfaces between U-Mo particles and the Al matrix, an interaction layer grows under irradiation inducing an unacceptable fuel swelling. Adding silicon in limited content into the Al matrix has clearly improved the in-pile fuel behaviour. This breakthrough is attributed to an U-Mo/Al-Si protective layer around U-Mo particles appeared during fuel manufacturing. In this work, the evolution of the microstructure and composition of this protective layer with increasing Si concentrations in the Al matrix has been investigated. Conclusions are based on the characterization at the micrometer scale (X-ray diffraction and energy dispersive spectroscopy) of U-Mo7/Al-Si diffusion couples obtained by thermal annealing at 450 deg. C. Two types of interaction layers have been evidenced depending on the Si content in the Al-Si alloy: the threshold value is found at about 5 wt.% but obviously evolves with temperature. It has been shown that for Si concentrations ranging from 2 to 10 wt.%, the U-Mo7/Al-Si interaction is bi-layered and the Si-rich part is located close to the Al-Si for low Si concentrations (below 5 wt.%) and close to the U-Mo for higher Si concentrations. For Si weight fraction in the Al alloy lower than 5 wt.%, the Si-rich sub-layer (close to Al-Si) consists of U(Al, Si) 3 + UMo 2 Al 20 , when the other sub-layer (close to U-Mo) is silicon free and made of UAl 3 and U 6 Mo 4 Al 43 . For Si weight concentrations above 5 wt.%, the Si-rich part becomes U 3 (Si, Al) 5 + U(Al, Si) 3 (close to U-Mo) and the other sub-layer (close to Al-Si) consists of U(Al, Si) 3 + UMo 2 Al 20 . On the basis of these results and of a literature survey, a scheme is proposed to explain the formation of different types of ILs between U-Mo and Al-Si alloys (i.e. different protective layers).

  3. Adsorption/desorption kinetics of Na atoms on reconstructed Si (111)-7 x 7 surface

    International Nuclear Information System (INIS)

    Chauhan, Amit Kumar Singh; Govind; Shivaprasad, S.M.

    2010-01-01

    Self-assembled nanostructures on a periodic template are fundamentally and technologically important as they put forward the possibility to fabricate and pattern micro/nano-electronics for sensors, ultra high-density memories and nanocatalysts. Alkali-metal (AM) nanostructure grown on a semiconductor surface has received considerable attention because of their simple hydrogen like electronic structure. However, little efforts have been made to understand the fundamental aspects of the growth mechanism of self-assembled nanostructures of AM on semiconductor surfaces. In this paper, we report organized investigation of kinetically controlled room-temperature (RT) adsorption/desorption of sodium (Na) metal atoms on clean reconstructed Si (111)-7 x 7 surface, by X-ray photoelectron spectroscopy (XPS). The RT uptake curve shows a layer-by-layer growth (Frank-vander Merve growth) mode of Na on Si (111)-7 x 7 surfaces and a shift is observed in the binding energy position of Na (1s) spectra. The thermal stability of the Na/Si (111) system was inspected by annealing the system to higher substrate temperatures. Within a temperature range from RT to 350 o C, the temperature induced mobility to the excess Na atoms sitting on top of the bilayer, allowing to arrange themselves. Na atoms desorbed over a wide temperature range of 370 o C, before depleting the Si (111) surface at temperature 720 o C. The acquired valence-band (VB) spectra during Na growth revealed the development of new electronic-states near the Fermi level and desorption leads the termination of these. For Na adsorption up to 2 monolayers, decrease in work function (-1.35 eV) was observed, whereas work function of the system monotonically increases with Na desorption from the Si surface as observed by other studies also. This kinetic and thermodynamic study of Na adsorbed Si (111)-7 x 7 system can be utilized in fabrication of sensors used in night vision devices.

  4. Porous SiC/SiC composites development for industrial application

    International Nuclear Information System (INIS)

    Maeta, S.; Hinoki, T.

    2014-01-01

    Silicon carbide (SiC) is promising structural materials in nuclear fields due to an excellent irradiation resistance and low activation characteristics. Conventional SiC fibers reinforced SiC matrix (SiC/SiC composites) fabricated by liquid phase sintering (LPS-SiC/SiC composites) have been required high cost and long processing time. And microstructure and mechanical property data of finally obtained LPS-SiC/SiC composites are easily scattered, because quality of the composites depend on personal skill. Thus, conventional LPS-SiC/SiC composites are inadequate for industrial use. In order to overcome these issues, the novel “porous SiC/SiC composites” have been developed by means of liquid phase sintering fabrication process. The composites consist of porous SiC matrix and SiC fibers without conventional carbon interfacial layer. The composites don’t have concerns of the degradation interfacial layer at the severe accident. Porous SiC/SiC composites preform was prepared with a thin sheet shape of SiC, sintering additives and carbon powder mixture by tape casting process which was adopted because of productive and high yielding rate fabrication process. The preform was stacked with SiC fibers and sintered in hot-press at the high temperature in argon environment. The sintered preform was decarburized obtain porous matrix structure by heat-treatment in air. Moreover, mechanical property data scattering of the obtained porous SiC/SiC composites decreased. In the flexural test, the porous SiC/SiC composites showed pseudo-ductile behavior with sufficient strength even after heat treatment at high temperature in air. From these conclusions, it was proven that porous SiC/SiC composites were reliable material at severe environment such as high temperature in air, by introducing tape casting fabrication process that could produce reproducible materials with low cost and simple way. Therefore development of porous SiC/SiC composites for industrial application was

  5. Enhancement of optical absorption of Si (100) surfaces by low energy N+ ion beam irradiation

    Science.gov (United States)

    Bhowmik, Dipak; Karmakar, Prasanta

    2018-05-01

    The increase of optical absorption efficiency of Si (100) surface by 7 keV and 8 keV N+ ions bombardment has been reported here. A periodic ripple pattern on surface has been observed as well as silicon nitride is formed at the ion impact zones by these low energy N+ ion bombardment [P. Karmakar et al., J. Appl. Phys. 120, 025301 (2016)]. The light absorption efficiency increases due to the presence of silicon nitride compound as well as surface nanopatterns. The Atomic Force Microscopy (AFM) study shows the formation of periodic ripple pattern and increase of surface roughness with N+ ion energy. The enhancement of optical absorption by the ion bombarded Si, compared to the bare Si have been measured by UV - visible spectrophotometer.

  6. SiO2 Nanopillars on Microscale Roughened Surface of GaN-Based Light-Emitting Diodes by SILAR-Based Method

    Directory of Open Access Journals (Sweden)

    X. F. Zeng

    2013-01-01

    Full Text Available We reported the SiO2 nanopillars on microscale roughened surface on GaN-based LED to enhance light-extraction efficiency. ZnO nanoparticles were deposited on SiO2 as an etching mask before ICP etching SiO2 by successive ionic layer adsorption and reaction method (SILAR, and the different heights of SiO2 nanopillars on microroughened ITO/GaN were obtained after etching. Compared to a regular (flat surface GaN-based LED, the light output power for a LED with microroughening was increased by 33%. Furthermore, the proposed LEDs with SiO2 nanopillars on microroughened surface show the enhancement in light output power by 42.7%–49.1% at 20 mA. The increase in light output power is mostly attributed to reduction in Fresnel reflection by rough surface. The height of SiO2 nanopillars was increasing cause resulting in more rough on the microscale surface of GaN-based LEDs.

  7. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  8. TED Study of Si(113) Surfaces

    Science.gov (United States)

    Suzuki, T.; Minoda, H.; Tanishiro, Y.; Yagi, K.

    A TED study of Si(113) surfaces was carried out. Reflections from the 3 × 2 reconstruction were seen at room temperature, while half-order reflections were very faint. The surface showed the phase transition between the 3 × 1 and the disordered (rough) structures at about 930°C. The (113) surface structure at room temperature was analyzed using TED intensity. Four kinds of structure models proposed previously, including both the 3 × 1 and the 3 × 2 reconstructed structures, were examined. The R-factors calculated using the energy-optimized atomic coordinates are not sufficiently small. After minimization of the R-factors, Dabrowski's 3 × 2 structure model is most agreeable, while Ranke's 3 × 1 and 3 × 2 structure models are not to be excluded. STM observation showed that the surface is composed of small domains of the 3 × 2 structure.

  9. Behaviour of total surface charge in SiO2-Si system under short-pulsed ultraviolet irradiation cycles characterised by surface photo voltage technique

    International Nuclear Information System (INIS)

    Kang, Ban-Hong; Lee, Wah-Pheng; Yow, Ho-Kwang; Tou, Teck-Yong

    2009-01-01

    Effects of time-accumulated ultraviolet (UV) irradiation and surface treatment on thermally oxidized p-type silicon wafers were investigated by using the surface photo voltage (SPV) technique via the direct measurement of the total surface charge, Q SC . The rise and fall times of Q sc curves, as a function of accumulated UV irradiation, depended on the thermal oxide thickness. A simple model was proposed to explain the time-varying characteristics of Q sc based on the UV-induced bond breaking of SiOH and SiH, and photoemission of bulk electrons to wafer surface where O 2 - charges were formed. While these mechanisms resulted in charge variations and hence in Q sc , these could be removed by rinsing the silicon wafers in de-ionized water followed by spin-dry or blow-dry by an ionizer fan. Empirical parameters were used in the model simulations and curve-fitting of Q SC . The simulated results suggested that initial changes in the characteristic behaviour of Q sc were mainly due to the net changes in the positive and negative charges, but subsequently were dominated by the accumulation of O 2 - during the UV irradiation.

  10. Sum Frequency Generation Vibrational Spectroscopy of 1,3-Butadiene Hydrogenation on 4 nm Pt@SiO 2 , Pd@SiO 2 , and Rh@SiO 2 Core–Shell Catalysts

    KAUST Repository

    Krier, James M.

    2015-01-14

    © 2014 American Chemical Society. 1,3-Butadiene (1,3-BD) hydrogenation was performed on 4 nm Pt, Pd, and Rh nanoparticles (NPs) encapsulated in SiO2 shells at 20, 60, and 100 °C. The core-shells were grown around polyvinylpyrrolidone (PVP) coated NPs (Stöber encapsulation) prepared by colloidal synthesis. Sum frequency generation (SFG) vibrational spectroscopy was performed to correlate surface intermediates observed in situ with reaction selectivity. It is shown that calcination is effective in removing PVP, and the SFG signal can be generated from the metal surface. Using SFG, it is possible to compare the surface vibrational spectrum of Pt@SiO2 (1,3-BD is hydrogenated through multiple paths and produces butane, 1-butene, and cis/trans-2-butene) to Pd@SiO2 (1,3-BD favors one path and produces 1-butene and cis/trans-2-butene). In contrast to Pt@SiO2 and Pd@SiO2, SFG and kinetic experiments of Rh@SiO2 show a permanent accumulation of organic material.

  11. Removal of copper and nickel contaminants from Si surface by use of cyanide solutions

    International Nuclear Information System (INIS)

    Fujiwara, N.; Liu, Y.-L.; Nakamura, T.; Maida, O.; Takahashi, M.; Kobayashi, H.

    2004-01-01

    The cleaning method using cyanide solutions has been developed to remove heavy metals such as copper (Cu) and nickel (Ni) from Si surfaces. Immersion of Si wafers with both Cu and Ni contaminants in potassium cyanide (KCN) solutions of methanol at room temperature decreases these surface concentrations below the detection limit of total reflection X-ray fluorescence spectroscopy of ∼3x10 9 atoms/cm 2 . UV spectra of the KCN solutions after cleaning of the Cu-contaminated Si surface show that stable copper-cyanide complexes are formed in the solution, leading to the prevention of the re-adsorption of copper in the solutions. From the complex stability constants, it is concluded that the Cu(CN) 4 3- is the most dominant species in the KCN solutions

  12. Superhydrophobic coatings fabricated with polytetrafluoroethylene and SiO2 nanoparticles by spraying process on carbon steel surfaces

    International Nuclear Information System (INIS)

    Wang, Haibin; Chen, Eryu; Jia, Xianbu; Liang, Lijun; Wang, Qi

    2015-01-01

    Graphical abstract: - Highlights: • The SiO 2 and PTFE NP-filled coatings exhibit excellent superhydrophobicity. • PTFE-filled coatings show denser structures and better liquid resistance than SiO 2 . • Air pocket of Wentzel model explains the difference in the superhydrophobicity. - Abstract: Superhydrophobicity is extensively investigated because of the numerous methods developed for water-repellant interface fabrication. Many suitable functional materials for the production of superhydrophobic surfaces on various substrates are still being explored. In this study, inorganic SiO 2 and organic polytetrafluoroethylene (PTFE) nanoparticles (NPs) are used for a comparative study on the performance of superhydrophobic coating on carbon steel surfaces. The NPs are added to PTFE coating emulsions by physical blending to form coating mixtures. Raw SiO 2 NPs are then hydrophobized using KH-570 and validated by Fourier transform-infrared spectroscopy (FT-IR) and Dynamic Laser Scattering (DLS) grain size analyses. The microstructures of the surfaces are characterized by contact angle (CA) measurements and field emission-scanning electron microscope (FE-SEM) images. The prepared surfaces are subjected to adhesion, hardness, water resistance, and acid/alkali erosion tests. Hydrophobized SiO 2 -filled coating surfaces are found to have better uniformity than raw SiO 2 regardless of their similar maximum static contact angles (SCAs) about 150°. A SCA of 163.1° is obtained on the PTFE NP-filled coating surfaces that have a considerably denser structure than SiO 2 . Thermogravimetric (TG) and differential scanning calorimetry (DSC) analyses reveal that all fabricated surfaces have good thermal stability and tolerate temperatures up to 550 °C. The PTFE NP-filled coating surfaces also exhibit excellent water and acid resistance. A possible mechanism concerning the amount of trapped air is proposed in relation to practical superhydrophobic surface fabrication

  13. On the origin of near-IR luminescence in SiO{sub 2} glass with bismuth as the single dopant. Formation of the photoluminescent univalent bismuth silanolate by SiO{sub 2} surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Romanov, A.N., E-mail: alexey.romanov@list.ru; Haula, E.V.; Shashkin, D.P.; Vtyurina, D.N.; Korchak, V.N.

    2017-03-15

    Near infrared photoluminescent bismuth(I) silanolate centers ((≡Si-O){sub 3}Si–O-Bi) were prepared on the surface of SiO{sub 2} xerogel, by the treatment in the vapors of bismuth(I) chloride. The optical properties of these groups are almost identical to that of photoluminescent centers in the bulk SiO{sub 2} glasses with bismuth as the single dopant. - Highlights: • univalent bismuth silanolate can be prepared on SiO{sub 2} surface by treatment in BiCl vapors. • univalent bismuth silanolate is responsible for NIR photoluminescence in Bi-doped SiO{sub 2} glass. • univalent bismuth silanolate is the active center in laser, operating on Bi-doped SiO{sub 2} fiber.

  14. Reaction mechanisms at 4H-SiC/SiO2 interface during wet SiC oxidation

    Science.gov (United States)

    Akiyama, Toru; Hori, Shinsuke; Nakamura, Kohji; Ito, Tomonori; Kageshima, Hiroyuki; Uematsu, Masashi; Shiraishi, Kenji

    2018-04-01

    The reaction processes at the interface between SiC with 4H structure (4H-SiC) and SiO2 during wet oxidation are investigated by electronic structure calculations within the density functional theory. Our calculations for 4H-SiC/SiO2 interfaces with various orientations demonstrate characteristic features of the reaction depending on the crystal orientation of SiC: On the Si-face, the H2O molecule is stable in SiO2 and hardly reacts with the SiC substrate, while the O atom of H2O can form Si-O bonds at the C-face interface. Two OH groups are found to be at least necessary for forming new Si-O bonds at the Si-face interface, indicating that the oxidation rate on the Si-face is very low compared with that on the C-face. On the other hand, both the H2O molecule and the OH group are incorporated into the C-face interface, and the energy barrier for OH is similar to that for H2O. By comparing the calculated energy barriers for these reactants with the activation energies of oxide growth rate, we suggest the orientation-dependent rate-limiting processes during wet SiC oxidation.

  15. Direct insight into grains formation in Si layers grown on 3C-SiC by chemical vapor deposition

    International Nuclear Information System (INIS)

    Khazaka, Rami; Portail, Marc; Vennéguès, Philippe; Alquier, Daniel; Michaud, Jean François

    2015-01-01

    Graphical abstract: In this contribution, we demonstrated the influence of the 3C-SiC layer on the subsequent growth of Si epilayers. We were able to give a direct evidence that the rotation in the Si epilayer of 90° around the growth direction occurs exactly on the termination of an antiphase boundary in the 3C-SiC layer as shown in the figure above. Thus, increasing the layer thickness of the 3C-SiC leads to a direct improvement of the crystalline quality of the subsequent Si epilayer. (a) Cross-section bright-field TEM image of the Si/3C-SiC layer stack along two 3C-SiC zone axes [1 −1 0] and [1 1 0] (equivalent to [1 −1 1] and [1 1 2] in Si, respectively), (b) dark field image selecting a (2 0 −2) electron diffraction spot indicated by the black circle in the SAED shown as inset, (c) dark field image selecting a (−1 1 −1) electron diffraction spot indicated by the black circle in the SAED shown as inset. The dotted white line in the images show the position of the defect in the 3C-SiC layer. - Abstract: This work presents a structural study of silicon (Si) thin films grown on cubic silicon carbide (3C-SiC) by chemical vapor deposition. The presence of grains rotated by 90° around the growth direction in the Si layer is directly related to the presence of antiphase domains on the 3C-SiC surface. We were able to provide a direct evidence that the 90° rotation of Si grains around the growth direction occurs exactly on the termination of antiphase boundaries (APBs) in 3C-SiC layer. Increasing the 3C-SiC thickness reduces the APBs density on 3C-SiC surface leading to a clear improvement of the uppermost Si film crystal quality. Furthermore, we observed by high resolution plan-view TEM images the presence of hexagonal Si domains limited to few nm in size. These hexagonal Si domains are inclusions in small Si grains enclosed in larger ones rotated by 90°. Finally, we propose a model of grains formation in the Si layer taking into consideration the effect

  16. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  17. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH

    Science.gov (United States)

    Zhang, Xiaoxian; Myers, John N.; Huang, Huai; Shobha, Hosadurga; Chen, Zhan; Grill, Alfred

    2016-02-01

    PECVD deposited porous SiCOH with ultralow dielectric constant has been successfully integrated as the insulator in advanced interconnects to decrease the RC delay. The effects of NH3 plasma treatment and the effectiveness of the dielectric repair on molecular structures at the surface and buried interface of a pSiCOH film deposited on top of a SiCNH film on a Si wafer were fully characterized using sum frequency generation vibrational spectroscopy (SFG), supplemented by X-ray photoelectron spectroscopy. After exposure to NH3 plasma for 18 s, about 40% of the methyl groups were removed from the pSiCOH surface, and the average orientation of surface methyl groups tilted more towards the surface. The repair method used here effectively repaired the molecular structures at the pSiCOH surface but did not totally recover the entire plasma-damaged layer. Additionally, simulated SFG spectra with various average orientations of methyl groups at the SiCNH/pSiCOH buried interface were compared with the experimental SFG spectra collected using three different laser input angles to determine the molecular structural information at the SiCNH/pSiCOH buried interface after NH3 plasma treatment and repair. The molecular structures including the coverage and the average orientation of methyl groups at the buried interface were found to be unchanged by NH3 plasma treatment and repair.

  18. Adsorption and dissociation of oxygen molecules on Si(111)-(7×7) surface

    International Nuclear Information System (INIS)

    Niu, Chun-Yao; Wang, Jian-Tao

    2013-01-01

    The adsorption and dissociation of O 2 molecules on Si(111)-(7×7) surface have been studied by first-principles calculations. Our results show that all the O 2 molecular species adsorbed on Si(111)-(7×7) surface are unstable and dissociate into atomic species with a small energy barrier about 0.1 eV. The single O 2 molecule adsorption tends to form an ins×2 or a new metastable ins×2* structure on the Si adatom sites and the further coming O 2 molecules adsorb on those structures to produce an ad-ins×3 structure. The ad-ins×3 structure is indeed highly stable and kinetically limited for diving into the subsurface layer to form the ins×3-tri structure by a large barrier of 1.3 eV. Unlike the previous views, we find that all the ad-ins, ins×2, and ad-ins×3 structures show bright images, while the ins×2*, ins×3, and ins×3-tri structures show dark images. The proposed oxidation pathways and simulated scanning tunneling microscope images account well for the experimental results and resolve the long-standing confusion and issue about the adsorption and reaction of O 2 molecules on Si(111) surface

  19. Self-trapping nature of Tl nanoclusters on the Si(111)-7x7 surface

    International Nuclear Information System (INIS)

    Hwang, C G; Kim, N D; Lee, G; Shin, S Y; Kim, J S; Chung, J W

    2008-01-01

    We have studied properties of thallium (Tl) nanoclusters formed on the Si(111)-7x7 surface at room temperature (RT) by utilizing photoemission spectroscopy (PES) and high-resolution electron-energy-loss spectroscopy (HREELS) combined with first principles calculations. Our PES data reveal that the surface states stemming from the Si substrate remain quite inert with Tl adsorption producing no Tl-induced state until saturation at Tl coverage θ=0.21 monolayers. Such a behavior, in sharp contrast with the extremely reactive surface states upon the formation of Na or Li nanoclusters, together with the presence of a unique Tl-induced loss peak in HREELS spectra suggests no strong Si-Tl bonding, and is well understood in terms of gradual filling of Si dangling bonds with increasing θ. Our calculation further indicates the presence of several metastable atomic structures of Tl nanoclusters at RT rapidly transforming from one to another faster than 10 10 flippings per second. We thus conclude that the highly mobile Tl atoms form self-trapped nanoclusters within the attractive basins of the Si substrate at RT with several metastable phases. The mobile and multi-phased nature of Tl nanoclusters not only accounts for all the existing experimental observations available at present, but also provides an example of self-trapping of atoms in a nanometre-scale region

  20. Can Silicon-Smelting Contribute to the Low O/Si Ratio on the Surface of Mercury?

    Science.gov (United States)

    McCubbin, F. M.; Vander Kaaden, K. E.; Hogancamp, J.; Archer, P. D., Jr.; Boyce, J. W.

    2018-01-01

    The MErcury Surface, Space ENvironment, GEochemistry, and Ranging (MESSENGER) spacecraft collected data that provided important insights into the structure, chemical makeup, and compositional diversity of Mercury. Among the many discoveries about Mercury made by MESSENGER, several surprising compositional characteristics of the surface were observed. These discoveries include elevated sulfur abundances (up to 4 wt.%), elevated abundances of graphitic carbon (0-4.1 wt.% across the surface with an additional 1-3 wt.% graphite above the global average in low reflectance materials), low iron abundances (less than 2 wt.%), and low oxygen abundances (O/Si weight ratio of 1.20+/-0.1). These exotic characteristics likely have important implications for the thermochemical evolution of Mercury and point to a planet that formed under highly reducing conditions. In the present study, we focus specifically on the low O/Si ratio of Mercury, which is anomalous compared to all other planetary materials. A recent study that considered the geochemical implications of the low O/Si ratio reported that 12-20% of the surface materials on Mercury are composed of Si-rich, Si-Fe alloys. They further postulated that the origin of the metal is best explained by a combination of space weathering and graphite-induced smelting that was facilitated by interaction of graphite with boninitic and komatiitic parental liquids. The goal of the present study is to assess the plausibility of smelting on Mercury through experiments run at the conditions that McCubbin et al. indicated would be favorable for Si-smelting.

  1. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  2. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  3. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    Science.gov (United States)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  4. Superstructure of self-aligned hexagonal GaN nanorods formed on nitrided Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen; Tuteja, Mohit; Kesaria, Manoj; Waghmare, U. V.; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur, Bangalore 560 064 (India)

    2012-09-24

    We present here the spontaneous formation of catalyst-free, self-aligned crystalline (wurtzite) nanorods on Si(111) surfaces modified by surface nitridation. Nanorods grown by molecular beam epitaxy on bare Si(111) and non-stoichiometric silicon nitride interface are found to be single crystalline but disoriented. Those grown on single crystalline Si{sub 3}N{sub 4} intermediate layer are highly dense c-oriented hexagonal shaped nanorods. The morphology and the self-assembly of the nanorods shows an ordered epitaxial hexagonal superstructure, suggesting that they are nucleated at screw dislocations at the interface and grow spirally in the c-direction. The aligned nanorod assembly shows high-quality structural and optical emission properties.

  5. Field-based scanning tunneling microscope manipulation of antimony dimers on Si(001)

    NARCIS (Netherlands)

    Rogge, S.; Timmerman, R.H.; Scholte, P.M.L.O.; Geerligs, L.J.; Salemink, H.W.M.

    2001-01-01

    The manipulation of antimony dimers, Sb2, on the silicon (001) surface by means of a scanning tunneling microscope (STM) has been experimentally investigated. Directed hopping of the Sb2 dimers due the STM tip can dominate over the thermal motion at temperatures between 300 and 500 K. Statistics on

  6. Surface grafting density analysis of high anti-clotting PU-Si-g-P(MPC) films

    Energy Technology Data Exchange (ETDEWEB)

    Lu Chunyan [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhou Ninglin, E-mail: ninglinzhou@yahoo.com [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); Xiao Yinghong; Tang Yida; Jin Suxing; Wu Yue [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhang Jun; Shen Jian [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China)

    2012-02-01

    Well-defined zwitterionic polymer brushes with good blood compatibility were studied, grafted from polyurethane (PU) substrate (PU-Si-g-P(MPC)) by surface-initiated reverse atom transfer radical polymerization (SI-RATRP). We found that the structure of polymer brushes and hence their properties greatly depend on the grafting density. To solve the problems of the normal method for grafting density measurement, i.e., more requirements for qualified and proficient instrument operator, we established an effective and feasible way instead of the conventional method of spectroscopic ellipsometer combined with gel permeation chromatograph (ELM/GPC) to calculate the grafting density of PU-Si-g-P(MPC) films by using a software named ImageJ 1.44e in combination with scanning electronic microscope (SEM) or atomic microscope (AFM). X-ray photoelectron spectroscopy (XPS), SEM and AFM were employed to analyze the surface topography and changes of elements before and after graft modification of the synthetic PU-Si-g-P(MPC) biofilms.

  7. Research on a Micro-Nano Si/SiGe/Si Double Heterojunction Electro-Optic Modulation Structure

    Directory of Open Access Journals (Sweden)

    Song Feng

    2018-01-01

    Full Text Available The electro-optic modulator is a very important device in silicon photonics, which is responsible for the conversion of optical signals and electrical signals. For the electro-optic modulator, the carrier density of waveguide region is one of the key parameters. The traditional method of increasing carrier density is to increase the external modulation voltage, but this way will increase the modulation loss and also is not conducive to photonics integration. This paper presents a micro-nano Si/SiGe/Si double heterojunction electro-optic modulation structure. Based on the band theory of single heterojunction, the barrier heights are quantitatively calculated, and the carrier concentrations of heterojunction barrier are analyzed. The band and carrier injection characteristics of the double heterostructure structure are simulated, respectively, and the correctness of the theoretical analysis is demonstrated. The micro-nano Si/SiGe/Si double heterojunction electro-optic modulation is designed and tested, and comparison of testing results between the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation and the micro-nano Silicon-On-Insulator (SOI micro-ring electro-optic modulation, Free Spectrum Range, 3 dB Bandwidth, Q value, extinction ratio, and other parameters of the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation are better than others, and the modulation voltage and the modulation loss are lower.

  8. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    Science.gov (United States)

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  9. Surface Modification of SiO2 Microchannels with Biocompatible Polymer Using Supercritical Carbon Dioxide

    Science.gov (United States)

    Saito, Tatsuro; Momose, Takeshi; Hoshi, Toru; Takai, Madoka; Ishihara, Kazuhiko; Shimogaki, Yukihiro

    2010-11-01

    The surface of 500-mm-long microchannels in SiO2 microchips was modified using supercritical CO2 (scCO2) and a biocompatible polymer was coated on it to confer biocompatibility to the SiO2 surface. In this method, the SiO2 surface of a microchannel was coated with poly(ethylene glycol monomethacrylate) (PEGMA) as the biocompatible polymer using allyltriethoxysilane (ATES) as the anchor material in scCO2 as the reactive medium. Results were compared with those using the conventional wet method. The surface of a microchannel could not be modified by the wet method owing to the surface tension and viscosity of the liquid, but it was modified uniformly by the scCO2 method probably owing to the near-zero surface tension, low viscosity, and high diffusivity of scCO2. The effect of the surface modification by the scCO2 method to prevent the adsorption of protein was as high as that of the modification by the wet method. Modified microchips can be used in biochemical and medical analyses.

  10. Low dose irradiation performance of SiC interphase SiC/SiC composites

    International Nuclear Information System (INIS)

    Snead, L.L.; Lowden, R.A.; Strizak, J.; More, K.L.; Eatherly, W.S.; Bailey, J.; Williams, A.M.; Osborne, M.C.; Shinavski, R.J.

    1998-01-01

    Reduced oxygen Hi-Nicalon fiber reinforced composite SiC materials were densified with a chemically vapor infiltrated (CVI) silicon carbide (SiC) matrix and interphases of either 'porous' SiC or multilayer SiC and irradiated to a neutron fluence of 1.1 x 10 25 n m -2 (E>0.1 MeV) in the temperature range of 260 to 1060 C. The unirradiated properties of these composites are superior to previously studied ceramic grade Nicalon fiber reinforced/carbon interphase materials. Negligible reduction in the macroscopic matrix microcracking stress was observed after irradiation for the multilayer SiC interphase material and a slight reduction in matrix microcracking stress was observed for the composite with porous SiC interphase. The reduction in strength for the porous SiC interfacial material is greatest for the highest irradiation temperature. The ultimate fracture stress (in four point bending) following irradiation for the multilayer SiC and porous SiC interphase materials was reduced by 15% and 30%, respectively, which is an improvement over the 40% reduction suffered by irradiated ceramic grade Nicalon fiber materials fabricated in a similar fashion, though with a carbon interphase. The degradation of the mechanical properties of these composites is analyzed by comparison with the irradiation behavior of bare Hi-Nicalon fiber and Morton chemically vapor deposited (CVD) SiC. It is concluded that the degradation of these composites, as with the previous generation ceramic grade Nicalon fiber materials, is dominated by interfacial effects, though the overall degradation of fiber and hence composite is reduced for the newer low-oxygen fiber. (orig.)

  11. Joining of SiC/SiCf ceramic matrix composites for fusion reactor blanket applications

    International Nuclear Information System (INIS)

    Colombo, P.; Riccardi, B.; Donato, A.; Scarinci, G.

    2000-01-01

    Using a preceramic polymer, joints between SiC/SiC f ceramic matrix composites were obtained. The polymer, upon pyrolysis at high temperature, transforms into a ceramic material and develops an adhesive bonding with the composite. The surface morphology of 2D and 3D SiC/SiC f composites did not allow satisfactory results to be obtained by a simple application of the method initially developed for monolithic SiC bodies, which employed the use of a pure silicone resin. Thus, active or inert fillers were mixed with the preceramic polymer, in order to reduce its volumetric shrinkage which occurs during pyrolysis. In particular, the joints realized using the silicone resin with Al-Si powder as reactive additive displayed remarkable shear strength (31.6 MPa maximum). Large standard deviation for the shear strength has nevertheless been measured. The proposed joining method is promising for the realization of fusion reactor blanket structures, even if presently the measured strength values are not fully satisfactory

  12. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  13. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp.

    Science.gov (United States)

    Wang, Bin; Qu, Shengguan; Li, Xiaoqiang

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiC p /Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  14. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Directory of Open Access Journals (Sweden)

    Bin Wang

    2018-01-01

    Full Text Available By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0% were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson’s ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  15. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Science.gov (United States)

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time. PMID:29682145

  16. A thermochemical approach to enhance hydrophobicity of SiC/SiO{sub 2} powder using γ-methacryloxypropyl trimethoxy silane and octylphenol polyoxyethylene ether (7)

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chunxue; Feng, Dandan; Wang, Xiangke; Li, Zhihong; Zhu, Yumei, E-mail: zhuyumei@tju.edu.cn

    2016-01-01

    Graphical abstract: Through the exploration of modification mechanism, the hydrophilic properties of SiC/SiO{sub 2}-KH570-OP-7 were far superior to SiC/SiO{sub 2}-KH570. - Highlights: • A novel universal method is performed to enhance hydrophobicity of SiC/SiO{sub 2} powder. • Through pyrolysis of KH570 and OP-7, hydrophilic groups is grafted. • The hydrophobicity of SiC/SiO{sub 2}-KH570-OP-7 was far superior to SiC/SiO{sub 2}-KH570. • A possible formation mechanism of hydrophilic surface was proposed. • Surface changes on SiC/SiO{sub 2}-KH570-OP-7 powder were analyzed via SEM, FTIR, XPS. - Abstract: A thermochemical synthetic methodology for silicon carbide/silica (SiC/SiO{sub 2}) powder modified by integrating γ-methacryloxypropyl trimethoxy silane (KH570) and octylphenol polyoxyethylene ether (7) (OP-7) with hydrophilic SiC/SiO{sub 2} particles is described. On account of weak hydrophobicity of SiC/SiO{sub 2} powder modified by KH570 (SiC/SiO{sub 2}-KH570), the study focuses on the improvement of hydrophobicity utilizing alkylation reaction between OP-7 and KH570 at high temperature. Compared with using KH570 alone, SiC/SiO{sub 2} powder modified by KH570 and OP-7 (SiC/SiO{sub 2}-KH570-OP-7) shows better water resistance, and also an increased contact angle from 73.8° to 136.4°, resulting thus an improved hydrophobicity. Fourier transform infrared spectroscopy (FTIR), as well as X-ray photoelectron spectroscopy (XPS), was utilized to characterize these surfaces, and the results indicated that KH570 and OP-7 can be covalently bonded on the surface of SiC/SiO{sub 2} powder. Furthermore, it has been deeply investigated in the paper not only the possible modes of non-oxidative thermal degradation of OP-7 and KH570, but also the formation mechanism of more hydrophobic SiC/SiO{sub 2}-KH570-OP-7 powder, which probably will have a potential utility for other inorganic materials.

  17. C and Si delta doping in Ge by CH{sub 3}SiH{sub 3} using reduced pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Yuji, E-mail: yamamoto@ihp-microelectronics.com [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Ueno, Naofumi; Sakuraba, Masao [Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, 2-1-1, Katahira, Aoba-Ku, Sendai 980-8577 (Japan); Murota, Junichi [Micro System Integration Center, Tohoku University, 519-1176, Aramaki aza Aoba, Aoba-ku, Sendai 980-0845 (Japan); Mai, Andreas [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Tillack, Bernd [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Technische Universität Berlin, HFT4, Einsteinufer 25, 10587 Berlin (Germany)

    2016-03-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH{sub 3}SiH{sub 3} is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H{sub 2} or N{sub 2} carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N{sub 2} as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH{sub 3}SiH{sub 3} is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H{sub 2} as carrier gas, lower incorporated C is observed in comparison to Si. CH{sub 3}SiH{sub 3} injected with H{sub 2} carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N{sub 2} at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH{sub 3}SiH{sub 3} exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH{sub 3}SiH{sub 3} adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  18. Wear Characteristics According of Heat Treatment of Si3N4 with Different Amounts of SiO2 Nano-Colloid

    International Nuclear Information System (INIS)

    Ahn, Seok Hwan; Nam, Ki Woo

    2014-01-01

    This study sintered Si 3 N 4 with different amounts of SiO 2 nano-colloid. The surface of a mirror-polished specimen was coated with SiO 2 nano-colloid, and cracks were healed when the specimen was treated at a temperature of 1273 K for 1 h in air. Wear specimen experiments were conducted after heat treatments for 10 min at 1073, 1273, and 1573 K. The heat-treated surface that was coated with the SiO 2 nano-colloid was slightly rougher than the noncoated surface. The oxidation state of the surface according to the heat treatment temperature showed no correlation with the surface roughness. Moreover, the friction coefficient, wear loss, and bending strength were not related to the surface roughness. Si 3 N 4 exhibited an abrasive wear behavior when SKD11 was used as an opponent material. The friction coefficient was proportional to the wear loss, and the bending strength was inversely proportional to the friction coefficient and wear loss. The friction coefficient and wear loss increased with increasing amounts of the SiO 2 nanocolloid. In addition, the friction coefficient was slightly increased by increasing the heat treatment temperature

  19. First principles-based adsorption comparison of group IV elements (C, Si, Ge, and Sn) on Au(111)/Ag(111) surface

    International Nuclear Information System (INIS)

    Chakraborty, Sudip; Rajesh, Ch.

    2012-01-01

    We have reported a first-principle investigation of the structural properties of monomer and dimer for group IV elements (C, Si, Ge, and Sn) adsorbed on the Au(111) and Ag(111) surfaces. The calculations were performed by means of a plane wave based pseudopotential method under the framework of density functional theory. The results reveal the preference of adatom to be adsorbed on the hexagonal closed packed site of the metal (111) surfaces with strong binding energy. The structures introduce interlayer forces in the adsorbate. The strong bonding with the surface atoms is a result of p–d hybridization. The adsorption energy follows a sequence as one goes down in the group IV elements which imply that the interaction of the group IV elements with Au/Ag is decreasing as the atomic number increases.

  20. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    Science.gov (United States)

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  1. Tailoring Si(100) substrate surfaces for GaP growth by Ga deposition: A low-energy electron microscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Rienäcker, Michael; Borkenhagen, Benjamin, E-mail: b.borkenhagen@pe.tu-clausthal.de; Lilienkamp, Gerhard; Daum, Winfried [TU Clausthal, Institut für Energieforschung und Physikalische Technologien, Leibnizstraße 4, D-38678 Clausthal-Zellerfeld (Germany)

    2015-08-07

    For GaP-on-Si(100) heteroepitaxy, currently considered as a model system for monolithic integration of III–V semiconductors on Si(100), the surface steps of Si(100) have a major impact on the quality of the GaP film. Monoatomic steps cause antiphase domains in GaP with detrimental electronic properties. A viable route is to grow the III–V epilayer on single-domain Si(100) with biatomic steps, but preferably not at the expense of reduced terrace widths introduced by miscut substrates. We have performed in situ investigations of the influence of Ga deposition on the kinetics of surface steps and terraces of Si(100) at substrate temperatures above 600 °C by low-energy electron microscopy. Starting from nearly equally distributed T{sub A} and T{sub B} terraces of a two-domain Si(100) surface, submonolayer deposition of Ga results in a transformation into a surface dominated by T{sub A} terraces and biatomic D{sub A} steps. This transformation is reversible, and Si(100) with monoatomic steps is recovered upon termination of the Ga flux. Under conditions of higher coverages (but still below 0.25 monolayer), we observe restructuring into a surface with T{sub B} dominance, similar to the findings of Hara et al. [J. Appl. Phys. 98, 083515 (2005)]. The occurrence and mutual transformations of surface structures with different terrace and step structures in a narrow range of temperatures and Ga deposition rates is discussed.

  2. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  3. High resolution electron energy loss spectroscopy of clean and hydrogen covered Si(001) surfaces: first principles calculations.

    Science.gov (United States)

    Patterson, C H

    2012-09-07

    Surface phonons, conductivities, and loss functions are calculated for reconstructed (2×1), p(2×2) and c(4×2) clean Si(001) surfaces, and (2×1) H and D covered Si(001) surfaces. Surface conductivities perpendicular to the surface are significantly smaller than conductivities parallel to the surface. The surface loss function is compared to high resolution electron energy loss measurements. There is good agreement between calculated loss functions and experiment for H and D covered surfaces. However, agreement between experimental data from different groups and between theory and experiment is poor for clean Si(001) surfaces. Formalisms for calculating electron energy loss spectra are reviewed and the mechanism of electron energy losses to surface vibrations is discussed.

  4. On the c-Si surface passivation mechanism by the negative-charge-dielectric Al2O3

    NARCIS (Netherlands)

    Hoex, B.; Gielis, J.J.H.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    Al2 O3 is a versatile high- ¿ dielectric that has excellent surface passivation properties on crystalline Si (c-Si), which are of vital importance for devices such as light emitting diodes and high-efficiency solar cells. We demonstrate both experimentally and by simulations that the surface

  5. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  6. Si-O-Si bond-angle distribution in vitreous silica from first-principles 29Si NMR analysis

    International Nuclear Information System (INIS)

    Mauri, Francesco; Pasquarello, Alfredo; Pfrommer, Bernd G.; Yoon, Young-Gui; Louie, Steven G.

    2000-01-01

    The correlation between 29 Si chemical shifts and Si-O-Si bond angles in SiO 2 is determined within density-functional theory for the full range of angles present in vitreous silica. This relation closely reproduces measured shifts of crystalline polymorphs. The knowledge of the correlation allows us to reliably extract from the experimental NMR spectrum the mean (151 degree sign ) and the standard deviation (11 degree sign ) of the Si-O-Si angular distribution of vitreous silica. In particular, we show that the Mozzi-Warren Si-O-Si angular distribution is not consistent with the NMR data. This analysis illustrates the potential of our approach for structural determinations of silicate glasses. (c) 2000 The American Physical Society

  7. Reduction mechanisms of ethylene carbonate on si anodes of lithium-ion batteries: effects of degree of lithiation and nature of exposed surface.

    Science.gov (United States)

    Martinez de la Hoz, Julibeth M; Leung, Kevin; Balbuena, Perla B

    2013-12-26

    Ab initio molecular dynamics simulations are used to identify mechanisms of reduction of ethylene carbonate on Si surfaces at various degrees of lithiation, where the low-coordinated surface Si atoms are saturated with O, OH, or H functional groups. The lowest Si content surfaces are represented by quasi-amorphous LiSi4 and LiSi2; intermediate lithiation is given by LiSi crystalline facets, and the highest Li content is studied through Li13Si4 surfaces. It is found that ethylene carbonate (EC) reduction mechanisms depend significantly on the degree of lithiation of the surface. On LiSi surfaces EC is reduced according to two different two-electron mechanisms (one simultaneous and one sequential), which are independent of specific surface functionalization or nature of exposed facets. On the less lithiated surfaces, the simultaneous two-electron reduction is found more frequently. In that mechanism, the EC reduction is initiated by the formation of a C-Si bond that allows adsorption of the intact molecule to the surface and is followed by electron transfer and ring-opening. Strongly lithiated Li13Si4 surfaces are found to be highly reactive. Reduction of adsorbed EC molecules occurs via a four-electron mechanism yielding as reduction products CO(2-) and O(C2H4)O(2-). Direct transfer of two electrons to EC molecules in liquid phase is also possible, resulting in the presence of O(C2H4)OCO(2-) anions in the liquid phase.

  8. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  9. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  10. AlSiTiN and AlSiCrN multilayer coatings: Effects of structure and surface composition on tribological behavior under dry and lubricated conditions

    International Nuclear Information System (INIS)

    Faga, Maria Giulia; Gautier, Giovanna; Cartasegna, Federico; Priarone, Paolo C.; Settineri, Luca

    2016-01-01

    Graphical abstract: - Highlights: • The demand for high performance nanostructured coatings has been increasing. • AlSiTiN and AlSiCrN nanocomposite coatings were deposited by PVD technique. • Coatings were analyzed in terms of structure, hardness and adhesion. • Tribological properties under dry and lubricated conditions were studied. • The effects of surface and bulk properties on friction evolution were assessed. - Abstract: Nanocomposite coatings have been widely studied over the last years because of their high potential in several applications. The increased interest for these coatings prompted the authors to study the tribological properties of two nanocomposites under dry and lubricated conditions (applying typical MQL media), in order to assess the influence of the surface and bulk properties on friction evolution. To this purpose, multilayer and nanocomposite AlSiTiN and AlSiCrN coatings were deposited onto tungsten carbide-cobalt (WC-Co) samples. Uncoated WC-Co materials were used as reference. Coatings were analyzed in terms of hardness and adhesion. The structure of the samples was assessed by X-ray diffraction (XRD), while the surface composition was studied by XPS analysis. Friction tests were carried out under both dry and lubricated conditions using an inox ball as counterpart. Both coatings showed high hardness and good adhesion to the substrate. As far as the friction properties are concerned, in dry conditions the surface properties affect the sliding contact at the early beginning, while bulk structure and tribolayer formation determine the main behavior. Only AlSiTiN coating shows a low and stable coefficient of friction (COF) under dry condition, while the use of MQL media results in a rapid stabilization of the COF for all the materials.

  11. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  12. Switchable Super-Hydrophilic/Hydrophobic Indium Tin Oxide (ITO) Film Surfaces on Reactive Ion Etching (RIE) Textured Si Wafer.

    Science.gov (United States)

    Kim, Hwa-Min; Litao, Yao; Kim, Bonghwan

    2015-11-01

    We have developed a surface texturing process for pyramidal surface features along with an indium tin oxide (ITO) coating process to fabricate super-hydrophilic conductive surfaces. The contact angle of a water droplet was less than 5 degrees, which means that an extremely high wettability is achievable on super-hydrophilic surfaces. We have also fabricated a super-hydrophobic conductive surface using an additional coating of polytetrafluoroethylene (PTFE) on the ITO layer coated on the textured Si surface; the ITO and PTFE films were deposited by using a conventional sputtering method. We found that a super-hydrophilic conductive surface is produced by ITO coated on the pyramidal Si surface (ITO/Si), with contact angles of approximately 0 degrees and a resistivity of 3 x 10(-4) Ω x cm. These values are highly dependent on the substrate temperature during the sputtering process. We also found that the super-hydrophobic conductive surface produced by the additional coating of PTFE on the pyramidal Si surface with an ITO layer (PTFE/ITO/Si) has a contact angle of almost 160 degrees and a resistivity of 3 x 10(-4) Ω x cm, with a reflectance lower than 9%. Therefore, these processes can be used to fabricate multifunctional features of ITO films for switchable super-hydrophilic and super-hydrophobic surfaces.

  13. Study of the interface in n{sup +}{mu}c-Si/p-type c-Si heterojunctions: role of the fluorine chemistry in the interface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Grimaldi, A.; Sacchetti, A.; Capezzuto, P.; Ambrico, M.; Bruno, G.; Roca, Francesco

    2003-03-03

    Investigation of n-p heterojunction solar cells obtained by depositing a n-type thin silicon films either amorphous or microcrystalline on p-type c-Si is carried out. The study is focused on the improvement of the c-Si surface and emitter layer/c-Si substrate interface. The peculiarity is the use of SiF{sub 4}-based plasmas for the in situ dry cleaning and passivation of the c-Si surface and for the PECVD deposition of the emitter layer that can be either amorphous (a-Si:H,F) or microcrystalline ({mu}c-Si). The use of SiF{sub 4} instead of the conventional SiH{sub 4} results in a lower hydrogen content in the film and in a reduction of the interaction of the c-Si surface with hydrogen atoms. Furthermore, the dependence of the heterojunction solar cell photovoltaic parameters on the insertion of an intrinsic buffer layer between the n-type thin silicon layer and the p-type c-Si substrate is discussed.

  14. Materials and devices for quantum information processing in Si/SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sailer, Juergen

    2010-12-15

    , starting from Si/SiGe 2DES, further reduce the degree of freedom of the motion of the electrons. This is accomplished by nano-structured Palladium (Pd) Schottky top-gates on the sample surface with which it is possible to selectively and precisely adjust the 2D sheet carrier density in a leakage and hysteresis free manner. Using this technique, it was possible to realize an electrostatically defined double quantum dot (DQD) in Si/SiGe. By adjusting the gate bias, the DQD could be tuned from the many electron regime, in which directly measurable current transport was still possible, all the way down to the few electron regime. In this few electron regime, current flow became unmeasurable small, which made the application of a charge sensing technique necessary. As a highly sensitive charge-sensor, another quantum dot nearby was used. Changes in the charge occupancy of the DQD smaller than one tenth of an elementary charge could be resolved. Suitability of the device for more sophisticated future experiments in QIP could be shown by measuring charge-stability-diagrams free of any unwanted charge reconfiguration events. (orig.)

  15. Influence of Group-III-metal and Ag adsorption on the Ge growth on Si(111) and its vicinal surface

    Energy Technology Data Exchange (ETDEWEB)

    Speckmann, Moritz

    2011-12-15

    In the framework of this thesis the surfactant-mediated heteroepitaxial growth of Ge on different Si surfaces has been investigated by means of low-energy electron microscopy, low-energy electron diffraction, spot-profile analysing low-energy electron diffraction, X-ray standing waves, grazing-incidence X-ray diffraction, x-ray photoemission electron microscopy, X-ray photoemission spectroscopy, scanning tunneling microscopy, scanning electron microscopy, transmission electron microscopy, and density functional theory calculations. As surfactants gallium, indium, and silver were used. The adsorption of Ga or In on the intrinsically faceted Si(112) surface leads to a smoothing of the surface and the formation of (N x 1) reconstructions, where a mixture of building blocks of different sizes is always present. For both adsorbates the overall periodicity on the surface is strongly dependent on the deposition temperature and the coverage. For the experimental conditions chosen here, the periodicities are in the range of 5.2{<=}N{<=}6.5 and 3.4{<=}N{<=}3.7 for Ga and In, respectively. The (N x 1) unit cells of Ga/Si(112) and In/Si(112) are found to consist of adsorbate atoms on terrace and step-edge sites, forming two atomic chains along the [110] direction. In the Ga-induced structures two Ga-vacancies per unit cell (one in the terrace and one in the step-edge site) are found and a continuous vacancy line on the surface is formed. In the In/Si(112) structure only one vacancy per unit cell in the step-edge site exists and, thus, a continuous adsorbate chain on the terrace sites is present. The adsorption of Ga or In on Si(112) strongly influences the subsequent Ge growth. Ge deposition on the Ga-terminated Si(112) surface leads to the formation of Ge nanowires, which are elongated along the Ga chains and reach lengths of up to 2000 nm for a growth temperature of 600 C. On In-covered Si(112), both small dash-like Ge islands and triangularly shaped islands are found, where

  16. The Stellar Imager (SI) - A Mission to Resolve Stellar Surfaces, Interiors, and Magnetic Activity

    Science.gov (United States)

    Christensen-Dalsgaard, Jorgen; Carpenter, Kenneth G.; Schrijver, Carolus J.; Karovska, Margarita

    2012-01-01

    The Stellar Imager (SI) is a space-based, UV/Optical Interferometer (UVOI) designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and of the Universe in general. It will also probe via asteroseismology flows and structures in stellar interiors. SI will enable the development and testing of a predictive dynamo model for the Sun, by observing patterns of surface activity and imaging of the structure and differential rotation of stellar interiors in a population study of Sun-like stars to determine the dependence of dynamo action on mass, internal structure and flows, and time. SI's science focuses on the role of magnetism in the Universe and will revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magnetohydrodynamically controlled processes in the Universe. SI is a "LandmarklDiscovery Mission" in the 2005 Heliophysics Roadmap, an implementation of the UVOI in the 2006 Astrophysics Strategic Plan, and a NASA Vision Mission ("NASA Space Science Vision Missions" (2008), ed. M. Allen). We present here the science goals of the SI Mission, a mission architecture that could meet those goals, and the technology development needed to enable this mission

  17. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  18. Silane effects on the surface morphology and abrasion resistance of transparent SiO2/UV-curable resin nano-composites

    International Nuclear Information System (INIS)

    Hsiang, Hsing-I.; Chang, Yu-Lun; Chen, Chi-Yu; Yen, Fu-Su

    2011-01-01

    Transparent ultraviolet curable nano-composite coatings consisting of nano-sized SiO 2 and acrylate resin have been developed to improve the abrasion resistance of organic polymers. The nano-sized SiO 2 particles were surface-modified using various amounts of 3-methacryloxypropyltrimethoxysilane. The 3-methacryloxypropyltrimethoxysilane concentration effects on the surface morphology and abrasion resistance of the transparent SiO 2 /ultraviolet-curable resin nano-composites were investigated using scanning electron microscopy, atomic force microscopy, and ultraviolet-visible spectrophotometer. The results showed that as the 3-methacryloxypropyltrimethoxysilane/SiO 2 weight ratio increased from 0.2 to 0.6, the dispersion, compatibility and cross-linking density between the 3-methacryloxypropyltrimethoxysilane-modified SiO 2 particles and acrylate resin were improved, leading to an increase in abrasion resistance. However, as the 3-methacryloxypropyltrimethoxysilane/SiO 2 weight ratio was increased to 1.5, the additional 3-methacryloxypropyltrimethoxysilane may exceed that needed to fill the pores with the probability of SiO 2 nano-particles existing on the coating surface was lower than that for samples with a 3-methacryloxypropyltrimethoxysilane/SiO 2 weight ratio of 0.6. This produced a decrease in abrasion resistance.

  19. Thermal shock properties of 2D-SiCf/SiC composites

    International Nuclear Information System (INIS)

    Lee, Sang Pill; Lee, Jin Kyung; Son, In Soo; Bae, Dong Su; Kohyama, Akira

    2012-01-01

    This paper dealt with the thermal shock properties of SiC f /SiC composites reinforced with two dimensional SiC fabrics. SiC f /SiC composites were fabricated by a liquid phase sintering process, using a commercial nano-size SiC powder and oxide additive materials. An Al 2 O 3 –Y 2 O 3 –SiO 2 powder mixture was used as a sintering additive for the consolidation of SiC matrix region. In this composite system, Tyranno SA SiC fabrics were also utilized as a reinforcing material. The thermal shock test for SiC f /SiC composites was carried out at the elevated temperature. Both mechanical strength and microstructure of SiC f /SiC composites were investigated by means of optical microscopy, SEM and three point bending test. SiC f /SiC composites represented a dense morphology with a porosity of about 8.2% and a flexural strength of about 160 MPs. The characterization of SiC f /SiC composites was greatly affected by the history of cyclic thermal shock. Especially, SiC f /SiC composites represented a reduction of flexural strength at the thermal shock temperature difference higher than 800 °C.

  20. SiC/SiC Cladding Materials Properties Handbook

    Energy Technology Data Exchange (ETDEWEB)

    Snead, Mary A. [Brookhaven National Lab. (BNL), Upton, NY (United States); Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Singh, Gyanender P. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-08-01

    When a new class of material is considered for a nuclear core structure, the in-pile performance is usually assessed based on multi-physics modeling in coordination with experiments. This report aims to provide data for the mechanical and physical properties and environmental resistance of silicon carbide (SiC) fiber–reinforced SiC matrix (SiC/SiC) composites for use in modeling for their application as accidenttolerant fuel cladding for light water reactors (LWRs). The properties are specific for tube geometry, although many properties can be predicted from planar specimen data. This report presents various properties, including mechanical properties, thermal properties, chemical stability under normal and offnormal operation conditions, hermeticity, and irradiation resistance. Table S.1 summarizes those properties mainly for nuclear-grade SiC/SiC composites fabricated via chemical vapor infiltration (CVI). While most of the important properties are available, this work found that data for the in-pile hydrothermal corrosion resistance of SiC materials and for thermal properties of tube materials are lacking for evaluation of SiC-based cladding for LWR applications.

  1. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  2. Effect of different parameters on machining of SiC/SiC composites via pico-second laser

    Energy Technology Data Exchange (ETDEWEB)

    Li, Weinan; Zhang, Ruoheng [State Key Laboratory of Transient Optics and Photonics, Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences, Xi’an, Shaanxi 10068 (China); Liu, Yongsheng, E-mail: yongshengliu@nwpu.edu.cn [Science and technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an, Shaanxi 710072 (China); Wang, Chunhui; Wang, Jing [Science and technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an, Shaanxi 710072 (China); Yang, Xiaojun [State Key Laboratory of Transient Optics and Photonics, Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences, Xi’an, Shaanxi 10068 (China); Cheng, Laifei [Science and technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an, Shaanxi 710072 (China)

    2016-02-28

    Graphical abstract: - Highlights: • The highlights of the manuscript include the following two aspects. • First, we found that the different machining modes (helical line scanning and single ring line scanning) and processing power of machining have remarkable effect on the surface morphology of the machined area, such as the shape, depth and the formation of different surface structures. • Secondly, we investigated that the debris consisted of C, Si and O was observed on the machined surface. • Some of the Si–C bonds of the SiC matrix and fibers would be transformed into Si–O bonds after machined, depending on the processing power. - Abstract: Pico-second laser plays an important role in modern machining technology, especially in machining high hardness materials. In this article, pico-second laser was utilized for irradiation on SiC/SiC composites, and effects of different processing parameters including the machining modes and laser power were discussed in detail. The results indicated that the machining modes and laser power had great effect on machining of SiC/SiC composites. Different types of surface morphology and structure were observed under helical line scanning and single ring line scanning, and the analysis of their formulation was discussed in detail. It was believed that the machining modes would be responsible to the different shapes of machining results at the same parameters. The processing power shall also influence the surface morphology and quality of machining results. In micro-hole drilling process, large amount of debris and fragments were observed within the micro-holes, and XPS analysis showed that there existed Si–O bonds and Si–C bonds, indicating that the oxidation during processing was incomplete. Other surface morphology, such as pores and pits were discussed as well.

  3. Effects of surface crystallization and oxidation in nanocrystalline FeNbCuSiB(P) ribbons

    Energy Technology Data Exchange (ETDEWEB)

    Butvinová, B., E-mail: beata.butvinova@savba.sk [Institute of Physics SAS, Dúbravská cesta 9, 845 11 Bratislava (Slovakia); Butvin, P. [Institute of Physics SAS, Dúbravská cesta 9, 845 11 Bratislava (Slovakia); Brzózka, K. [Department of Physics, University of Technology and Humanities in Radom, Krasickiego 54, 26-600 Radom (Poland); Kuzminski, M. [Institute of Physics PAS, Al. Lotnikow 36/42, 02-668 Warsaw (Poland); Maťko, I.; Švec Sr, P. [Institute of Physics SAS, Dúbravská cesta 9, 845 11 Bratislava (Slovakia); Chromčíková, M. [Institute of Inorg. Chem. SAS, Centrum VILA, Študentská 2, 911 50 Trenčín (Slovakia)

    2017-02-15

    Si-poor Fe{sub 74}Nb{sub 3}Cu{sub 1}Si{sub 8}B{sub 14−x}P{sub x}, (x=0, 3) nanocrystalline ribbon-form alloys often form surfaces, which exert in-plane force on underlying ribbon interior when nanocrystallized in even modest presence of oxygen. Mostly unwanted hard-ribbon-axis magnetic anisotropy is standard result. Essential sources of the surface-caused stress have been sought and influence of P instead of B substitution on this effect was studied too. Preferred surface crystallization (PSC) was found to be the major reason. However P substitution suppresses PSC and promotes Fe-oxide formation, which eases the stress, softens the surfaces and provides different annealing evolution of surface properties. - Highlights: • Ar anneal of low-Si FeNbCuBSi ribbons produce surfaces that stress ribbon interior. • The stress comes mainly from preferred crystallization of surfaces. • Partial substitution of B by P changes annealing evolution of surface properties. • Without P, more crystalline surfaces significantly reduce ribbon's elasticity. • P suppresses surface crystallinity, promotes oxides and reduces mutual stress.

  4. Endotaxially stabilized B2-FeSi nanodots in Si (100) via ion beam co-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cassidy, Cathal, E-mail: c.cassidy@oist.jp; Singh, Vidyadhar; Grammatikopoulos, Panagiotis [Nanoparticles by Design Unit, Okinawa Institute of Science and Technology (OIST) Graduate University, 1919-1 Onna-Son, Okinawa 904-0495 (Japan); Kioseoglou, Joseph [Department of Physics, Aristotle University of Thessaloniki, GR-54124 Thessaloniki (Greece); Lal, Chhagan [Department of Physics, University of Rajasthan, Jaipur, Rajasthan 302005 (India); Sowwan, Mukhles, E-mail: mukhles@oist.jp [Nanoparticles by Design Unit, Okinawa Institute of Science and Technology (OIST) Graduate University, 1919-1 Onna-Son, Okinawa 904-0495 (Japan); Nanotechnology Research Laboratory, Al-Quds University, East Jerusalem, P.O. Box 51000, Palestine (Country Unknown)

    2014-04-21

    We report on the formation of embedded B2-FeSi nanodots in [100]-oriented Si substrates, and investigate the crystallographic mechanism underlying the stabilization of this uncommon, bulk-unstable, phase. The nanodots were approximately 10 nm in size, and were formed by iron thin film deposition and subsequent annealing. Cross-sectional transmission electron microscopy, energy loss spectroscopy mapping, and quantitative image simulation and analysis were utilized to identify the phase, strain, and orientational relationship of the nanodots to the host silicon lattice. X-ray photoelectron spectroscopy was utilized to analyze the surface composition and local bonding. Elasticity calculations yielded a nanodot residual strain value of −18%. Geometrical phase analysis graphically pinpointed the positions of misfit dislocations, and clearly showed the presence of pinned (11{sup ¯}1{sup ¯}){sub Si}//(100){sub FeSi}, and unpinned (2{sup ¯}42){sub Si}//(010){sub FeSi}, interfaces. This partial endotaxy in the host silicon lattice was the mechanism that stabilized the B2-FeSi phase.

  5. Preliminary soft x-ray studies of beta-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Shek, M.L. [Brookhaven National Lab., Upton, NY (United States); Miyano, K.E.; Ederer, D.L. [Tulane Univ., New Orleans, LA (United States). Dept. of Physics; Dong, Q.Y.; Callcott, T.A. [Tennessee Univ., Knoxville, TN (United States). Dept. of Physics

    1994-06-01

    We have looked at beta-SiC with soft x-ray emission and photoemission spectroscopy. From the Si L{sub 23} and C K emissions, the Si s+d-like and C p partial density of states in the bulk valence band are identified, and compared with valence band photoemission. In addition to bulk electronic structural features, photoemission from a (3 {times} 2) Si-rich surface shows two surface-derived valence features at {approximately}{minus}2.6 and {approximately}{minus}1.6 eV relative to the Fermi level. The intensities of these valence features vary as those of surface Si 2p core level components shifted by {minus}0.5 eV and {minus}1.4 eV from the bulk-like SiC Si 2p core level. We have also used the Si L{sub 23} absorption edge as a probe of the unfilled states near the conduction, band minimum.

  6. Origin of Si(LMM) Auger Electron Emission from Silicon and Si-Alloys by keV Ar+ Ion Bombardment

    Science.gov (United States)

    Iwami, Motohiro; Kim, Su Chol; Kataoka, Yoshihide; Imura, Takeshi; Hiraki, Akio; Fujimoto, Fuminori

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar+ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  7. Desorption dynamics of deuterium molecules from the Si(100)-(3x1) dideuteride surface.

    Science.gov (United States)

    Niida, T; Tsurumaki, H; Namiki, A

    2006-01-14

    We measured polar angle (theta)-resolved time-of-flight spectra of D2 molecules desorbing from the Si(100)-(3x1) dideuteride surface. The desorbing D2 molecules exhibit a considerable translational heating with mean desorption kinetic energies of approximately 0.25 eV, which is mostly independent of the desorption angles for 0 degreesdynamics of deuterium was discussed along the principle of detailed balance to predict their adsorption dynamics onto the monohydride Si surface.

  8. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  9. Corrosive sliding wear behavior of laser clad Mo2Ni3Si/NiSi intermetallic coating

    International Nuclear Information System (INIS)

    Lu, X.D.; Wang, H.M.

    2005-01-01

    Many ternary metal silicides such as W 2 Ni 3 Si, Ti 2 Ni 3 Si and Mo 2 Ni 3 Si with the topologically closed-packed (TCP) hP12 MgZn 2 type Laves phase crystal structure are expected to have outstanding wear and corrosion resistance due to their inherent high hardness and sluggish temperature dependence and strong atomic bonds. In this paper, Mo 2 Ni 3 Si/NiSi intermetallic coating was fabricated on substrate of an austenitic stainless steel AISI321 by laser cladding using Ni-Mo-Si elemental alloy powders. Microstructure of the coating was characterized by optical microscopy (OM), scanning electron microscopy (SEM), X-ray diffraction (XRD) and energy dispersive X-ray analysis (EDS). Wear resistance of the coating is evaluated under corrosive sliding wear test condition. Influence of corrosion solutions on the wear resistance of the coating was studied and the wear mechanism was discussed based on observations of worn surface morphology. Results showed that the laser clad Mo 2 Ni 3 Si/NiSi composite coating have a fine microstructure of Mo 2 Ni 3 Si primary dendrites and the interdendritic Mo 2 Ni 3 Si/NiSi eutectics. The coating has excellent corrosive wear resistance compared with austenitic stainless steel AISI321 under acid, alkaline and saline corrosive environments

  10. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  11. Surface hardening of 30CrMnSiA steel using continuous electron beam

    Science.gov (United States)

    Fu, Yulei; Hu, Jing; Shen, Xianfeng; Wang, Yingying; Zhao, Wansheng

    2017-11-01

    30CrMnSiA high strength low alloy (HSLA) carbon structural steel is typically applied in equipment manufacturing and aerospace industries. In this work, the effects of continuous electron beam treatment on the surface hardening and microstructure modifications of 30CrMnSiA are investigated experimentally via a multi-purpose electron beam machine Pro-beam system. Micro hardness value in the electron beam treated area shows a double to triple increase, from 208 HV0.2 on the base metal to 520 HV0.2 on the irradiated area, while the surface roughness is relatively unchanged. Surface hardening parameters and mechanisms are clarified by investigation of the microstructural modification and the phase transformation both pre and post irradiation. The base metal is composed of ferrite and troostite. After continuous electron beam irradiation, the micro structure of the electron beam hardened area is composed of acicular lower bainite, feathered upper bainite and part of lath martensite. The optimal input energy density for 30CrMnSiA steel in this study is of 2.5 kJ/cm2 to attain the proper hardened depth and peak hardness without the surface quality deterioration. When the input irradiation energy exceeds 2.5 kJ/cm2 the convective mixing of the melted zone will become dominant. In the area with convective mixing, the cooling rate is relatively lower, thus the micro hardness is lower. The surface quality will deteriorate. Chemical composition and surface roughness pre and post electron beam treatment are also compared. The technology discussed give a picture of the potential of electron beam surface treatment for improving service life and reliability of the 30CrMnSiA steel.

  12. Properties of tribology for Si implanted PET

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Xu; Liu Andong; Xie Mengxia; Zhang Aimin; Chen Jianmin

    2002-01-01

    Polyethylene terephthalate (PET) has been modified with Si ions from a metal vapor arc source (MEVVA). After implantation, the surface structure has been greatly changed. The experimental results of infrared absorption indicated that the particles are referred to rich carbon and SiC particles. The PET has been strengthened by these dispersed particles. The measurement results using nanometer hardness tester reveal that both surface hardness and modulus increase obviously. Therefore the surface wear resistance improved extremely. Finally the modification mechanism of Si implanted PET was discussed

  13. Effects of surface passivation on α-Si_3N_4 nanobelts: A first-principles study

    International Nuclear Information System (INIS)

    Xiong, Li; Dai, Jianhong; Song, Yan; Wen, Guangwu; Qin, Chunlin

    2016-01-01

    Highlights: • The stability and electronic properties of α-Si_3N_4 nanobelts are theoretically studied. • The surface of α-Si_3N_4 nanobelts are passivated with H, OH, F and Cl atoms. • The structural stability of nanobelts decreases in the order of OH, F, Cl, and H passivations. • The surface passivation greatly changes the electronic structures of α-Si_3N_4 nanobelts. - Abstract: The energetic stability and electronic structures of H, OH, F, or Cl passivated α-Si_3N_4 nanobelts orientating along various directions are systematically investigated via first-principles calculations. The results show that the stability of nanobelts is more sensitive to the surface passivation than growth direction. It decreases in the order of (100% OH), (50% H, 50% OH), (50% H, 50% F), (100% F), (50% H, 50% Cl), (100% Cl), (100% H), and unpassivation. H atoms prefer to bond with surface N atoms of nanobelts, while OH, F and Cl prefer to bond with Si atoms of nanobelts. In addition, the surface passivation greatly changes the electronic structures of nanobelts. The OH and F passivations result in the larger band gaps than the Cl passivation. While the coverage of OH, F or Cl increases to 100%, their band gaps decrease significantly, indicating an improvement of electrical properties, which is good agreement with the experimental findings. The 100% Cl-passivated nanobelt orientating along the [011] direction possesses the smallest band gap of 1.038 eV. The band gaps are found to be affected by a competition between quantum confinement effect and the role of the surface passivated groups or atoms at the band-gap edges.

  14. Strained Si engineering for nanoscale MOSFETs

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Lee, Gon-Sub; Kim, Tae-Hyun; Hong, Seuck-Hoon; Kim, Seong-Je; Song, Jin-Hwan; Shim, Tae-Hun

    2006-01-01

    We have revealed a strain relaxation mechanism for strained Si grown on a relaxed SiGe-on-insulator structure fabricated by the bonding, dislocation sink, or condensation method. Strain relaxation for both the bonding and dislocation sink methods was achieved by grading the Ge concentration; in contrast, the relaxation for the condensation method was achieved through Ge atom condensation during oxidation. In addition, we estimated the surface roughness and threading-dislocation pit density for relaxed SiGe layer fabricated by the bonding, dislocation sink, or condensation method. The surface roughness and threading-dislocation pit density for the bonding, dislocation sink, and condensation methods were 2.45, 0.46, and 0.40 nm and 5.0 x 10 3 , 9 x 10 3 , and 0, respectively. In terms of quality and cost-effectiveness, the condensation method was superior to the bonding and dislocation sink methods for forming strained Si on a relaxed SiGe-on-insulator structure

  15. Investigation of γ-radiation defect formation at the Si-SiO2 interface

    International Nuclear Information System (INIS)

    Zaynabidinov, S.; Yulchiev, Sh.; Aliev, R.

    2004-01-01

    Full text: In work the results of an experimental research of process radiating defects formation on border are submitted undressed Si-SiO 2 at γ-radiation of the silicon MOS structures. As against similar researches the basic attention is given on the analysis of generation-recombination characteristics of structures, that allowed to establish character of the defects formation both on border undressed Si-SiO 2 , and in about border of Si. In experiments the structures received by thermal oxidation at T=1000 deg. C in environment of dry oxygen n-Si substrates with specific resistance ρ=0.3 Ω·cm are used. The thickness of oxygen layer made ∼0.1 μm. The test MOS-structures with an aluminium electrode and area ∼0.01 cm 2 irradiated with γ-quanta from the 60 Co source by a dose of 10 6 rad. The choice of a dose of an radiation is caused by that at such dose the essential increase of concentration of superficial defects is observed, and at the same time there are no significant changes of parameters of a substrate because of formation of point defects in volume of silicon. The generation characteristics of structures such, as speed of superficial generation s and time of life τ g of carriers of a charge in about surface before and after an radiation defined by a method isothermal relaxation of nonequilibrium high-frequency capacity. The relaxation of nonequilibrium capacity registered at submission on translating structure in a condition of deeper inversion. Such mode of measurement allows to neglect the contribution which is brought in recharged of superficial condition in superficial generation currents. Are received relaxation dependence of structures before and after an radiation, and also spectra of distribution of density of superficial condition on width of the forbidden zone Si dN ss /dE. The increase at 12-15 of time of concentration of superficial condition with E=E c -(0.18±0.03) eV in the irradiated structures is established. Such condition is

  16. Polarized micro-Raman scattering characterization of Mg2Si nanolayers in (001) Si matrix

    International Nuclear Information System (INIS)

    Zlateva, G; Atanassov, A; Baleva, M; Nikolova, L; Abrashev, M V

    2007-01-01

    An orientational growth of the Mg 2 Si lattice relative to the Si lattice is considered assuming minimum mismatch of their lattice parameters. The Raman scattering cross-sections are calculated for the four possible orientations of the Mg 2 Si lattice positioned in this way. The integral intensity ratios for the F 2g mode of Mg 2 Si in different polarization configurations, obtained from the experimental spectra, are compared with the calculated ratios. It is found that the Mg 2 Si nanolayer's morphology is sensitive to the implantation energy, which determines both the peak Mg concentration in the initial implantation profile and its position in the sample depth. At a peak concentration of the order of the stoichiometric concentration, the layers are highly oriented. When the peak concentration is higher and the peak is placed closer to the surface, the layers are polycrystalline

  17. The study of poly-Si n+pp+ solar cells

    International Nuclear Information System (INIS)

    Aboud, S.R.

    1990-01-01

    The electrical and optical properties such as (activation energy, optical energy gap, and gap state density) of the polycrystalline silicon (poly-Si) have been studied. A comparative study between the chemical and mechanical polishing to clean and polish the poly-Si surface were done through the measurement of the reflectivity of these surfaces. A group of solar cells of type n + pp + were prepared, using p-type poly-Si wafers (SISLO). Solar cells were also prepared using single silicon (Sing-Si) with and, without (BSF) to study the effect of (BSF) on Sing-Si cell and a comparative study between poly-Si cell and Sing-Si cell under the same conditions. 2 tabs.; 45 figs.; 49 refs

  18. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    Science.gov (United States)

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  19. HREELS study of the adsorption and evolution of diethylamine (DEA) on Si(1 0 0) surfaces

    International Nuclear Information System (INIS)

    Yeninas, S.; Brickman, A.; Craig, J.H.; Lozano, J.

    2008-01-01

    The adsorption of diethylamine (DEA) on Si(1 0 0) at 100 K was investigated using high-resolution electron energy loss spectroscopy (HREELS) and electron stimulated desorption (ESD). The thermal evolution of DEA on Si(1 0 0) was studied using temperature programmed desorption (TPD). Our results demonstrate DEA bonds datively to the Si(1 0 0) surface with no dissociation at 100 K. Thermal desorption of DEA takes place via a β-hydride elimination process leaving virtually no carbon behind. Electronic processing of DEA/Si(1 0 0) at 100 K results in desorption of ethyl groups; however, carbon and nitrogen are deposited on the surface as a result of electron irradiation. Thermal removal of carbon and nitrogen was not possible, indicating the formation of silicon carbide and silicon nitride

  20. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-01

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  1. (FeCo)3Si-SiOx core-shell nanoparticles fabricated in the gas phase

    International Nuclear Information System (INIS)

    Bai Jianmin; Xu Yunhao; Thomas, John; Wang Jianping

    2007-01-01

    A method of fabricating core-shell nanoparticles by using an integrated nanoparticle deposition technique in the gas phase is reported. The principle of the method is based on nanoparticle growth from the vapour phase, during which elements showing lower surface energies prefer to form the shells and elements showing higher surface energies prefer to stay in the cores. This method was applied successfully to the Fe-Co-Si ternary system to fabricate core-shell-type nanoparticles. The nanoparticles were exposed in air after collection to achieve oxidation. The analysis results based on transmission electron microscopy (TEM), Auger electron spectroscopy (AES), x-ray diffraction (XRD), and a superconducting quantum interference device (SQUID) showed that the core parts are magnetic materials of body-centred cubic (bcc) structured (FeCo) 3 Si of 15 nm in diameter, and the shell parts are amorphous SiO x of 2 nm in thickness. These core-shell-type nanoparticles show a magnetic anisotropy constant of about 7 x 10 5 erg cm -3 and a saturation magnetization of around 1160 emu cm -3 , which is much higher than that of iron oxide. After annealing at 300 deg. C in air (FeCo) 3 Si-SiO x core-shell-type nanoparticles showed a little bit of a drop in magnetic moment, while pure FeCo nanopariticles totally lost their magnetic moment. This means that the shells of SiO x are dense enough to prevent the magnetic cores from oxidation

  2. In situ study of nitrobenzene grafting on Si(111)-H surfaces by infrared spectroscopic ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Rappich, J. [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institut fuer Silizium-Photovoltaik, Kekulestr. 5, 12489 Berlin (Germany); Hinrichs, K. [ISAS - Institute for Analytical Sciences, Department Berlin, Albert-Einstein-Str. 9, 12489 Berlin (Germany)

    2009-12-15

    The binding of nitrobenzene (NB) molecules from a solution of 4-nitrobenzene-diazonium-tetrafluoroborate on a Si(111)-H surface was investigated during the electrochemical processing in diluted sulphuric acid by means of infrared spectroscopic ellipsometry (IR-SE). The grafting was monitored by an increase in specific IR absorption bands due to symmetric and anti-symmetric NO{sub 2} stretching vibrations in the 1400-1700 cm{sup -1} regime. The p- and s-polarized reflectances were recorded within 20 s for each spectrum only. NB molecules were detected when bonded to the Si(111) surface but not in the 2 mM solution itself. Oxide formation on the NB grafted Si surface was observed after drying in inert atmosphere and not during the grafting process in the aqueous solution. (author)

  3. Surface characteristics of the galvannealed coating in Interstitial-free high strengthen steels containing Si and Mn

    International Nuclear Information System (INIS)

    Jeon, Sun Ho; Chin, Kwang Geun; Kim, Dai Ryong

    2008-01-01

    Surface-void defects observed on the Galvannealed (GA) steel sheets in Interstitial-free high-strengthened steels containing Si and Mn have been investigated using the combination of the FIB(Focused Ion Beam) and FE-TEM(Field Emission-Transmission Electron Microscope) techniques. The scanning ion micrographs of cross-section microstructure of defects showed that these defects were identified as craters which were formed on the projecting part of the substrate surface. Also, those craters were formed on the Si or Mn-Si oxides film through the whole interface between galvannealed coating and steel substrate. Interface enrichments and oxidations of the active alloying elements such as Si and Mn during reduction annealing process for galvanizing were found to interrupt Zn and Fe interdiffusion during galvannealing process. During galvannealing, Zn and Fe interdiffusion is preferentially started on the clean substrate surface which have no oxide layer on. And then, during galvannealing, crater is developed with consumption of molten zinc on the oxide layer

  4. Surface characteristics of the galvannealed coating in Interstitial-free high strengthen steels containing Si and Mn

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sun Ho; Chin, Kwang Geun [Pohang Iron and Steel Co. Technical Research Laboratories, Gwangyang (Korea, Republic of); Kim, Dai Ryong [Kyungpook National University, Daegu (Korea, Republic of)

    2008-02-15

    Surface-void defects observed on the Galvannealed (GA) steel sheets in Interstitial-free high-strengthened steels containing Si and Mn have been investigated using the combination of the FIB(Focused Ion Beam) and FE-TEM(Field Emission-Transmission Electron Microscope) techniques. The scanning ion micrographs of cross-section microstructure of defects showed that these defects were identified as craters which were formed on the projecting part of the substrate surface. Also, those craters were formed on the Si or Mn-Si oxides film through the whole interface between galvannealed coating and steel substrate. Interface enrichments and oxidations of the active alloying elements such as Si and Mn during reduction annealing process for galvanizing were found to interrupt Zn and Fe interdiffusion during galvannealing process. During galvannealing, Zn and Fe interdiffusion is preferentially started on the clean substrate surface which have no oxide layer on. And then, during galvannealing, crater is developed with consumption of molten zinc on the oxide layer.

  5. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  6. Adsorption of selenium atoms at the Si(1 1 1)-7 x 7 surface: A combination of scanning tunnelling microscopy and density functional theory studies

    International Nuclear Information System (INIS)

    Wu, S.Q.; Zhou Yinghui; Wu Qihui; Pakes, C.I.; Zhu Zizhong

    2011-01-01

    Graphical abstract: A selenium atom, which adsorbs at site close to a Si adatom and bonds with this Si adatom and one of its backbonding Si atoms on the Si(1 1 1)-7 x 7 surface, will break the Si-Si bond and consequently disorder the Si reconstruction surface. Research highlights: → STM and DFT are used to study the adsorption properties of Se atoms on a Si surface. → The adsorption site of Se atom on the Si surface has been identified. → The electronic effect of Se atom on the adsorbed Si surface has been ivestigaed. → The Se atom weakens the bond between two Si atom bonding with the Se atom. - Abstract: The adsorption of selenium (Se) atoms at the Si(1 1 1)-7 x 7 surface has been investigated using both scanning tunnelling microscopy (STM) and density functional theory calculations. A single Se atom prefers to adsorb at sites close to a Si adatom and bonds with this Si adatom and one of its backbonding Si atoms. The adsorption sites are referred to as A*-type sites in this article. The density of the conduction band (empty states) of the Si adatom increases as a result of the adsorption of a Se atom, which causes the Si adatom to become brighter in the empty state STM images. At the same time, the adsorption of the Se atom weakens the bonding between the Si adatom and its backbonding Si atom due to the charge transfer from them to the Se atom, and consequently destructs the ordered Si(1 1 1)-7 x 7 surface with increasing Se coverage.

  7. Occupied and unoccupied electronic states on vicinal Si(111) surfaces decorated with monoatomic gold chains; Besetzte und unbesetzte elektronische Zustaende vizinaler Si(111)-Oberflaechen mit atomaren Goldketten

    Energy Technology Data Exchange (ETDEWEB)

    Biedermann, Kerstin

    2012-07-12

    In this work, the occupied and unoccupied electronic states of vicinal Si(111)-Au surfaces were investigated. The research focused on amending the experimental electronic band structure by two-photon photoemission and laser-based photoemission and bringing it in line with theoretical band structure calculations. This work dealt with the Si(553)-Au, the Si(111)-(5x2)-Au and the Si(557)-Au surface. Angle-resolved UV-photoelectron spectroscopy gave access to the occupied part of the band structure and thus to the energetic position, the dispersion and the symmetry of the occupied states. Bichromatic two-photon photoemission, however, revealed information about the energetics and, in addition, about the dynamics of unoccupied states on a femtosecond timescale. Notably, the selective polarization of the laser pulses allowed for distinguishing and classifying many of the states with respect to their symmetry. All three surfaces exhibited both surface and bulk states in the occupied part of the band structure. They could be clearly identified and separated from surface contributions by means of tight-binding calculations of the bulk band structure of silicon and by comparison to each other. An added similarity of these surfaces are the one-dimensional Rashba-split gold states, which definitely show dispersion along the chains but not perpendicular to them. All surfaces exhibit states which can easily be assigned to the gold chains. Additional features, however, cannot be attributed clearly to the characteristics of the complex surface reconstruction in all cases. An assignment to surface states was only successfully accomplished for Si(553)-Au. The primary emphasis of this photoemission study was on the Si(553)-Au surface, which shows the smallest defect density in comparison to the other surfaces and hence exhibits the sharpest peaks in the experimental spectra. In accordance with ab-initio band structure calculations this surface also displays, in addition to one

  8. Interaction of Se and GaSe with Si(111)

    International Nuclear Information System (INIS)

    Meng, Shuang; Schroeder, B. R.; Olmstead, Marjorie A.

    2000-01-01

    Deposition of Se and GaSe on Si(111)7x7 surfaces was studied with low-energy electron diffraction, x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction to probe initial nucleation and interface structure for GaSe/Si(111) heteroepitaxy. Room-temperature deposition of Se on Si(111)7x7 results in an amorphous film. Subsequent annealing leads to Se evaporation without ordering or interdiffusion. Se deposition at 450 degree sign C saturates at submonolayer coverage with no diffusion of Se into the substrate. There is no clear evidence of ordered sites for the Se. Growth of GaSe on Si(111)7x7 above 500 degree sign C results in a pseudomorphic bilayer, with Si-Ga-Se bonding. Additional GaSe does not stick to the bilayer above 525 degree sign C. The resulting Se lone pair at the surface leads to an ideally passivated surface similar to As/Si(111). This stable surface is similar to the layer termination in bulk GaSe. The single domain bilayer is oriented with the Ga-Se bond parallel to the substrate Si-Si bond. (c) 2000 The American Physical Society

  9. Hot Corrosion Behavior of Stainless Steel with Al-Si/Al-Si-Cr Coating

    Science.gov (United States)

    Fu, Guangyan; Wu, Yongzhao; Liu, Qun; Li, Rongguang; Su, Yong

    2017-03-01

    The 1Cr18Ni9Ti stainless steel with Al-Si/Al-Si-Cr coatings is prepared by slurry process and vacuum diffusion, and the hot corrosion behavior of the stainless steel with/without the coatings is studied under the condition of Na2SO4 film at 950 °C in air. Results show that the corrosion kinetics of stainless steel, the stainless steel with Al-Si coating and the stainless steel with Al-Si-Cr coating follow parabolic laws in several segments. After 24 h corrosion, the sequence of the mass gain for the three alloys is the stainless steel with Al-Si-Cr coating coating coating. The corrosion products of the three alloys are layered. Thereinto, the corrosion products of stainless steel without coating are divided into two layers, where the outside layer contains a composite of Fe2O3 and FeO, and the inner layer is Cr2O3. The corrosion products of the stainless steel with Al-Si coating are also divided into two layers, of which the outside layer mainly consists of Cr2O3, and the inner layer is mainly SiO2. The corrosion film of the stainless steel with Al-Si-Cr coating is thin and dense, which combines well with substrate. Thereinto, the outside layer is mainly Cr2O3, and the inside layer is Al2O3. In the matrix of all of the three alloys, there exist small amount of sulfides. Continuous and protective films of Cr2O3, SiO2 and Al2O3 form on the surface of the stainless steel with Al-Si and Al-Si-Cr coatings, which prevent further oxidation or sulfide corrosion of matrix metals, and this is the main reason for the much smaller mass gain of the two alloys than that of the stainless steel without any coatings in the 24 h hot corrosion process.

  10. Desorption dynamics of deuterium molecules from the Si(100)-(3×1) dideuteride surface

    OpenAIRE

    Niida, T; Tsurumaki, Hiroshi; Namiki, Akira

    2006-01-01

    We measured polar angle ()-resolved time-of-flight spectra of D2 molecules desorbing from the Si(100)-(3×1) dideuteride surface. The desorbing D2 molecules exhibit a considerable translational heating with mean desorption kinetic energies of 0.25 eV, which is mostly independent of the desorption angles for 0°30°. The observed desorption dynamics of deuterium was discussed along the principle of detailed balance to predict their adsorption dynamics onto the monohydride Si surface.

  11. Research on SiC Whisker Prepared by H-PSO

    Directory of Open Access Journals (Sweden)

    WANG Yao

    2017-10-01

    Full Text Available SiC whiskers were prepared on the matrix of graphite by using high hydrogenous silicone oil(PSO as raw material. The effect of surface conditions of graphite and heating temperature on the growth of SiC whisker was mainly studied in this paper. The main factor which affects the nucleation and growth of SiC whisker is the heating temperature, with the heating temperature rising, the production of SiC whisker increases. The surface condition of graphite matrix also influences the growth of SiC whisker. With the nucleation points provided by graphite matrix defects increasing, the production of SiC whisker incleases and SiC whisker starts to overlap with each other. The formation process of SiC whisker includes two steps:nucleation and growth. SiC whisker nucleates at low temperature and grows at high temperature, which follows the VLS (vapor-liquid-solid growth mechanism.

  12. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process.

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-25

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  13. Rear-Sided Passivation by SiNx:H Dielectric Layer for Improved Si/PEDOT:PSS Hybrid Heterojunction Solar Cells.

    Science.gov (United States)

    Sun, Yiling; Gao, Pingqi; He, Jian; Zhou, Suqiong; Ying, Zhiqin; Yang, Xi; Xiang, Yong; Ye, Jichun

    2016-12-01

    Silicon/organic hybrid solar cells have recently attracted great attention because they combine the advantages of silicon (Si) and the organic cells. In this study, we added a patterned passivation layer of silicon nitride (SiNx:H) onto the rear surface of the Si substrate in a Si/poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) ( PSS) hybrid solar cell, enabling an improvement of 0.6 % in the power conversion efficiency (PCE). The addition of the SiNx:H layer boosted the open circuit voltage (V oc) from 0.523 to 0.557 V, suggesting the well-passivation property of the patterned SiNx:H thin layer that was created by plasma-enhanced chemical vapor deposition and lithography processes. The passivation properties that stemmed from front PSS, rear-SiNx:H, front PSS/rear-SiNx:H, etc. are thoroughly investigated, in consideration of the process-related variations.

  14. Continuously tunable monomode mid-infrared vertical external cavity surface emitting laser on Si

    Science.gov (United States)

    Khiar, A.; Rahim, M.; Fill, M.; Felder, F.; Hobrecker, F.; Zogg, H.

    2010-10-01

    A tunable PbTe based mid-infrared vertical external cavity surface emitting laser is described. The active part is a ˜1 μm thick PbTe layer grown epitaxially on a Bragg mirror on the Si-substrate. The cavity is terminated with a curved Si/SiO Bragg top mirror and pumped optically with a 1.55 μm laser. Cavity length is <100 μm in order that only one longitudinal mode is supported. By changing the cavity length, up to 5% wavelength continuous and mode-hop free tuning is achieved at fixed temperature. The total tuning extends from 5.6 to 4.7 μm at 100-170 K operation temperature.

  15. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  16. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    Science.gov (United States)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  17. Experimental and thermodynamic assessments of substitutions in the AlFeSi, FeMnSi, FeSiZr and AlCaFeSi systems (65 wt % Si) - solidification simulation

    International Nuclear Information System (INIS)

    Gueneau, C.; Ansara, I.

    1994-01-01

    The substitutions of Al Si, Fe Mn and Fe Zr in some intermetallic compounds of the Al-Fe-Si, Fe-Mn-Si and Fe-Si-Zr systems are modelled in the Si-rich corner using a two sublattice model. The solidification paths of the studied alloys are determined at equilibrium. The ascalculated phase volume fractions of the alloys are compared to the experimental ones. Finally, a solidification simulation using the Gulliver-Scheil's model is performed in order to explain the formation of some precipitates experimentally observed. (authors). 14 figs., 19 refs

  18. Corroboration of Raman and AFM mapping to study Si nanocrystals embedded in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Rani, Ekta, E-mail: ades.ekta@gmail.com [Laser Physics Applications Section, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Ingale, Alka A. [Laser Physics Applications Section, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Chaturvedi, A. [Laser Material Processing Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Joshi, M.P.; Kukreja, L.M. [Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Laser Material Processing Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India)

    2016-07-05

    Raman and atomic force microscopy (AFM) mapping on the same selected area are used to get unique information about the morphology of Si nanocrystals (NCs) embedded in SiO{sub 2}, which is difficult to obtain by any other conventional technique. The sensitivity of Raman spectroscopy to surface/interface and confinement effects in NCs is effectively used to correlate the Raman intensity profile in Raman mapping with the topography obtained from AFM to understand that Si NCs are clustered in i) smaller clusters (∼100 nm) organized closely in two dimensions (2D) and ii) big (∼2 μm) three dimensional (3D) isolated clusters, although the growth is carried out to be multilayer (Si/SiO{sub 2}). Raman mapping performed by varying the focal spot along the depth shows stacking of larger (>∼60 Å) to smaller sizes (<∼40 Å) Si NCs from bottom to top for some clusters. To understand the observed morphologies, further study of specially grown Si–SiO{sub 2} nanocomposites is performed, which suggest formation of smaller Si NCs at the top due to annealing at 800 °C in Si rich SiO{sub 2} and possible existence of thermal gradient in an insulating matrix of SiO{sub 2.} Larger Si NCs are formed in the laser induced plume (plasma) itself. - Graphical abstract: a) Schematic showing the expected stacking of Si NCs obtained from Raman mapping, performed by changing focal spot along the depth, b) top, c) middle and d) bottom region of the cluster. - Highlights: • Methodology is developed to obtain Raman and AFM mapping at same selected area. • To get unique information, difficult to obtain using other conventional techniques. • Clusters (∼100 nm–2 μm) of Si nanocrystals embedded in SiO{sub 2} matrix are formed. • Stacking of Si nanocrystals from bottom to top (10–1 nm) is observed in some clusters. • Stacking of Si nanocrystals is understood as due to annealing and thermal gradient.

  19. CVD-Based Valence-Mending Passivation for Crystalline-Si Solar Cells

    Energy Technology Data Exchange (ETDEWEB)

    Tao, Meng [Arizona State Univ., Mesa, AZ (United States)

    2015-03-01

    The objective of this project is to investigate a new surface passivation technique, valence-mending passivation, for its applications in crystalline-Si solar cells to achieve significant efficiency improvement and cost reduction. As the enabling technique, the project includes the development of chemical vapor deposition recipes to passivate textured Si(100) and multicrystalline-Si surfaces by sulfur and the characterization of the passivated Si surfaces, including thermal stability, Schottky barrier height, contact resistance and surface recombination. One important application is to replace the Ag finger electrode in Si cells with Al to reduce cost, by ~$0.1/Wp, and allow terawatt-scale deployment of crystalline-Si solar cells. These all-Al Si cells require a low-temperature metallization process for the Al electrode, to be compatible with valence-mending passivation and to prevent Al diffusion into n-type Si. Another application is to explore valence-mending passivation of grain boundaries in multicrystalline Si by diffusing sulfur into grain boundaries, to reduce the efficiency gas between monocrystalline-Si solar cells and multicrystalline-Si cells. The major accomplishments of this project include: 1) Demonstration of chemical vapor deposition processes for valence-mending passivation of both monocrystalline Si(100) and multicrystalline Si surfaces. Record Schottky barriers have been demonstrated, with the new record-low barrier of less than 0.08 eV between Al and sulfur-passivated n-type Si(100) and the new record-high barrier of 1.14 eV between Al and sulfur-passivated p-type Si(100). On the textured p-type monocrystalline Si(100) surface, the highest barrier with Al is 0.85 eV by valence-mending passivation. 2) Demonstration of a low-temperature metallization process for Al in crystalline-Si solar cells. The new metallization process is based on electroplating of Al in a room-temperature ionic liquid. The resistivity of the electroplated Al is ~7×10–6

  20. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  1. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  2. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  3. Mechanical behavior of SiCf/SiC composites with alternating PyC/SiC multilayer interphases

    International Nuclear Information System (INIS)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-01-01

    Highlights: ► Superior combination of flexural strength and fracture toughness of the 3D SiC/SiC composite was achieved by interface tailoring. ► Resulted composite possesses a much higher flexural strength and fracture toughness than its counterparts in literatures. ► Mechanisms that PyC/SiC multilayer coatings improve the mechanical properties were illustrated. -- Abstract: In order to tailor the fiber–matrix interface of continuous silicon carbide fiber reinforced silicon carbide (SiC f /SiC) composites for improved fracture toughness, alternating pyrolytic carbon/silicon carbide (PyC/SiC) multilayer coatings were applied to the KD-I SiC fibers using chemical vapor deposition (CVD) method. Three dimensional (3D) KD-I SiC f /SiC composites reinforced by these coated fibers were fabricated using a precursor infiltration and pyrolysis (PIP) process. The interfacial characteristics were determined by the fiber push-out test and microstructural examination using scanning electron microscopy (SEM). The effect of interface coatings on composite mechanical properties was evaluated by single-edge notched beam (SENB) test and three-point bending test. The results indicate that the PyC/SiC multilayer coatings led to an optimum interfacial bonding between fibers and matrix and greatly improved the fracture toughness of the composites.

  4. Oscillations in the fusion of the Si + Si systems

    International Nuclear Information System (INIS)

    Aguilera R, E.F.; Kolata, J.J.; DeYoung, P.A.; Vega, J.J.

    1986-02-01

    Excitation functions for the yields of all the residual nuclei from the 28 Si + 28,30 and 30 Si + 30 Si reactions have been measured via the γ-ray technique for center of mass energies in the region within one and two times the Coulomb barrier.Thirteen elements were identified for the first reaction and ten for the other two. While no structure is shown by the data for the 28 + 28 Si reaction, we have found evidence for intermediate width structure in the 2α and the αpn channels in 28 Si + 30 Si and for broad structure in the total fusion cross sections for 30 Si + 30 Si. Calculations using a barrier penetration model with one free parameter reproduce the experimental results quite well. Evaporation model calculations indicate that the individual structure of the nuclei involved in the respective decay chains might have an important influence upon the deexcitation process at the energies relevant to our experiments. (Author)

  5. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Dual-Functionalized Graphene Oxide Based siRNA Delivery System for Implant Surface Biomodification with Enhanced Osteogenesis.

    Science.gov (United States)

    Zhang, Li; Zhou, Qing; Song, Wen; Wu, Kaimin; Zhang, Yumei; Zhao, Yimin

    2017-10-11

    Surface functionalization by small interfering RNA (siRNA) is a novel strategy for improved implant osseointegration. A gene delivery system with safety and high transfection activity is a crucial factor for an siRNA-functionalized implant to exert its biological function. To this end, polyethylene glycol (PEG) and polyethylenimine (PEI) dual-functionalized graphene oxide (GO; nGO-PEG-PEI) may present a promising siRNA vector. In this study, nanosized nGO-PEG-PEI was prepared and optimized for siRNA delivery. Titania nanotubes (NTs) fabricated by anodic oxidation were biomodified with nGO-PEG-PEI/siRNA by cathodic electrodeposition, designated as NT-GPP/siRNA. NT-GPP/siRNA possessed benign cytocompatibility, as evaluated by cell adhesion and proliferation. Cellular uptake and knockdown efficiency of the NT-GPP/siRNA were assessed by MC3T3-E1 cells, which exhibited high siRNA delivery efficiency and sustained target gene silencing. Casein kinase-2 interacting protein-1 (Ckip-1) is a negative regulator of bone formation. siRNA-targeting Ckip-1 (siCkip-1) was introduced to the implant, and a series of in vitro and in vivo experiments were carried out to evaluate the osteogenic capacity of NT-GPP/siCkip-1. NT-GPP/siCkip-1 dramatically improved the in vitro osteogenic differentiation of MC3T3-E1 cells in terms of improved osteogenesis-related gene expression, and increased alkaline phosphatase (ALP) production, collagen secretion, and extracellular matrix (ECM) mineralization. Moreover, NT-GPP/siCkip-1 led to apparently enhanced in vivo osseointegration, as indicated by histological staining and EDX line scanning. Collectively, these findings suggest that NT-GPP/siRNA represents a practicable and promising approach for implant functionalization, showing clinical potential for dental and orthopedic applications.

  7. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  8. Ni3Si(Al)/a-SiOx core shell nanoparticles: characterization, shell formation, and stability

    Science.gov (United States)

    Pigozzi, G.; Mukherji, D.; Gilles, R.; Barbier, B.; Kostorz, G.

    2006-08-01

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni3Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni3Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiOx). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  9. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  10. Light trapping in a-Si/c-Si heterojunction solar cells by embedded ITO nanoparticles at rear surface

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Mitra, Suchismita; Ghosh, Hemanta; Mukherjee, Sampad; Banerjee, Chandan; Saha, Hiranmoy; Barua, A. K.

    2017-12-01

    The advantages of the amorphous silicon (a-Si)/crystalline silicon (c-Si) hetero junction technology are low temperature (oxide (ITO) nanoparticles embedded in amorphous silicon material at the rear side of the crystalline wafer. The nanoparticles were embedded in silicon to have higher scattering efficiency, as has been established by simulation studies. It has been shown that significant photocurrent enhancements (32.8 mA cm-2 to 35.1 mA cm-2) are achieved because of high scattering and coupling efficiency of the embedded nanoparticles into the silicon device, leading to an increase in efficiency from 13.74% to 15.22%. In addition, we have observed a small increase in open circuit voltage. This may be due to the surface passivation during the ITO nanoparticle formation with hydrogen plasma treatment. We also support our experimental results by simulation, with the help of a commercial finite-difference time-domain (FDTD) software solution.

  11. Correlating the silicon surface passivation to the nanostructure of low-temperature a-Si:H after rapid thermal annealing

    NARCIS (Netherlands)

    Macco, B.; Melskens, J.; Podraza, N.J.; Arts, K.; Pugh, C.; Thomas, O.; Kessels, W.M.M.

    2017-01-01

    Using an inductively coupled plasma, hydrogenated amorphous silicon (a-Si:H) films have been prepared at very low temperatures (<50 °C) to provide crystalline silicon (c-Si) surface passivation. Despite the limited nanostructural quality of the a-Si:H bulk, a surprisingly high minority carrier

  12. Structure of MnSi on SiC(0001)

    Science.gov (United States)

    Meynell, S. A.; Spitzig, A.; Edwards, B.; Robertson, M. D.; Kalliecharan, D.; Kreplak, L.; Monchesky, T. L.

    2016-11-01

    We report on the growth and magnetoresistance of MnSi films grown on SiC(0001) by molecular beam epitaxy. The growth resulted in a textured MnSi(111) film with a predominantly [1 1 ¯0 ] MnSi (111 )∥[11 2 ¯0 ] SiC(0001) epitaxial relationship, as demonstrated by transmission electron microscopy, reflection high energy electron diffraction, and atomic force microscopy. The 500 ∘C temperature required to crystallize the film leads to a dewetting of the MnSi layer. Although the sign of the lattice mismatch suggested the films would be under compressive stress, the films acquire an in-plane tensile strain likely driven by the difference in thermal expansion coefficients between the film and substrate during annealing. As a result, the magnetoresistive response demonstrates that the films possess a hard-axis out-of-plane magnetocrystalline anisotropy.

  13. Effect of nano Cu coating on porous Si prepared by acid etching Al-Si alloy powder

    International Nuclear Information System (INIS)

    Li, Chunli; Zhang, Ping; Jiang, Zhiyu

    2015-01-01

    As a promising anode material for lithium ion battery, nano-Cu coated porous Si powder was fabricated through two stages: first, preparation of porous nano Si fibers by acid-etching Al-Si alloy powder; second, modified by nano-Cu particles using an electroless plating method. The nano-Cu particles on the surface of nano-Si fibers, not only increase the conductivity of material, but also inhibit the fuse process between nano Si fibers during charge/discharge cycling process, resulting in increased cycling stability of the material. In 1 M LiPF 6 /EC: DMC (1:1) + 1.5 wt% VC solution at current density of 200 mA g −1 , the 150th discharge capacity of nano-Cu coated porous Si electrode was 1651 mAh g −1 with coulombic efficiency of 99%. As anode material for lithium ion battery, nano-Cu coated porous Si nano fiber material is easier to prepare, costs less, and produces higher performance, representing a promising approach for high energy lithium ion battery application

  14. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  15. Local Schottky contacts of embedded Ag nanoparticles in Al2O3/SiNx:H stacks on Si: a design to enhance field effect passivation of Si junctions.

    Science.gov (United States)

    Ibrahim Elmi, Omar; Cristini-Robbe, Odile; Chen, Minyu; Wei, Bin; Bernard, Rémy; Okada, Etienne; Yarekha, Dmitri A; Ouendi, Saliha; Portier, Xavier; Gourbilleau, Fabrice; Xu, Tao; Stievenard, Didier

    2018-04-26

    This paper describes an original design leading to the field effect passivation of Si n+-p junctions. Ordered Ag nanoparticle (Ag-NP) arrays with optimal size and coverage fabricated by means of nanosphere lithography and thermal evaporation, were embedded in ultrathin-Al2O3/SiNx:H stacks on the top of implanted Si n+-p junctions, to achieve effective surface passivation. One way to characterize surface passivation is to use photocurrent, sensitive to recombination centers. We evidenced an improvement of photocurrent by a factor of 5 with the presence of Ag nanoparticles. Finite-difference time-domain (FDTD) simulations combining with semi-quantitative calculations demonstrated that such gain was mainly due to the enhanced field effect passivation through the depleted region associated with the Ag-NPs/Si Schottky contacts. © 2018 IOP Publishing Ltd.

  16. Mo-based compounds for SiC-SiC joints

    Energy Technology Data Exchange (ETDEWEB)

    Magnani, G.; Beaulardi, L.; Mingazzini, C. [ENEA-Faenza (Italy). New Material Div.; Marmo, E. [Fabbricazioni Nucleari S.p.A., Bosco Mavengo (Italy)

    2002-07-01

    New method to joint silicon carbide-based material was developed. It was based on mixture composed mainly by molybdenum silicides. This mixture was tested as brazing mixture with several types of silicon carbide-based material. Microstructural examination of the joint showed that brazing mixture reacted with substrate to form silicon carbide on the surface, while two different molybdenum silicides were identified inside the joint (MoSi{sub 2} and Mo{sub 4.8}Si{sub 3}C{sub 0.6}). Preliminary oxidation tests performed by means of TGA showed high oxidation resistance of this joint over 1000 C making it very promising for high temperature application like ceramic heat exchanger. (orig.)

  17. Pinning-free GaAs MIS structures with Si interface control layers formed on (4 x 6) reconstructed (0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2003-06-30

    (0 0 1)-Oriented GaAs metal-insulator-semiconductor (MIS) structures having a silicon interface control layer (Si ICL) were fabricated on surfaces having Ga-rich (4x6) reconstructions. Si ICL was grown by molecular beam epitaxy. MIS structures were fabricated by partially converting Si ICL to SiN{sub x} by direct nitridation, and further depositing a thick SiO{sub 2} layer on top as the main passivation dielectric by plasma-assisted chemical vapor deposition. Reflection high-energy electron diffraction, in situ X-ray photoelectron spectroscopy and MIS capacitance-voltage (C-V) techniques were used for characterization. The initial surface reconstruction was found to have a surprisingly strong effect on the degree of Fermi level pinning at the MIS interface. In contrast to the standard As-rich (2x4) surface, which results in strongly pinned MIS interfaces, the novel SiO{sub 2}/SiN{sub x}/Si ICL/GaAs MIS structures formed on ''genuine'' (4x6) surface realized complete unpinning of Fermi level over the entire band gap with a minimum interface state density of 4x10{sup 10} cm{sup -2} eV{sup -1} range.

  18. Surface donor states distribution post SiN passivation of AlGaN/GaN heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Goyal, Nitin, E-mail: nitin@unik.no [Carinthian Tech Research CTR AG, Europastraße 4/1, Technologiepark Villach, A- 9524 Villach/St. Magdalen (Austria); Department of Electronics and Telecommunication, Norwegian University of Science and Technology, Trondheim NO7034 (Norway); Fjeldly, Tor A. [Department of Electronics and Telecommunication, Norwegian University of Science and Technology, Trondheim NO7034 (Norway)

    2014-07-21

    In this paper, we present a physics based analytical model to describe the effect of SiN passivation on two-dimensional electron gas density and surface barrier height in AlGaN/GaN heterostructures. The model is based on an extraction technique to calculate surface donor density and surface donor level at the SiN/AlGaN interface. The model is in good agreement with the experimental results and promises to become a useful tool in advanced design and characterization of GaN based heterostructures.

  19. Study of Stress Migration Failure in SiLKTM/SiO2 Hybrid Cu Interconnects

    International Nuclear Information System (INIS)

    Tsuchikawa, Haruo; Nakamura, Tomoji; Suzuki, Takashi; Mori, Hiroko; Shono, Ken

    2004-01-01

    Stress migration (SM) behavior is studied for a 130nm-node SiLK TM /SiO2 hybrid structure in which the interlevel dielectrics (ILD) consist of SiLK TM for trench levels and SiO2 for via levels. The failure rate dependence on the temperature, line width and circuit is examined in detail. Furthermore, an effect of dielectric deposition process on the reliability of the hybrid interconnects is investigated. It has been found that SM behavior is essentially similar to that reported in Cu/SiO2 systems. It has also been clarified that SiO2 PVD conditions at via level had a large impact on the failure rate. Therefore, the control of ILD deposition conditions is found to be one of the key factors in suppressing the SM failure. In order to examine the effect of the PVD conditions, the residual stress in vias were measured by using X-ray diffraction method. The results show that σx (the stress component parallel to the surface) in vias greatly depends on the PVD conditions. Then, the relationship between the PVD conditions and the SM failure rate is clarified

  20. Laser-controlled stress of Si nanocrystals in a free-standing Si /SiO2 superlattice

    Science.gov (United States)

    Khriachtchev, Leonid; Räsänen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar+ laser radiation melts Si nanocrystals in a free-standing Si /SiO2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means.

  1. Laser-controlled stress of Si nanocrystals in a free-standing Si/SiO2 superlattice

    International Nuclear Information System (INIS)

    Khriachtchev, Leonid; Raesaenen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar + laser radiation melts Si nanocrystals in a free-standing Si/SiO 2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3 GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means

  2. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  3. Isotopic effects in sub-barrier fusion of Si + Si systems

    Science.gov (United States)

    Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Esbensen, H.; Bourgin, D.; Čolović, P.; Corradi, L.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Grebosz, J.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Szilner, S.; Urbani, M.; Zhang, G. L.

    2018-04-01

    Background: Recent measurements of fusion cross sections for the 28Si+28Si system revealed a rather unsystematic behavior; i.e., they drop faster near the barrier than at lower energies. This was tentatively attributed to the large oblate deformation of 28Si because coupled-channels (CC) calculations largely underestimate the 28Si+28Si cross sections at low energies, unless a weak imaginary potential is applied, probably simulating the deformation. 30Si has no permanent deformation and its low-energy excitations are of a vibrational nature. Previous measurements of this system reached only 4 mb, which is not sufficient to obtain information on effects that should show up at lower energies. Purpose: The aim of the present experiment was twofold: (i) to clarify the underlying fusion dynamics by measuring the symmetric case 30Si+30Si in an energy range from around the Coulomb barrier to deep sub-barrier energies, and (ii) to compare the results with the behavior of 28Si+28Si involving two deformed nuclei. Methods: 30Si beams from the XTU tandem accelerator of the Laboratori Nazionali di Legnaro of the Istituto Nazionale di Fisica Nucleare were used, bombarding thin metallic 30Si targets (50 μ g /cm2) enriched to 99.64 % in mass 30. An electrostatic beam deflector allowed the detection of fusion evaporation residues (ERs) at very forward angles, and angular distributions of ERs were measured. Results: The excitation function of 30Si+30Si was measured down to the level of a few microbarns. It has a regular shape, at variance with the unusual trend of 28Si+28Si . The extracted logarithmic derivative does not reach the LCS limit at low energies, so that no maximum of the S factor shows up. CC calculations were performed including the low-lying 2+ and 3- excitations. Conclusions: Using a Woods-Saxon potential the experimental cross sections at low energies are overpredicted, and this is a clear sign of hindrance, while the calculations performed with a M3Y + repulsion

  4. Thickness and composition of ultrathin SiO2 layers on Si

    International Nuclear Information System (INIS)

    Marel, C. van der; Verheijen, M.A.; Tamminga, Y.; Pijnenburg, R.H.W.; Tombros, N.; Cubaynes, F.

    2004-01-01

    Ultrathin SiO 2 layers are of importance for the semiconductor industry. One of the techniques that can be used to determine the chemical composition and thickness of this type of layers is x-ray photoelectron spectroscopy (XPS). As shown by Seah and Spencer [Surf. Interface Anal. 33, 640 (2002)], it is not trivial to characterize this type of layer by means of XPS in a reliable way. We have investigated a series of ultrathin layers of SiO 2 on Si (in the range from 0.3 to 3 nm) using XPS. The samples were also analyzed by means of transmission electron microscopy (TEM), Rutherford backscattering (RBS), and ellipsometry. The thickness of the SiO 2 layers (d) was determined from the XPS results using three different approaches: the 'standard' equation (Seah and Spencer) for d, an overlayer-substrate model calculation, and the QUASES-Tougaard [Surf. Interface Anal. 26, 249 (1998), QUASES-Tougaard: Software package for Quantitative Analysis of Surfaces by Electron Spectroscopy, version 4.4 (2000); http://www.quases.com] method. Good agreement was obtained between the results of XPS analyses using the 'standard' equation, the overlayer-substrate model calculation, and RBS results. The QUASES-Tougaard results were approximately 62% above the other XPS results. The optical values for the thickness were always slightly higher than the thickness according to XPS or RBS. Using the model calculation, these (relatively small) deviations from the optical results could be explained as being a consequence of surface contaminations with hydrocarbons. For a thickness above 2.5 nm, the TEM results were in good agreement with the results obtained from the other techniques (apart from QUASES-Tougaard). Below 2.5 nm, significant deviations were found between RBS, XPS, and optical data on the one hand and TEM results on the other hand; the deviations became larger as the thickness of the SiO 2 decreased. This effect may be related to interface states of oxygen, which have been

  5. Adsorption of metal-phthalocyanine molecules onto the Si(111) surface passivated by δ doping: Ab initio calculations

    Science.gov (United States)

    Veiga, R. G. A.; Miwa, R. H.; McLean, A. B.

    2016-03-01

    We report first-principles calculations of the energetic stability and electronic properties of metal-phthalocyanine (MPc) molecules (M = Cr, Mn, Fe, Co, Ni, Cu, and Zn) adsorbed on the δ -doped Si(111)-B (√{3 }×√{3 }) reconstructed surface. (i) It can be seen that CrPc, MnPc, FePc, and CoPc are chemically anchored to the topmost Si atom. (ii) Contrastingly, the binding of the NiPc, CuPc, and ZnPc molecules to the Si (111 ) -B (√{3 }×√{3 }) surface is exclusively ruled by van der Waals interactions, the main implication being that these molecules may diffuse and rearrange to form clusters and/or self-organized structures on this surface. The electronic structure calculations reveal that in point (i), owing to the formation of the metal-Si covalent bond, the net magnetic moment of the molecule is quenched by 1 μB , remaining unchanged in point (ii). In particular, the magnetic moment of CuPc (1 μB ) is preserved after adsorption. Finally, we verify that the formation of ZnPc, CuPc, and NiPc molecular (self-assembled) arrangements on the Si(111)-B (√{3 }×√{3 } ) surface is energetically favorable, in good agreement with recent experimental findings.

  6. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  7. Synthesis and characterization of laminated Si/SiC composites

    Science.gov (United States)

    Naga, Salma M.; Kenawy, Sayed H.; Awaad, Mohamed; Abd El-Wahab, Hamada S.; Greil, Peter; Abadir, Magdi F.

    2012-01-01

    Laminated Si/SiC ceramics were synthesized from porous preforms of biogenous carbon impregnated with Si slurry at a temperature of 1500 °C for 2 h. Due to the capillarity infiltration with Si, both intrinsic micro- and macrostructure in the carbon preform were retained within the final ceramics. The SEM micrographs indicate that the final material exhibits a distinguished laminar structure with successive Si/SiC layers. The produced composites show weight gain of ≈5% after heat treatment in air at 1300 °C for 50 h. The produced bodies could be used as high temperature gas filters as indicated from the permeability results. PMID:25685404

  8. Synthesis and characterization of laminated Si/SiC composites

    Directory of Open Access Journals (Sweden)

    Salma M. Naga

    2013-01-01

    Full Text Available Laminated Si/SiC ceramics were synthesized from porous preforms of biogenous carbon impregnated with Si slurry at a temperature of 1500 °C for 2 h. Due to the capillarity infiltration with Si, both intrinsic micro- and macrostructure in the carbon preform were retained within the final ceramics. The SEM micrographs indicate that the final material exhibits a distinguished laminar structure with successive Si/SiC layers. The produced composites show weight gain of ≈5% after heat treatment in air at 1300 °C for 50 h. The produced bodies could be used as high temperature gas filters as indicated from the permeability results.

  9. Effect of surface water on tritium release behavior from Li4SiO4

    International Nuclear Information System (INIS)

    Hanada, T.; Fukada, S.; Nishikawa, M.; Suematsu, K.; Yamashita, N.; Kanazawa, T.

    2010-01-01

    The tritium release model to represent the release behavior of bred tritium from solid breeder materials has been developed by the blanket group of Kyushu University. It has been found that water is released to the purge gas from solid breeder materials and that this water affects the tritium release behavior. In this study, the amount of surface water released from Li 4 SiO 4 is quantified by the experiment. In addition, the tritium release behavior from Li 4 SiO 4 are estimated based on the tritium release model using parameters obtained in our studies under conditions of commercial reactor operation and ITER test blanket module operation. The effect of the surface water on tritium release behavior is discussed from the obtained results. Moreover, the tritium inventory of Li 4 SiO 4 is discussed based on calculation under the unsteady state condition. Further, the effects of grain size and temperature on distribution of tritium inventory under the steady state condition are evaluated, and the optimal grain size is discussed from the view point of tritium release from Li 4 SiO 4 .

  10. Interface reactions in the Al-Si-SiC and Mg-Al-Al{sub 2}O{sub 3} composite systems

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, P.K. [Commission of the European Communities, Petten (Netherlands). Inst. for Advanced Materials; Fazal-Ur-Rehman [Imperial Coll. of Science, Technology and Medicine, London (United Kingdom). Dept. of Materials; Fox, S. [Imperial Coll. of Science, Technology and Medicine, London (United Kingdom). Dept. of Materials; Flower, H.M. [Imperial Coll. of Science, Technology and Medicine, London (United Kingdom). Dept. of Materials; West, D.R.F.

    1995-12-31

    Structural and compositional observations are reported on the influence of the interfaces on the mechanisms and kinetics of liquid metal-ceramic reactions in Al-SiC, Al-Si-SiC, Mg-Al{sub 2}O{sub 3} and Mg-Al-Al{sub 2}O{sub 3} composites. The aluminium based materials contained up to 20 vol% SiC in particulate form, and were produced by a spray casting process; subsequently the interface reactions were studied in samples heated to temperatures up to 1100 C. The reaction product was Al{sub 4}C{sub 3} in both Al-SiC and Al-Si-SiC composites. The influence of the crystallography and topology of the SiC particle surfaces on the nucleation of the Al{sub 4}C{sub 3} has been demonstrated; surface asperities play an important role. Growth of nuclei proceeds to form continuous reaction product layers which control the subsequent kinetics. The magnesium based composites contained 5 vol% Al{sub 2}O{sub 3} fibres (3 {mu}m in diameter), and were produced by a liquid infiltration process. SD Safimax fibres with relatively low and high porosity, and also RF Saffil fibres, with a silica binder, were investigated. Fibre porosity plays a major role in accelerating the penetration of Mg into the fibres with reaction to form MgO. Silica binder on the fibre surface transforms to MgO. The reaction rate was reduced by the presence of aluminium in the matrix. The factors controlling the reactions in the aluminium and magnesium based composites are compared. (orig.)

  11. Tailoring of SiC nanoprecipitates formed in Si

    Energy Technology Data Exchange (ETDEWEB)

    Velisa, G., E-mail: gihan.velisa@cea.fr [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Horia Hulubei National Institute for Physics and Nuclear Engineering, P.O. Box MG-6, 077125 Magurele (Romania); Trocellier, P. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Thomé, L. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Vaubaillon, S. [CEA, INSTN, UEPTN, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Miro, S.; Serruys, Y.; Bordas, É. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Meslin, E. [CEA, DEN, Service de Recherches de Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Mylonas, S. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Coulon, P.E. [Ecole Polytechnique, Laboratoire des Solides Irradiés, CEA/DSM/IRAMIS-CNRS, 91128 Palaiseau Cedex (France); Leprêtre, F.; Pilz, A.; Beck, L. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France)

    2013-07-15

    The SiC synthesis through single-beam of C{sup +}, and simultaneous-dual-beam of C{sup +} and Si{sup +} ion implantations into a Si substrate heated at 550 °C has been studied by means of three complementary analytical techniques: nuclear reaction analysis (NRA), Raman, and transmission electron microscopy (TEM). It is shown that a broad distribution of SiC nanoprecipitates is directly formed after simultaneous-dual-beam (520-keV C{sup +} and 890-keV Si{sup +}) and single-beam (520-keV C{sup +}) ion implantations. Their shape appear as spherical (average size ∼4–5 nm) and they are in epitaxial relationship with the silicon matrix.

  12. Thermal diffusivity measurement for p-Si and Ag/p-Si by photoacoustic technique

    Energy Technology Data Exchange (ETDEWEB)

    Hussein, Mohammed Jabbar; Yunus, W. Mahmood Mat; Kamari, Halimah Mohamed; Zakaria, Azmi, E-mail: mohammed55865@yahoo.com [Department of Physics, Faculty of Science, Universiti PutraMalaysia (UPM), Serdang (Malaysia)

    2015-10-15

    Thermal diffusivity (TD) of p-Si and Ag/p-Si samples were measured by photoacoustic technique using open photoacoustic cell (OPC). The samples were annealed by heating them at 960, 1050, 1200, and 1300 °C for 3 h in air. The thermal diffusivity of Ag-coated samples was obtained by fitting the photoacoustic experimental data to the thermally thick equation for Rosencwaig and Gersho (RG) theory. For the single layer samples, the thermal diffusivity can be obtained by fitting as well as by obtaining the critical frequency f{sub c.} In this study, the thermal diffusivity of the p-Si samples increased with increasing the annealing temperature. The thermal diffusivity of the Ag/p-Si samples, after reaching the maximum value of about 2.73 cm{sup 2}/s at a temperature of 1200 °C, decreased due to the silver complete melt in the surface of the silicon. (author)

  13. Thermal Diffusivity Measurement for p-Si and Ag/p-Si by Photoacoustic Technique

    Science.gov (United States)

    Hussein, Mohammed Jabbar; Yunus, W. Mahmood Mat; Kamari, Halimah Mohamed; Zakaria, Azmi

    2015-10-01

    Thermal diffusivity (TD) of p-Si and Ag/p-Si samples were measured by photoacoustic technique using open photoacoustic cell (OPC). The samples were annealed by heating them at 960, 1050, 1200, and 1300 °C for 3 h in air. The thermal diffusivity of Ag-coated samples was obtained by fitting the photoacoustic experimental data to the thermally thick equation for Rosencwaig and Gersho (RG) theory. For the single layer samples, the thermal diffusivity can be obtained by fitting as well as by obtaining the critical frequency f c . In this study, the thermal diffusivity of the p-Si samples increased with increasing the annealing temperature. The thermal diffusivity of the Ag/p-Si samples, after reaching the maximum value of about 2.73 cm2/s at a temperature of 1200 °C, decreased due to the silver complete melt in the surface of the silicon.

  14. Neutron tolerance of advanced SiC-fiber/CVI-SiC composites

    International Nuclear Information System (INIS)

    Katoh, Y.; Kohyama, A.; Snead, L.L.; Hinoki, T.; Hasegawa, A.

    2003-01-01

    Fusion blankets employing a silicon carbide (SiC) fiber-reinforced SiC matrix composite (SiC/SiC composite) as the structural material provide attractive features represented by high cycle efficiency and extremely low induced radioactivity. Recent advancement in processing and utilization techniques and application studies in ceramic gas turbine and advanced transportation systems, SiC/SiC composites are steadily getting matured as industrial materials. Reference SiC/SiC composites for fusion structural applications have been produced by a forced-flow chemical vapor infiltration (FCVI) method using conventional and advanced near-stoichiometric SiC fibers and extensively evaluated primarily in Japan-US collaborative JUPITER program. In this work, effect of neutron irradiation at elevated temperatures on mechanical property of these composites is characterized. Unlike in conventional SiC/SiC composites, practically no property degradation was identified in advanced composites with a thin carbon interphase by a neutron fluence level of approximately 8dpa at 800C. (author)

  15. High thermal conductivity SiC/SiC composites for fusion applications -- 2

    International Nuclear Information System (INIS)

    Kowbel, W.; Tsou, K.T.; Withers, J.C.; Youngblood, G.E.

    1998-01-01

    This report covers material presented at the IEA/Jupiter Joint International Workshop on SiC/SiC Composites for Fusion Structural Applications held in conjunction with ICFRM-8, Sendai, Japan, Oct. 23--24, 1997. An unirradiated SiC/SiC composite made with MER-developed CVR SiC fiber and a hybrid PIP/CVI SiC matrix exhibited room temperature transverse thermal conductivity of 45 W/mK. An unirradiated SiC/SiC composite made from C/C composite totally CVR-converted to a SiC/SiC composite exhibited transverse thermal conductivity values of 75 and 35 W/mK at 25 and 1000 C, respectively. Both types of SiC/SiC composites exhibited non-brittle failure in flexure testing

  16. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  17. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  18. Damage accumulation and annealing in 6H-SiC irradiated with Si+

    International Nuclear Information System (INIS)

    Jiang, W.; Weber, W.J.; Thevuthasan, S.; McCready, D.E.

    1998-01-01

    Damage accumulation and annealing in 6H-silicon carbide (α-SiC) single crystals have been studied in situ using 2.0 MeV He + RBS in a left angle 0001 right angle -axial channeling geometry (RBS/C). The damage was induced by 550 keV Si + ion implantation (30 off normal) at a temperature of -110 C, and the damage recovery was investigated by subsequent isochronal annealing (20 min) over the temperature range from -110 C to 900 C. At ion fluences below 7.5 x 10 13 Si + /cm 2 (0.04 dpa in the damage peak), only point defects appear to be created. Furthermore, the defects on the Si sublattice can be completely recovered by thermal annealing at room temperature (RT), and recovery of defects on the C sublattice is suggested. At higher fluences, amorphization occurs; however, partial damage recovery at RT is still observed, even at a fluence of 6.6 x 10 14 Si + /cm 2 (0.35 dpa in the damage peak) where a buried amorphous layer is produced. At an ion fluence of 6.0 x 10 15 Si + /cm 2 (-90 C), an amorphous layer is created from the surface to a depth of 0.6 μm. Because of recovery processes at the buried crystalline-amorphous interface, the apparent thickness of this amorphous layer decreases slightly (<10%) with increasing temperature over the range from -90 C to 600 C. (orig.)

  19. Passivation of defect states in Si and Si/SiO2 interface states by cyanide treatment: improvement of characteristics of pin-junction amorphous Si and crystalline Si-based metal-oxide-semiconductor junction solar cells

    International Nuclear Information System (INIS)

    Fujiwara, N.; Fujinaga, T.; Niinobe, D.; Maida, O.; Takahashi, M.; Kobayashi, H.

    2003-01-01

    Defect states in Si can be passivated by cyanide treatment which simply involves immersion of Si materials in KCN solutions, followed by rinse. When the cyanide treatment is applied to pin-junction amorphous Si [a-Si] solar cells, the initial conversion efficiency increases. When the crown-ether cyanide treatment using a KCN solution of xylene containing 18-crown-6 is performed on i-a-Si films, decreases in the photo- and dark current densities with the irradiation time are prevented. The cyanide treatment can also passivate interface states present at Si/SiO 2 interfaces, leading to an increase in the conversion efficiency of 2 / Si (100)> solar cells.. Si-CN bonds formed by the reaction of defect states with cyanide ions have a high bond energy of about 4.5 eV and hence heat treatment at 800 0 C does not rupture the bonds, making thermal stability of the cyanide treatment.. When the cyanide treatment is applied to ultrathin SiO 2 /Si structure, the leakage current density is markedly decreased (Authors)

  20. Origin of Si(LMM) Auger electron emission from silicon and Si-alloys by keV Ar/sup +/ ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Iwami, M; Kim, S; Kataoka, Y; Imura, T; Hiraki, A [Osaka Univ., Suita (Japan). Faculty of Engineering

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar/sup +/ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  1. Ab initio chemical kinetics for SiH3 reactions with Si(x)H2x+2 (x = 1-4).

    Science.gov (United States)

    Raghunath, P; Lin, M C

    2010-12-30

    Gas-phase kinetics and mechanisms of SiH(3) reactions with SiH(4), Si(2)H(6), Si(3)H(8), and Si(4)H(10), processes of relevance to a-Si thin-film deposition, have been investigated by ab initio molecular orbital and transition-state theory (TST) calculations. Geometric parameters of all the species involved in the title reactions were optimized by density functional theory at the B3LYP and BH&HLYP levels with the 6-311++G(3df,2p) basis set. The potential energy surface of each reaction was refined at the CCSD(T)/6-311++G(3df,2p) level of theory. The results show that the most favorable low energy pathways in the SiH(3) reactions with these silanes occur by H abstraction, leading to the formation of SiH(4) + Si(x)H(2x+1) (silanyl) radicals. For both Si(3)H(8) and n-Si(4)H(10) reactions, the lowest energy barrier channels take place by secondary Si-H abstraction, yielding SiH(4) + s-Si(3)H(7) and SiH(4) + s-Si(4)H(9), respectively. In the i-Si(4)H(10) reaction, tertiary Si-H abstraction has the lowest barrier producing SiH(4) + t-Si(4)H(9). In addition, direct SiH(3)-for-X substitution reactions forming Si(2)H(6) + X (X = H or silanyls) can also occur, but with significantly higher reaction barriers. A comparison of the SiH(3) reactions with the analogous CH(3) reactions with alkanes has been made. The rate constants for low-energy product channels have been calculated for the temperature range 300-2500 K by TST with Eckart tunneling corrections. These results, together with predicted heats of formation of various silanyl radicals and Si(4)H(10) isomers, have been tabulated for modeling of a-Si:H film growth by chemical vapor deposition.

  2. Precursor state of oxygen molecules on the Si(001) surface during the initial room-temperature adsorption

    Science.gov (United States)

    Hwang, Eunkyung; Chang, Yun Hee; Kim, Yong-Sung; Koo, Ja-Yong; Kim, Hanchul

    2012-10-01

    The initial adsorption of oxygen molecules on Si(001) is investigated at room temperature. The scanning tunneling microscopy images reveal a unique bright O2-induced feature. The very initial sticking coefficient of O2 below 0.04 Langmuir is measured to be ˜0.16. Upon thermal annealing at 250-600 °C, the bright O2-induced feature is destroyed, and the Si(001) surface is covered with dark depressions that seem to be oxidized structures with -Si-O-Si- bonds. This suggests that the observed bright O2-induced feature is an intermediate precursor state that may be either a silanone species or a molecular adsorption structure.

  3. Al-Si/B{sub 4}C composite coatings on Al-Si substrate by plasma spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya, Ozkan [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Anik, Selahaddin [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Aslanlar, Salim [Sakarya University, Faculty of Technical Education, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Cem Okumus, S. [Sakarya University, Faculty of Engineering, Department of Metallurgical and Materials Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Celik, Erdal [Dokuz Eylul University, Engineering Faculty, Department of Metallurgical and Materials Engineering, Buca, Izmir 35160 (Turkey)]. E-mail: erdal.celik@deu.edu.tr

    2007-07-01

    Plasma-sprayed coatings of Al-Si/B{sub 4}C have been prepared on Al-Si piston alloys for diesel engine motors. The Al-Si/B{sub 4}C composite powders including 5-25 wt% B{sub 4}C were prepared by mixing and ball-milling processes. These powders were deposited on Al-Si substrate using an atmospheric plasma spray technique. The coatings have been characterised with respect to phase composition, microstructure, microhardness, bond strength and thermal expansion. It was found that Al, Si, B{sub 4}C and Al{sub 2}O{sub 3} phases were determined in the coatings with approximately 600 {mu}m thick by using X-ray diffraction analysis. Scanning electron microscope observation revealed that boron carbide particles were uniformly distributed in composite coatings and B{sub 4}C particles were fully wetted by Al-Si alloy. Also, no reaction products were observed in Al-Si/B{sub 4}C composite coatings. It was found that surface roughness, porosity, bond strength and thermal expansion coefficient of composite coatings decreased with increasing fraction of the boron carbide particle. It was demonstrated that the higher the B{sub 4}C content, the higher the hardness of coatings because the hardness of B{sub 4}C is higher than that of Al-Si.

  4. The dependence of the interface and shape on the constrained growth of nc-Si in a-SiN sub x /a-Si:H/a-SiN sub x structures

    CERN Document Server

    Zhang Li; Wang Li; Li Wei; Xu Jun; Huang Xin Fan; Chen Kun Ji

    2002-01-01

    Size-controlled nanocrystalline silicon (nc-Si) has been prepared from a-SiN sub x /a-Si:H/a-SiN sub x ('a' standing for amorphous) structures by thermal annealing. Transmission electron microscope analyses show that the lateral size of the nc-Si is controlled by the annealing conditions and the a-Si sublayer thickness. The deviation of the nc-Si grain size distribution decreases with the a-Si sublayer thickness, so thinner a-Si sublayers are favourable for obtaining uniform nc-Si grains. In the a-Si:H (10 nm) sample annealed at 1000 deg. C for 30 min, an obvious bi-modal size distribution of nc-Si grains appears, but no obvious bi-modal size distribution is found in other samples with thinner a-Si:H sublayers. On the basis of the experimental results, we discuss the process of transition from the sphere-like shape to the disc-like shape in the growth model of the nc-Si crystallization. The critical thickness of the a-Si sublayer for the constrained crystallization can be determined by the present model. More...

  5. SI: The Stellar Imager

    Science.gov (United States)

    Carpenter, Kenneth G.; Schrijver, Carolus J.; Karovska, Margarita

    2006-01-01

    The ultra-sharp images of the Stellar Imager (SI) will revolutionize our view of many dynamic astrophysical processes: The 0.1 milliarcsec resolution of this deep-space telescope will transform point sources into extended sources, and simple snapshots into spellbinding evolving views. SI s science focuses on the role of magnetism in the Universe, particularly on magnetic activity on the surfaces of stars like the Sun. SI s prime goal is to enable long-term forecasting of solar activity and the space weather that it drives in support of the Living With a Star program in the Exploration Era by imaging a sample of magnetically active stars with enough resolution to map their evolving dynamo patterns and their internal flows. By exploring the Universe at ultra-high resolution, SI will also revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magnetohydrodynamically controlled structures and processes in the Universe.

  6. Porous Nano-Si/Carbon Derived from Zeolitic Imidazolate Frameworks@Nano-Si as Anode Materials for Lithium-Ion Batteries

    International Nuclear Information System (INIS)

    Song, Yonghai; Zuo, Li; Chen, Shouhui; Wu, Jiafeng; Hou, Haoqing; Wang, Li

    2015-01-01

    Graphical abstract: Display Omitted -- Highlights: •The porous cage-like carbon/Si nanocomposites were synthesized based on nano-Si@ZIF-8-templatedmethod. •The nano-Si was uniformly embedded in porous amorphous carbon matrices. •The porous dodecahedral carbon framework effectively accommodates the volume variation of Si during the discharge/charge process. •The Si/C nanocomposites exhibit superior reversible capacity of 1168 mA h g −1 after 100 cycles. -- Abstract: Novel porous cage-like carbon (C)/nano-Si nanocomposites as anode materials for lithium-ion batteries (LIBs) was prepared based on nano-Si@zeolitic imidazolate frameworks (ZIF-8)-templated method. In this strategy, p-aminobenzoic acid was initially grafted onto nano-Si to form benzoic acid-functionalized nano-Si, and then nano-Si@ZIF-8 was constructed by alternately growing Zn(NO 3 ) 2 ·6H 2 O and 2-methylimidazolate on benzoic acid-functionalized nano-Si under ultrasound. The novel porous cage-like nano-Si/C nanocomposites were fabricated by pyrolyzing the resulted nano-Si@ZIF-8 and washing with HCl to remove off ZnO. Scanning electron microscopy, transmission electron microscopy, X-ray powder diffraction, Raman spectra and N 2 adsorption/desorption isotherms were employed to characterize the porous cage-like nano-Si/C nanocomposites. The resulted nano-Si/C nanocomposites as anode materials for LIBs showed a high reversible capacity of ∼1168 mA h g −1 at 100 mA g −1 after 100 cycles, which was higher than many previously reported Si/C nanocomposites. The porous nanostructure, high specific surface area and good electrical conductivity of the cage-like nano-Si/C nanocomposites contributed together to the good performance for LIBs. It might open up a new way for application of silicon materials

  7. Scattering mechanisms in shallow undoped Si/SiGe quantum wells

    Directory of Open Access Journals (Sweden)

    D. Laroche

    2015-10-01

    Full Text Available We report the magneto-transport study and scattering mechanism analysis of a series of increasingly shallow Si/SiGe quantum wells with depth ranging from ∼ 100 nm to ∼ 10 nm away from the heterostructure surface. The peak mobility increases with depth, suggesting that charge centers near the oxide/semiconductor interface are the dominant scattering source. The power-law exponent of the electron mobility versus density curve, μ ∝ nα, is extracted as a function of the depth of the Si quantum well. At intermediate densities, the power-law dependence is characterized by α ∼ 2.3. At the highest achievable densities in the quantum wells buried at intermediate depth, an exponent α ∼ 5 is observed. We propose and show by simulations that this increase in the mobility dependence on the density can be explained by a non-equilibrium model where trapped electrons smooth out the potential landscape seen by the two-dimensional electron gas.

  8. Internal photoemission study on charge trapping behavior in rapid thermal oxides on strained-Si/SiGe heterolayers

    International Nuclear Information System (INIS)

    Bera, M.K.; Mahata, C.; Bhattacharya, S.; Chakraborty, A.K.; Armstrong, B.M.; Gamble, H.S.; Maiti, C.K.

    2008-01-01

    A comparative study on the nature of defects and their relationship to charge trapping with enhanced photosensitivity has been investigated through magnetic resonance and internal photoemission (IPE) experiments for rapid thermal grown oxides (RTO) on strained-Si/Si 0.8 Ge 0.2 and on co-processed bulk-Si (1 0 0) substrates. Both the band and defect-related electronic states were characterized through EPR, IPE, C-V and I-V measurements under UV-illumination. Surface chemical characterization of as-grown ultrathin oxides (5-7 nm) has been performed using high-resolution XPS. Enhancement in Ge-segregation with increasing oxidation temperature is reported. Comparative studies on interface properties and leakage current behavior of rapid thermal oxides have also been studied through fabricating metal-oxide-semiconductor capacitor structures. A degraded electrical property with increasing oxidation temperature is reported. Constant voltage stressing (CVS) in the range of 5.5-7 V was used to study the breakdown characteristics of different samples. We observe a distinguishably different time-to-breakdown (t bd ) phenomenon for bulk-Si and strained-Si/SiGe samples. Whereas the oxide on bulk-Si shows a typical breakdown behavior, the RTO grown oxide on strained-Si/SiGe samples showed a quasi-or soft-breakdown with lower t bd value. It may be pointed out that quasi-breakdown may be a stronger reliability limiting factor for strained-Si/SiGe devices in the oxide thickness range studied

  9. UHV-TEM/TED observation of Ag islands grown on Si( 1 1 1 ) 3× 3-Ag surface

    Science.gov (United States)

    Oshima, Yoshifumi; Nakade, Hiroyuki; Shigeki, Sinya; Hirayama, Hiroyuki; Takayanagi, Kunio

    2001-11-01

    Growths of Ag islands on Si(1 1 1)3×3-Ag surface at room temperature were observed by UHV transmission electron microscopy and diffraction. The Ag islands grown after six monolayer deposition had neither (1 0 0) nor (1 1 0) orientation, but had two complex epitaxial orientations dominantly. One was striped islands which gave rise to a diffraction pattern commensurate with the 3×3 lattice of the Si(1 1 1) surface. The other was the coagulated islands whose diffraction pattern indicated the Ag(1 -3 4) sheet grown parallel to the Si(1 1 1) surface.

  10. Gas leak tightness of SiC/SiC composites at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Hayasaka, Daisuke, E-mail: hayasaka@oasis.muroran-it.ac.jp [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Graduate School of Engineering, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Park, Joon-Soo. [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Kishimoto, Hirotatsu [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Graduate School of Engineering, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Kohyama, Akira [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan)

    2016-11-01

    Highlights: • NITE-SiC/SiC has extremely densified microstructure compared with other SiC/SiC composite like CVI. • Excellent helium and hydrogen gas-leak tightness of SiC/SiC composites by DEMO-NITE method from prototype industrialization production line was presented. • The excellence against stainless steel and Zircaloy at elevated temperature, together with generic excellent properties of SiC will be inevitable for innovative blanket and divertors for DEMO- and power- fusion reactors. - Abstract: SiC/SiC composite materials are attractive candidates for high heat flux components and blanket of fusion reactor, mainly due to their high temperature properties, radiation damage tolerance and low induced radioactivity. One of the challenges for SiC/SiC application in fusion reactors is to satisfy sufficient gas leak tightness of hydrogen and helium isotopes. Although many efforts have been carried-out, SiC/SiC composites by conventional processes have not been successful to satisfy the requirements, except SiC/SiC composites by NITE-methods. Toward the early realization of SiC/SiC components into fusion reactor systems process development of NITE-process has been continued. Followed to the brief introduction of recently developed DEMO-NITE process, baseline properties and hydrogen and helium gas leak tightness is presented. SiC/SiC claddings with 10 mm in diameter and 1 mm in wall thickness are tested by gas leak tightness system developed. The leak tightness measurements are done room temperature to 400 °C. Excellent gas leak tightness equivalent or superior to Zircaloy claddings for light water fission reactors is confirmed. The excellent gas leak tightness suggests nearly perfect suppression of large gas leak path in DEMO-NITE SiC/SiC.

  11. Silicate formation at the interface of Pr-oxide as a high-K dielectric and Si(001) surfaces

    International Nuclear Information System (INIS)

    Schmeisser, D.; Zheng, F.; Perez-Dieste, V.; Himpsel, F.J.; LoNigro, R.; Toro, R.G.; Malandrino, G.; Fragala, I.L.

    2006-01-01

    The composition and chemical bonding of the first atoms across the interface between Si(001) and the dielectric determine the quality of dielectric gate stacks. An analysis of that hidden interface is a challenge as it requires both, high sensitivity and elemental and chemical state information. We used X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address that issue. We report on results of Pr 2 O 3 /Si(001) as prepared by both, epitaxial growth and metal organic chemical vapor deposition (MOCVD), and compare to the SiO 2 /Si(001) system as a reference. We find evidence for the silicate formation at the interface as derived from the characteristic features at the Si2p and the O1s edges. The results are in line with model experiments in which films of increasing film thickness are deposited in situ on bare Si(001) surfaces

  12. Theoretical Studies of Pb on Si(111) and Si(100), Global Search for H-Passivated Si nanowires, and Construction of highly Localized Quasiatomic Minimal Basis Orbitals for Mo

    International Nuclear Information System (INIS)

    Tzu-Liang Chan

    2005-01-01

    Metal on semiconductor surfaces has been the topic of intense studies due to its technological applications. As nano-devices shrink in size, the conventional understanding of electronic devices are no longer applicable as quantum effects start to play an important role in the behavior of the devices. At the same time, when structures are approaching atomic scale, the precise fabrication by lithographic techniques, for example, are not even applicable. Very often, the fabrication of regular structures rely on self-assembly which is susceptible to fluctuations. Therefore, a deeper understanding to exploit the quantum behavior of nano-devices and precise control of building nano-structures are highly desired. Si(100) and Si(111) surfaces are the most studied system because they are the Si surfaces with the lowest surface energy. Pb on Si(100) and Si(111) is often chosen as the prototype system for the study of metal/semiconductor interfaces because Pb is not reactive with Si thus forming a clean well-defined hetero-interface. A prominent problem for studying the physics of metal/semiconductor interfaces is that the interface structures are usually not known. While various experimental techniques can be employed to provide clues to the atomic geometries, definite conclusions usually cannot be drawn due to the intrinsic limitations of the experiments. For example, scanning-tunneling microscopy (STM) only probes the local electronic density of states in which a maximum in intensity does not necessarily correspond to atomic positions. Low-energy electron diffraction (LEED) and X-ray diffraction experiments provide results in the reciprocal space, which may elude a direct interpretation in the real space

  13. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  14. Ni(3)Si(Al)/a-SiO(x) core-shell nanoparticles: characterization, shell formation, and stability.

    Science.gov (United States)

    Pigozzi, G; Mukherji, D; Gilles, R; Barbier, B; Kostorz, G

    2006-08-28

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni(3)Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni(3)Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiO(x)). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  15. Well-Defined Surface Species [(≡Si - O -)W(=O)Me3] Prepared by Direct Methylation of [(≡Si - O -)W(=O)Cl3], a Catalyst for Cycloalkane Metathesis and Transformation of Ethylene to Propylene

    KAUST Repository

    Hamieh, Ali Imad Ali

    2015-04-03

    The silica-supported tungsten oxo-trimethyl complex [(≡Si - O -)W(=O)Me3] was synthesized using a novel SOMC synthetic approach. By grafting the inexpensive stable compound WOCl4 on the surface of silica, partially dehydroxylated at 700 °C (SiO2-700), a well-defined monopodal surface complex [(≡Si - O -)W(=O)Cl3] was produced. The supported complex directly methylated with ZnMe2 and transformed into [(≡Si - O -)W(=O)Me3], which we fully characterized by microanalysis, IR, mass balance and SS NMR (1H, 13C, 1H-13C HETCOR, 1H-1H DQ and TQ). [(≡Si - O)W(=O)Me3] has two conformational isomers on the surface at room temperature. The conversion of one to the other was observed at 318 K by variable-temperature 13C CP/MAS and 1H spin echo MAS solid-state NMR; this was also confirmed by NMR and DFT calculations. [(≡Si - O)W(=O)Me3] was found to be active in cyclooctane metathesis and to have a wide distribution range in ring-contracted and ring-expanded products. In addition, [(≡Si - O)W(=O)Me3] proved to be highly active for selective transformation of ethylene to propylene compared to other silica-supported organometallic complexes. (Chemical Equation Presented). © 2015 American Chemical Society.

  16. Well-Defined Surface Species [(≡Si - O -)W(=O)Me3] Prepared by Direct Methylation of [(≡Si - O -)W(=O)Cl3], a Catalyst for Cycloalkane Metathesis and Transformation of Ethylene to Propylene

    KAUST Repository

    Hamieh, Ali Imad Ali; Chen, Yin; Abdel-Azeim, Safwat; Abou-Hamad, Edy; Goh, Li Min Serena; Samantaray, Manoja; Dey, Raju; Cavallo, Luigi; Basset, Jean-Marie

    2015-01-01

    The silica-supported tungsten oxo-trimethyl complex [(≡Si - O -)W(=O)Me3] was synthesized using a novel SOMC synthetic approach. By grafting the inexpensive stable compound WOCl4 on the surface of silica, partially dehydroxylated at 700 °C (SiO2-700), a well-defined monopodal surface complex [(≡Si - O -)W(=O)Cl3] was produced. The supported complex directly methylated with ZnMe2 and transformed into [(≡Si - O -)W(=O)Me3], which we fully characterized by microanalysis, IR, mass balance and SS NMR (1H, 13C, 1H-13C HETCOR, 1H-1H DQ and TQ). [(≡Si - O)W(=O)Me3] has two conformational isomers on the surface at room temperature. The conversion of one to the other was observed at 318 K by variable-temperature 13C CP/MAS and 1H spin echo MAS solid-state NMR; this was also confirmed by NMR and DFT calculations. [(≡Si - O)W(=O)Me3] was found to be active in cyclooctane metathesis and to have a wide distribution range in ring-contracted and ring-expanded products. In addition, [(≡Si - O)W(=O)Me3] proved to be highly active for selective transformation of ethylene to propylene compared to other silica-supported organometallic complexes. (Chemical Equation Presented). © 2015 American Chemical Society.

  17. Luminescence stability of porous Si terminated by hydrophilic organic molecules

    Science.gov (United States)

    Matsumoto, Kimihisa; Kamiguchi, Masao; Kamiya, Kazuhide; Nomura, Takashi; Suzuki, Shinya

    2016-02-01

    The effects of the surface termination of a porous Si surface by propionic acid and by undecylenic acid on their hydrophilicity and luminescence stability were studied. In the measurements of the contact angle of water droplets on porous Si films, the hydrophilicity of porous Si is improved by the surface termination each types of organic molecule. The PL intensity of as-prepared porous Si decreased with increasing aging time in ambient air. As PL quenching involves PL blue shift and increasing Si-O bonds density, nonradiative recombination centers are formed in the surface oxide. After the hydrosilylation process of propionic acid and undecylenic acid, PL intensity decreased and became 30% that of as-prepared porous Si film. However, the PL intensity was stable and exceeded that of the as-prepared film after 1000 min of aging in the ambient air. The PL stabilities are contributed to the termination by organic molecules that inhibits surface oxidation.

  18. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  19. Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)

    Science.gov (United States)

    Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.

    2000-09-01

    This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.

  20. Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift, scanned-energy photoelectron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1997-08-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied by conventional x-ray photoelectron spectroscopy (XPS) and chemical-shift, scanned-energy photoelectron diffraction (PED) using synchrotron radiation. Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) olefin insertion into the H{endash}Si bond on the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, XPS has revealed a C 1s signal chemically shifted to lower binding energy, which we have assigned to carbon bonded to silicon. PED has shown that both preparative methods result in carbon bonded in an atop site with the expected C{endash}Si bond length of 1.85{plus_minus}0.05{Angstrom}. Chemical-shift, scanned-energy photoelectron diffraction is a particularly valuable probe of local structure at surfaces that contain the same element in multiple, chemically distinct environments. {copyright} {ital 1997 American Institute of Physics.}