WorldWideScience

Sample records for streptomycin resistance rates

  1. The induction of streptomycin resistance in tomato

    International Nuclear Information System (INIS)

    Jansen, D.E.; Snel, E.A.M.; Akerboom, M.; HiIle, J.

    1990-01-01

    Full text: The aim of the research is to investigate cytoplasmic genetics. For the analysis of organelle transfer and segregation in cybrids the presence of selectable and easily screenable genetic markers on organelles is indispensable. Streptomycin resistance is the best characterised cytoplasmic marker. The resistance is dominant and can be induced by a single basepair change in the chloroplast DNA. Protoplasts were treated with several MNU concentrations directly after isolation. Three months after the protoplast mutagenesis about 600 green colonies were isolated from 28x10 6 treated protoplasts. These colonies were retested several times on streptomycin containing media and approximately 150 colonies remained. We distinguished four classes of streptomycin resistance. Experiments were also done without the use of MNU. By somaclonal variation, only a small quantity of streptomycin resistant colonies was isolated at a 50 fold lower frequency. (author)

  2. Whole-genome sequencing reveals the mechanisms for evolution of streptomycin resistance in Lactobacillus plantarum.

    Science.gov (United States)

    Zhang, Fuxin; Gao, Jiayuan; Wang, Bini; Huo, Dongxue; Wang, Zhaoxia; Zhang, Jiachao; Shao, Yuyu

    2018-04-01

    In this research, we investigated the evolution of streptomycin resistance in Lactobacillus plantarum ATCC14917, which was passaged in medium containing a gradually increasing concentration of streptomycin. After 25 d, the minimum inhibitory concentration (MIC) of L. plantarum ATCC14917 had reached 131,072 µg/mL, which was 8,192-fold higher than the MIC of the original parent isolate. The highly resistant L. plantarum ATCC14917 isolate was then passaged in antibiotic-free medium to determine the stability of resistance. The MIC value of the L. plantarum ATCC14917 isolate decreased to 2,048 µg/mL after 35 d but remained constant thereafter, indicating that resistance was irreversible even in the absence of selection pressure. Whole-genome sequencing of parent isolates, control isolates, and isolates following passage was used to study the resistance mechanism of L. plantarum ATCC14917 to streptomycin and adaptation in the presence and absence of selection pressure. Five mutated genes (single nucleotide polymorphisms and structural variants) were verified in highly resistant L. plantarum ATCC14917 isolates, which were related to ribosomal protein S12, LPXTG-motif cell wall anchor domain protein, LrgA family protein, Ser/Thr phosphatase family protein, and a hypothetical protein that may correlate with resistance to streptomycin. After passage in streptomycin-free medium, only the mutant gene encoding ribosomal protein S12 remained; the other 4 mutant genes had reverted to the wild type as found in the parent isolate. Although the MIC value of L. plantarum ATCC14917 was reduced in the absence of selection pressure, it remained 128-fold higher than the MIC value of the parent isolate, indicating that ribosomal protein S12 may play an important role in streptomycin resistance. Using the mobile elements database, we demonstrated that streptomycin resistance-related genes in L. plantarum ATCC14917 were not located on mobile elements. This research offers a way of

  3. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dagmar Chudobova

    2014-03-01

    Full Text Available There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health.

  4. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  5. Ribosome slowed by mutation to streptomycin resistance. [Escherichia coli

    Energy Technology Data Exchange (ETDEWEB)

    Galas, D J; Branscomb, E W

    1976-08-12

    The effect of mutation to streptomycin resistance on the speed of polypeptide elongation in Escherichia coli was investigated. Translation speed was determined by measuring the time required for the first newly synthesized ..beta..-galactosidase molecules to appear after induction of the lactose operon. The results showed that ribosome speed is not a fixed parameter inherent to the protein synthetic apparatus, but a variable determined by the kinetics of translation and ultimately by the structure of the ribosome. (HLW)

  6. Culture-independent detection of 'TM7' bacteria in a streptomycin-resistant acidophilic nitrifying process

    Energy Technology Data Exchange (ETDEWEB)

    Kurogi, T.; Linh, N. T. T.; Kuroki, T.; Yamada, T. [Department of Environmental and Life Science, Toyohashi University of Technology, Toyohashi 441-8580 (Japan); Hiraishi, A. [Department of Environmental and Life Science, Toyohashi University of Technology, Toyohashi 441-8580, Japan and Electronics-inspired Interdisciplinary Institute (EIIRIS), Toyohashi University of Technology, Toyohashi 441-8580 (Japan)

    2014-02-20

    Nitrification in biological wastewater treatment processes has been believed for long time to take place under neutral conditions and is inhibited under acidic conditions. However, we previously constructed acidophilic nitrifying sequencing-batch reactors (ANSBRs) being capable of nitrification at < pH 4 and harboring bacteria of the candidate phylum 'TM7' as the major constituents of the microbial community. In light of the fact that the 16S rRNA of TM7 bacteria has a highly atypical base substitution possibly responsible for resistance to streptomycin at the ribosome level, this study was undertaken to construct streptomycin-resistant acidophilic nitrifying (SRAN) reactors and to demonstrate whether TM7 bacteria are abundant in these reactors. The SRAN reactors were constructed by seeding with nitrifying sludge from an ANSBR and cultivating with ammonium-containing mineral medium (pH 4.0), to which streptomycin at a concentration of 10, 30 and 50 mg L{sup −1} was added. In all reactors, the pH varied between 2.7 and 4.0, and ammonium was completely converted to nitrate in every batch cycle. PCR-aided denaturing gradient gel electrophoresis (DGGE) targeting 16S rRNA genes revealed that some major clones assigned to TM7 bacteria and Gammaproteobacteria were constantly present during the overall period of operation. Fluorescence in situ hybridization (FISH) with specific oligonucleotide probes also showed that TM7 bacteria predominated in all SRAN reactors, accounting for 58% of the total bacterial population on average. Although the biological significance of the TM7 bacteria in the SRAN reactors are unknown, our results suggest that these bacteria are possibly streptomycin-resistant and play some important roles in the acidophilic nitrifying process.

  7. Effect of streptomycin treatment on bacterial community structure in the apple phyllosphere.

    Directory of Open Access Journals (Sweden)

    Erika Yashiro

    Full Text Available We studied the effect of many years of streptomycin use in apple orchards on the proportion of phyllosphere bacteria resistant to streptomycin and bacterial community structure. Leaf samples were collected during early July through early September from four orchards that had been sprayed with streptomycin during spring of most years for at least 10 years and four orchards that had not been sprayed. The percentage of cultured phyllosphere bacteria resistant to streptomycin at non-sprayed orchards (mean of 65% was greater than at sprayed orchards (mean of 50% (P = 0.0271. For each orchard, a 16S rRNA gene clone library was constructed from leaf samples. Proteobacteria dominated the bacterial communities at all orchards, accounting for 71 of 104 OTUs (determined at 97% sequence similarity and 93% of all sequences. The genera Massilia, Methylobacterium, Pantoea, Pseudomonas, and Sphingomonas were shared across all sites. Shannon and Simpson's diversity indices and Pielou's evenness index were similar among orchards regardless of streptomycin use. Analysis of Similarity (ANOSIM indicated that long-term streptomycin treatment did not account for the observed variability in community structure among orchards (R = -0.104, P = 0.655. Other variables, including time of summer, temperature and time at sampling, and relative distance of the orchards from each other, also had no significant effect on bacterial community structure. We conclude that factors other than streptomycin exposure drive both the proportion of streptomycin-resistant bacteria and phylogenetic makeup of bacterial communities in the apple phyllosphere in middle to late summer.

  8. Sulphaphenazole, streptomycin and sulphaphenazole combination, trimethoprim, and erythromycin in the treatment of chancroid.

    Science.gov (United States)

    Kumar, B; Sharma, V K; Bakaya, V

    1990-01-01

    One hundred and thirty six patients with chancroid were treated with four different treatment regimens; (A) Sulphaphenazole 1 g 12 hourly by mouth x 10 days (B) Inj streptomycin 1 g intramuscularly daily with sulphaphenazole 1 g 12 hourly orally x 10 days; (C) trimethoprim 200 mg 12 hourly by mouth x 7-10 days, and (D) erythromycin 500 mg 6 hourly orally x 7-10 days. Cure rates of 9% with sulphaphenazole alone, 48% with streptomycin and sulphaphenazole combination, 93% with trimethoprim and 100% with erythromycin were obtained. Sulphaphenazole alone or in combination with streptomycin were thus inferior in the treatment of chancroid. There is need for modification of treatment regimens recommended for chancroid in the textbooks of dermatology and venereology. Trimethoprim can be recommended as first line of treatment for chancroid in developing countries like India where resistance to trimethoprim is uncommon and erythromycin is suggested as a second line of therapy because by that time syphilis can be easily ruled out. PMID:2187791

  9. One-tube loop-mediated isothermal amplification combined with restriction endonuclease digestion and ELISA for colorimetric detection of resistance to isoniazid, ethambutol and streptomycin in Mycobacterium tuberculosis isolates.

    Science.gov (United States)

    Lee, Mei-Feng; Chen, Yen-Hsu; Hsu, Hui-Jine; Peng, Chien-Fang

    2010-10-01

    In this study, we designed a simple and rapid colorimetric detection method, a one-tube loop-mediated isothermal amplification (LAMP)-PCR-hybridization-restriction endonuclease-ELISA [one-tube LAMP-PCR-HY-RE-ELISA] system, to detect resistance to isoniazid, ethambutol and streptomycin in strains of Mycobacterium tuberculosis isolated from clinical specimens. The clinical performance of this method for detecting isoniazid-resistant, ethambutol-resistant and streptomycin-resistant isolates of M. tuberculosis showed 98.9%, 94.3% and 93.8%, respectively. This assay is rapid and convenient that can be performed within one working day. One-tube LAMP-PCR-HY-RE-ELISA system was designed based on hot spot point mutations in target drug-resistant genes, using LAMP-PCR, hybridization, digestion with restriction endonuclease and colorimetric method of ELISA. In this study, LAMP assay was used to amplify DNA from drug-resistant M. tuberculosis, and ELISA was used for colorimetrical determination. This assay will be a useful tool for rapid diagnosis of mutant codons in strains of M. tuberculosis for isoniazid at katG 315 and katG 463, ethambutol at embB 306 and embB 497, and streptomycin at rpsL 43. Crown Copyright © 2010. Published by Elsevier B.V. All rights reserved.

  10. A streptomycin resistance marker in H. parasuis based on site-directed mutations in rpsL gene to perform unmarked in-frame mutations and to verify natural transformation

    Directory of Open Access Journals (Sweden)

    Ke Dai

    2018-01-01

    Full Text Available Haemophilus parasuis is a member of the family Pasteurellaceae and a major causative agent of Glässer’s disease. This bacterium is normally a benign swine commensal but may become a deadly pathogen upon penetration into multiple tissues, contributing to severe lesions in swine. We have established a successive natural transformation-based markerless mutation system in this species. However, the two-step mutation system requires screening of natural competent cells, and cannot delete genes which regulate natural competence per se. In this study, we successfully obtained streptomycin-resistant derivatives from H. parasuis wild type strain SC1401 by using ethyl methane sulfonate (EMS, CH3SO2OC2H5. Upon sequencing and site-directed mutations, we uncovered that the EMS-induced point mutation in rpsL at codon 43rd (AAA → AGA; K43R or at 88th (AAA → AGA; K88R confers a much higher streptomycin resistance than clinical isolates. We have applied the streptomycin resistance marker as a positive selection marker to perform homologous recombination through conjugation and successfully generated a double unmarked in-frame targeted mutant 1401D88△tfox△arcA. Combined with a natural transformation-based knockout system and this genetic technique, multiple deletion mutants or attenuated strains of H. parasuis can be easily constructed. Moreover, the mutant genetic marker rpsL and streptomycin resistant phenotypes can serve as an effective tool to select naturally competent strains, and to verify natural transformation quantitatively.

  11. Culture-independent detection of 'TM7' bacteria in a streptomycin-resistant acidophilic nitrifying process

    International Nuclear Information System (INIS)

    Kurogi, T.; Linh, N. T. T.; Kuroki, T.; Yamada, T.; Hiraishi, A.

    2014-01-01

    Nitrification in biological wastewater treatment processes has been believed for long time to take place under neutral conditions and is inhibited under acidic conditions. However, we previously constructed acidophilic nitrifying sequencing-batch reactors (ANSBRs) being capable of nitrification at −1 was added. In all reactors, the pH varied between 2.7 and 4.0, and ammonium was completely converted to nitrate in every batch cycle. PCR-aided denaturing gradient gel electrophoresis (DGGE) targeting 16S rRNA genes revealed that some major clones assigned to TM7 bacteria and Gammaproteobacteria were constantly present during the overall period of operation. Fluorescence in situ hybridization (FISH) with specific oligonucleotide probes also showed that TM7 bacteria predominated in all SRAN reactors, accounting for 58% of the total bacterial population on average. Although the biological significance of the TM7 bacteria in the SRAN reactors are unknown, our results suggest that these bacteria are possibly streptomycin-resistant and play some important roles in the acidophilic nitrifying process

  12. Computational identification of potent inhibitors for Streptomycin 3″-adenylyltransferase of Serratia marcescens.

    Science.gov (United States)

    Prabhu, Dhamodharan; Vidhyavathi, Ramasamy; Jeyakanthan, Jeyaraman

    2017-02-01

    Serratia marcescens is an opportunistic pathogen responsible for the respiratory and urinary tract infections in humans. The antibiotic resistance mechanism of S. marcescens is mediated through aminoglycoside modification enzyme that transfer adenyl group from substrate to antibiotic through regiospecific transfers for the inactivation of antibiotics. Streptomycin 3 ″ -adenylyltransferase acts on the 3' position of the antibiotic and considered as a novel drug target to overcome bacterial antibiotic resistance. Till now, there is no experimentally solved crystal structure of Streptomycin 3″-adenylyltransferase in S. marcescens. Hence, the present study was initiated to construct the three dimensional structure of Streptomycin 3″-adenylyltransferase in order to understand the binding mechanism. The modeled structure was subjected to structure-based virtual screening to identify potent compounds from the five chemical structure databases. Furthermore, different computational methods such as molecular docking, molecular dynamics simulations, ADME toxicity assessment, free energy and density functional theory calculations predicted the structural, binding and pharmacokinetic properties of the best five compounds. Overall, the results suggested that stable binding confirmation of the five potent compounds were mediated through hydrophobic, π-π stacking, salt bridges and hydrogen bond interactions. The identified compounds could pave way for the development of anti-pathogenic agents as potential drug entities. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. The mthA mutation conferring low-level resistance to streptomycin enhances antibiotic production in Bacillus subtilis by increasing the S-adenosylmethionine pool size.

    Science.gov (United States)

    Tojo, Shigeo; Kim, Ji-Yun; Tanaka, Yukinori; Inaoka, Takashi; Hiraga, Yoshikazu; Ochi, Kozo

    2014-04-01

    Certain Str(r) mutations that confer low-level streptomycin resistance result in the overproduction of antibiotics by Bacillus subtilis. Using comparative genome-sequencing analysis, we successfully identified this novel mutation in B. subtilis as being located in the mthA gene, which encodes S-adenosylhomocysteine/methylthioadenosine nucleosidase, an enzyme involved in the S-adenosylmethionine (SAM)-recycling pathways. Transformation experiments showed that this mthA mutation was responsible for the acquisition of low-level streptomycin resistance and overproduction of bacilysin. The mthA mutant had an elevated level of intracellular SAM, apparently acquired by arresting SAM-recycling pathways. This increase in the SAM level was directly responsible for bacilysin overproduction, as confirmed by forced expression of the metK gene encoding SAM synthetase. The mthA mutation fully exerted its effect on antibiotic overproduction in the genetic background of rel(+) but not the rel mutant, as demonstrated using an mthA relA double mutant. Strikingly, the mthA mutation activated, at the transcription level, even the dormant ability to produce another antibiotic, neotrehalosadiamine, at concentrations of 150 to 200 μg/ml, an antibiotic not produced (antibiotic production, by introducing either the rsmG mutation to Streptomyces or the mthA mutation to eubacteria, since many eubacteria have mthA homologues.

  14. Rapid Isolation and Molecular Detection of Streptomycin-Producing Streptomycetes

    Directory of Open Access Journals (Sweden)

    M Motovali-bashi

    2006-07-01

    Full Text Available Introduction: Streptomyces species are mycelial, aerobic gram-positive bacteria that are isolated from soil and produce a diverse range of antibiotics. Streptomyces griseus produces the antibiotic, streptomycin and forms spores even in a liquid culture. The gene cluster for the production of Streptomycin antibiotic contains strR gene that encodes StrR, a pathway-specific regulator. Then, this pathway-specific regulator induces transcription of other streptomycin production genes in the gene cluster. The overall aim of this work was rapid isolation and molecular detection of streptomycin-producing Streptomycetes, especially S. griseus, from Iranian soils in order to manipulate them for increased production of streptomycin. Methods: This research used new initiative half-specific medium for isolation of Streptomycetes from natural environments, called FZmsn. The fifty colonies of Streptomyces strains grown on the surface of FZmsn medium isolated from environmental samples were defined on the basis of their morphological characteristics and light microscope studies. A set of primers was designed to detect strR by OLIGO software. Results: In colony-PCR reactions followed by gel electrophoresis, 6 colonies from Streptomyces strains colonies were detected as S. griseus colonies. Conclusion: These native Streptomyces strains will be used for genetic manipulation of S. griseus in order to increase production levels of streptomycin.

  15. Resistent tuberkulose i Danmark

    DEFF Research Database (Denmark)

    Thomsen, V O; Johansen, I S; Bauer, J O

    2001-01-01

    INTRODUCTION: Increased rates of multidrug-resistant (MDR) tuberculosis (TB) has been reported from countries close to Denmark. We evaluated the incidence of drug resistance in Denmark in order to determine the magnitude of the problem. MATERIALS AND METHODS: Susceptibility testing was performed......-cluster. Among all patients with isoniazid- and streptomycin-resistance, 77.0% had clustered strains. DISCUSSION: In conclusion, although drug resistance among untreated Danes was close to the rate estimated in good national programmes, close monitoring is needed in future years, as active transmission...

  16. Multidrug-Resistant Salmonella enterica Serovar Muenchen from Pigs and Humans and Potential Interserovar Transfer of Antimicrobial Resistance

    OpenAIRE

    Gebreyes, Wondwossen A.; Thakur, Siddhartha

    2005-01-01

    Salmonella serovars are important reservoirs of antimicrobial resistance. Recently, we reported on multidrug-resistant (MDR) Salmonella enterica serovar Typhimurium strains among pigs with resistance to ampicillin, kanamycin, streptomycin, sulfamethoxazole, and tetracycline (resistance [R] type AKSSuT) and resistance to amoxicillin-clavulanic acid, ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline (R type AxACSSuT). In the present study, 67 isolates (39 from humans...

  17. Effects of streptomycin, desiccation, and UV radiation on ice nucleation by Pseudomonas viridiflava

    International Nuclear Information System (INIS)

    Anderson, J.A.; Ashworth, E.N.

    1986-01-01

    Streptomycin (100 micrograms per milliliter), desiccation (over CaSO 4 ), and ultraviolet radiation (4500 microwatts per square centimeter at 254 nonometers for 15 minutes) reduced ice nucleation activity by Pseudomonas viridiflava strain W-1 as determined by freezing drops of the bacterial suspensions. Highest residual ice nucleation activity by dead cells was obtained by desiccation, although no freezing above -3.5 0 C was detected. The rate and extent of loss of ice nucleation activity following streptomycin and ultraviolet treatment was affected by preconditioning temperature. At 21 0 C and above, loss of activity by dead cells was rapid and irreversible

  18. Volatile compounds of Lamiaceae exhibit a synergistic antibacterial activity with streptomycin

    Directory of Open Access Journals (Sweden)

    Sthéfane G. Araújo

    2014-12-01

    Full Text Available Bacterial infections cause thousands of deaths in the world every year. In most cases, infections are more serious because the patient is already weakened, and often, the bacteria are already resistant to the antibiotics used. Counterparting this negative scenario, the interest in medicinal plants as an alternative to the synthetic antimicrobial drugs is blossoming worldwide. In the present work, we identified the volatile compounds of ethanol extracts of Melissa officinalis, Mentha sp., Ocimum basilicum, Plectranthus barbatus, and Rosmarinus officinalis by gas chromatography/mass spectrometry (GC/MS. Also was evaluated antimicrobial activity of ethanol extracts against 6 bacteria of clinical interest, and was tested the interaction of these extracts with a commercial antibiotic streptomycin. Phytol was a compound identified in all extracts by GC/MS, being majoritary component in Plectranthus barbatus and Rosmarinus officinalis. The Gram-positive bacteria were more sensitive to ethanol extracts, and Plectranthus barbatus and Rosmarinus officinalis were the most active extracts. Ethanol extracts exhibited a synergetic effect with streptomycin. These results encourage additional studies, in order to evaluate the possibilities of using ethanol extracts of Lamiaceae family as natural source for antibacterial activity.

  19. Pharmacokinetics of streptomycin sulfate in Staphylococcus aureus-infected Clarias gariepinus (Burchell 1822

    Directory of Open Access Journals (Sweden)

    O.O. Oladele

    2014-01-01

    Possible systemic therapeutic value is suggested, depending on increased distribution of streptomycin and levels of streptomycin in kidneys of diseased fish at corresponding times being higher than in sera.

  20. Ecological risk study on subacute toxicology experiment of streptomycin wastewater for Zebrafish

    Science.gov (United States)

    Shi, Qing; Shen, Hongyan

    2017-08-01

    An exposure experiment was conducted to study the effect of different volume fraction of effluent streptomycin wastewater on the activity of the peroxidase (POD) activity and the malondialdehyde (MDA) content in muscles of Zebrafish for 20 days. The results show that POD activity is significantly induced on the eighth day. POD activities in the muscles of Zebrafish exposed to the streptomycin wastewater of 20% exposure group were significantly different (0.01streptomycin wastewater increase, the Integreted Biomarker Responses (IBR) increase at first and then decrease. The IBR value of Zebrafish that exposed streptomycin wastewater ranged from 10.42 to 54.26, and the wastewater of 10% exposure group induced the maximum value on the twelve day. The study indicates that low concentration streptomycin wastewater has impacts on the antioxidant defense system and antioxidant ability of Zebrafish.

  1. Streptomycin ototoxicity and hair cell regeneration in the adult pigeon utricle

    Science.gov (United States)

    Frank, T. C.; Dye, B. J.; Newlands, S. D.; Dickman, J. D.

    1999-01-01

    OBJECTIVE: The purpose of this study was to develop a technique to investigate the regeneration of utricular hair cells in the adult pigeon (Columba livia) following complete hair cell loss through administration of streptomycin. STUDY DESIGN: Experimental animal study. METHODS: Animals were divided into four groups. Group 1 received 10 to 15 days of systemic streptomycin injections. Animals in Groups 2 and 3 received a single direct placement of a 1-, 2-, 4-, or 8-mg streptomycin dose into the perilymphatic space. Animals in Groups 1 and 2 were analyzed within 1 week from injection to investigate hair cell destruction, whereas Group 3 was investigated at later dates to study hair cell recovery. Group 4 animals received a control injection of saline into the perilymphatic space. Damage and recovery were quantified by counting hair cells in isolated utricles using scanning electron microscopy. RESULTS: Although systemic injections failed to reliably achieve complete utricular hair cell destruction, a single direct placement of a 2-, 4-, or 8-mg streptomycin dose caused complete destruction within the first week. Incomplete hair cell loss was observed with the 1-mg dose. Over the long term, regeneration of the hair cells was seen with the 2-mg dose but not the 8-mg dose. Control injections of saline into the perilymphatic space caused no measurable hair cell loss. CONCLUSIONS: Direct placement of streptomycin into the perilymph is an effective, reliable method for complete destruction of utricular hair cells while preserving the regenerative potential of the neuroepithelium.

  2. Coevolution of antibiotic production and counter-resistance in soil bacteria.

    Science.gov (United States)

    Laskaris, Paris; Tolba, Sahar; Calvo-Bado, Leo; Wellington, Elizabeth M; Wellington, Liz

    2010-03-01

    We present evidence for the coexistence and coevolution of antibiotic resistance and biosynthesis genes in soil bacteria. The distribution of the streptomycin (strA) and viomycin (vph) resistance genes was examined in Streptomyces isolates. strA and vph were found either within a biosynthetic gene cluster or independently. Streptomyces griseus strains possessing the streptomycin cluster formed part of a clonal complex. All S. griseus strains possessing solely strA belonged to two clades; both were closely related to the streptomycin producers. Other more distantly related S. griseus strains did not contain strA. S. griseus strains with only vph also formed two clades, but they were more distantly related to the producers and to one another. The expression of the strA gene was constitutive in a resistance-only strain whereas streptomycin producers showed peak strA expression in late log phase that correlates with the switch on of streptomycin biosynthesis. While there is evidence that antibiotics have diverse roles in nature, our data clearly support the coevolution of resistance in the presence of antibiotic biosynthetic capability within closely related soil dwelling bacteria. This reinforces the view that, for some antibiotics at least, the primary role is one of antibiosis during competition in soil for resources.

  3. Binding of streptomycin with bovine serum albumin: Energetics and conformational aspects

    International Nuclear Information System (INIS)

    Jha, Niki S.; Kishore, Nand

    2009-01-01

    Thermodynamics of the binding of antibiotic streptomycin to bovine serum albumin have been studied using isothermal titration calorimetry in combination with fluorescence, UV-vis and circular dichroism spectroscopies. The values of van't Hoff enthalpy calculated from the temperature dependence of the binding constant do not agree with the calorimetric enthalpies indicating temperature dependent conformational changes in the protein upon binding. With increase in the ionic strength, reduction in the binding affinity of streptomycin to BSA is observed suggesting the predominance of electrostatic interactions in the binding. The contribution of hydrophobic interactions in the binding is also demonstrated by decrease in binding affinity in the presence of tetrabutylammonium bromide (TBAB). The value of binding affinity in the presence of sucrose indicates that hydrogen bonding is not a significant contribution in complexation. The results have permitted quantitative evaluation of the interaction of streptomycin with bovine serum albumin

  4. Genetic segregation in a high-yielding streptomycin-producing strain of Streptomyces griseus.

    Science.gov (United States)

    Roth, M; Schwalenberg, B; Reiche, R; Noack, D; Geuther, R; Eritt, I

    1982-01-01

    The streptomycin-producing Streptomyces griseus HP spontaneously segregated non-reverting derivatives with altered phenotypes. Clones characterized by increased spore formation and decreased streptomycin production were found. Two other types of derivatives were defective in aerial mycelium and streptomycin formation as well, but differed in the capacity to synthesize a yellow pigment. These derivatives were examined with respect to further properties. The stability of S. griseus HP was investigated in relation to conditions of continuous culture. Both at 26 and 30 degrees C, under glycerol and NH4Cl limitation a rapid segregation and enrichment of streptomycin-non-producing derivatives occurred. At 34 degrees C and glycerol limitation segregation began only after about 35 generations of continuous culture. In NH4Cl-limited chemostats the original strain was stable during 80 generations. In the course of the continuous culture experiments it was shown that the onset of genetic segregation within mycelia can be detected before it becomes obvious in colonies grown from the mycelia. This was achieved by fractionation of the mycelia by protoplast formation and subsequent plating on regeneration medium allowing colony growth and differentiation.

  5. Pattern of secondary acquired drug resistance to antituberculosis drug in Mumbai, India--1991-1995.

    Science.gov (United States)

    Chowgule, R V; Deodhar, L

    1998-01-01

    A retrospective observational study was conducted to find out whether secondary acquired drug resistance to isoniazid and ethambutol is high and to rifamycin and pyrazinamide is low, as is commonly believed in India. There were 2033 patients, whose sputum samples (6099) were reviewed from a specimen registry of the microbiology laboratory for the years 1991 to 1995. Of these, 521 (25.6%) patients [335 males and 186 females; age ranged from 11 to 75 years] had sputum positive culture and sensitivity for acid-fast bacilli (AFB). The drug resistance patterns in our study were: isoniazid (H) 15%, rifamycin (R) 66.8%, pyrazinamide (Z) 72.2%, ethambutol (E) 8.4%, streptomycin (S) 53.6%, cycloserine (C) 39.2% kanamycin (K) 25.1% and ethionamide (Eth) 65.3%. The resistance to streptomycin showed a significant fall over a year while there was a rise in resistance to cycloserine and kanamycin which is significant. The rate of secondary acquired resistance of isoniazid and ethambutol was low, and the rate of secondary acquired resistance to rifamycin and pyrazinamide was high, which is contarary to the common belief regarding these drugs in India. This implies that isoniazid is still a valuable drug in the treatment of multidrug resistance in India.

  6. Streptomycin action to the mammalian inner ear vestibular organs: comparison between pigmented guinea pigs and rats.

    Science.gov (United States)

    Meza, Graciela; Aguilar-Maldonado, Beatriz

    2007-01-01

    Streptomycin is the antibiotic of choice to treat tuberculosis and other infectious diseases but it causes vestibular malfunction and hipoacusia. Rodents are usually employed as models of drug action to the inner ear and results are extrapolated to what happens in humans. In rats, streptomycin destroys macular sensory cells and does not affect cochlear ones, whereas in guinea pigs the contrary is true. Action on the vestibular cristae cells involved in vestibulo-ocular reflex integrity is less clear. Thus, we compared this response in both pigmented guinea pigs (Cavia cobaya) and rats (Rattus norvegicus) after parallel streptomycin chronic treatment. In guinea pigs, the reflex was obliterated along treatment time; in rats this behavior was not observed, suggesting that the end organ target was diverse. In recent studies, streptidine, a streptomycin derivative found in the blood of humans and rats treated with streptomycin, was the actual ototoxic agent. The putative streptomycin vestibular organ target observed in humans corresponds with the guinea pig observations. Results observed in rats are controversial: streptidine did not cause any damage either to vestibular cristae nor auditory cells. We hypothesize differential drug metabolism and distribution and conclude that results in laboratory animals may not always be applicable in the human situation.

  7. Cross-linking of streptomycin to the 16S ribosomal RNA of Escherichia coli

    International Nuclear Information System (INIS)

    Gravel, M.; Melancon, P.; Barkier-Gingras, L.

    1987-01-01

    [ 3 H]Dihydrostreptomycin was cross-linked to the 30S ribosomal subunit from Escherichia coli with the bifunctional reagent nitrogen mustard. The cross-linking primarily involved the 16S RNA. To localize the site of cross-linking of streptomycin to the 16S RNA, the authors hybridized RNA labeled with streptomycin to restriction fragments of the 16S RNA gene. Labeled RNA hybridized to DNA fragments corresponding to bases 892-917 and bases 1394-1415. These two segments of the ribosomal RNA must by juxtaposed in the ribosome, since there is a single binding site for streptomycin. This region has been implicated both in the decoding site and in the binding of initiation factor IF-3, indicating its functional importance

  8. Minocycline attenuates streptomycin-induced cochlear hair cell death by inhibiting protein nitration and poly (ADP-ribose) polymerase activation.

    Science.gov (United States)

    Wang, Ping; Li, Haonan; Yu, Shuyuan; Jin, Peng; Hassan, Abdurahman; Du, Bo

    2017-08-24

    This study aimed to elucidate the protective effect of minocycline against streptomycin-induced damage of cochlear hair cells and its mechanism. Cochlear membranes were isolated from newborn Wistar rats and randomly divided into control, 500μmol/L streptomycin, 100μmol/L minocycline, and streptomycin and minocycline treatment groups. Hair cell survival was analyzed by detecting the expression of 3-nitrotyrosine (3-NT) in cochlear hair cells by immunofluorescence and an enzyme-linked immunosorbent assay. Expression of 3-NT and inducible nitric oxide synthase (iNOS), and poly (ADP-Ribose) polymerase (PARP) and caspase-3 activation were evaluated by western blotting. The results demonstrated hair cell loss at 24h after streptomycin treatment. No change was found in supporting cells of the cochleae. Minocycline pretreatment improved hair cell survival and significantly reduced the expression of iNOS and 3-NT in cochlear tissues compared with the streptomycin treatment group. PARP and caspase-3 activation was increased in the streptomycin treatment group compared with the control group, and pretreatment with minocycline decreased cleaved PARP and activated caspase-3 expression. Minocycline protected cochlear hair cells from injury caused by streptomycin in vitro. The mechanism underlying the protective effect may be associated with the inhibition of excessive formation of nitric oxide, reduction of the nitration stress reaction, and inhibition of PARP and caspase-3 activation in cochlear hair cells. Combined minocycline therapy can be applied to patients requiring streptomycin treatment. Copyright © 2017. Published by Elsevier B.V.

  9. 76 FR 69734 - Streptomycin Sulfate; Receipt of Application for Emergency Exemption, Solicitation of Public Comment

    Science.gov (United States)

    2011-11-09

    ... (NAICS code 111). Animal production (NAICS code 112). Food manufacturing (NAICS code 311). Pesticide... pesticide containing streptomycin sulfate, which is also used in human and animal treatment as an antibiotic... which contains the active ingredient, streptomycin sulfate, also used in humans and animals as an...

  10. Characterization of resistance to tetracyclines and aminoglycosides of sheep mastitis pathogens: study of the effect of gene content on resistance.

    Science.gov (United States)

    Lollai, S A; Ziccheddu, M; Duprè, I; Piras, D

    2016-10-01

    Mastitis causes economic losses and antimicrobials are frequently used for mastitis treatment. Antimicrobial resistance surveys are still rare in the ovine field and characterization of strains is important in order to acquire information about resistance and for optimization of therapy. Bacterial pathogens recovered in milk samples from mastitis-affected ewes were characterized for resistance to tetracyclines and aminoglycosides, members of which are frequently used antimicrobials in small ruminants. A total of 185 strains of staphylococci, streptococci, and enterococci, common mastitis pathogens, were tested for minimal inhibitory concentration (MIC) to tetracycline, doxycycline, minocycline, gentamicin, kanamycin, streptomycin, and for resistance genes by PCR. Effects of different tet genes arrangements on MICs were also investigated. Staphylococci expressed the lowest MIC for tetracycline and tet(K) was the most common gene recovered; tet(M) and tet(O) were also found. Gene content was shown to influence the tetracycline MIC values. Enterococci and streptococci showed higher MICs to tetracyclines and nonsusceptible strains always harboured at least one ribosomal protection gene (MIC above 8 μg ml(-1) ). Streptococci often harboured two or more tet determinants. As regards the resistance to aminoglycosides, staphylococci showed the lowest gentamicin and kanamycin median MIC along with streptomycin high level resistant (HLR) strains (MIC >1024 μg ml(-1) ) all harbouring str gene. The resistance determinant aac(6')-Ie-aph(2″)-Ia was present in few strains. Streptococci were basically nonsusceptible to aminoglycosides but neither HLR isolates nor resistance genes were detected. Enterococci revealed the highest MICs for gentamicin; two str harbouring isolates were shown to be HLR to streptomycin. Evidence was obtained for the circulation of antimicrobial-resistant strains and genes in sheep dairy farming. Tetracycline MIC of 64 μg ml(-1) and high

  11. MATHEMATICAL MODELING FOR BENZYLPENICILIN POTASSIUM AND STREPTOMYCIN SULPHATE POTENCY DETERMINATION OF ASCOMICIN

    Directory of Open Access Journals (Sweden)

    Viviana Ciuca

    2016-12-01

    Full Text Available Ascomicin is an antibacterial unguent for treatment of local infections of skin, eyes, outer ear, in cattle, sheep, pig, dog and cat. The product contains two active substances: benzylpenicillin potassium (Penicillin G potassium and streptomycin sulphate. The main characteristic of commercial product is benzylpenicillin potassium and streptomycin sulphate potency. The potency is estimated by comparing the inhibition of growth of sensitive micro-organisms produced by known concentrations of the antibiotic to be examined and a reference substance. The validation study aims to demonstrate the determination of the potency of benzylpenicillin potassium and streptomycin sulphate, it is an appropriate analytical method, reproducible and meets the quality requirements of Ascomicin product. The paper establishes the performance characteristics of the method considered and identify the factors that influence these characteristics. The diameters of inhibition zones, directly proportional to the logarithm of the concentration of the antibiotic used for the assay, measured and calculated using statistical methods (Combistats Soft. The assay is designed in such a way that the mathematical model on which the potency equation is based can be proved to be valid. A parallel-line model is chosen. The two log dose response lines of the preparation under examination and the standard preparation are parallel; they are rectilinear over the range of doses used in the calculation. These conditions are verified by validity tests for a given probability (P = 0.05. The test is not valid unless the confidence limits (P = 0.95 are not less than 50 per cent and not more than 200 per cent of the estimated potency. The estimated potency is not less than 95 per cent and not more than 105 per cent of the stated potency. The stated potency is not less than 19400 international units/g benzylpenicillin potassium and 13960 international units/g streptomycin sulphate. The validation

  12. 78 FR 29049 - Streptomycin; Pesticide Tolerances for Emergency Exemptions

    Science.gov (United States)

    2013-05-17

    ... determine whether this document applies to them. Potentially affected entities may include: Crop production (NAICS code 111). Animal production (NAICS code 112). Food manufacturing (NAICS code 311). Pesticide....40 ppm. Streptomycin is an antibiotic of the aminoglycoside class and is produced by the bacteria...

  13. Methods for the evaluation of antibiotic resistance in Lactobacillus isolated from fermented sausages

    Directory of Open Access Journals (Sweden)

    Hanna Lethycia Wolupeck

    Full Text Available ABSTRACT: The present study aimed to assess the antibiotic resistance in 54 indigenous Lactobacillus plantarum isolated from artisanal fermented sausages. The confirmation of the strain species was performed by multiplex-PCR assay. Antibiotic resistance was assessed by disk diffusion (DD and Minimum Inhibitory Concentration (MIC methods. Of 54 L. plantarum, 44 strains were genotypically confirmed as L. plantarum and 3 as Lactobacillus pentosus. The highest resistance rates were to ampicillin and streptomycin. The highest susceptibility rates were shown to tetracycline, chloramphenicol and penicillin G. None of the strains showed multidrug resistance. Resistance rates by DD and MIC were not different (P>0.05 for ampicillin, chloramphenicol, erythromycin and penicillin G. Future research should assess the genetic mechanisms underlying the phenotypic resistance in Lactobacillus strains to screen the potential probiotic strains for the development of functional meat products.

  14. Point-of-care testing for streptomycin based on aptamer recognizing and digital image colorimetry by smartphone.

    Science.gov (United States)

    Lin, Bixia; Yu, Ying; Cao, Yujuan; Guo, Manli; Zhu, Debin; Dai, Jiaxing; Zheng, Minshi

    2018-02-15

    The rapid detection of antibiotic residual in everyday life is very important for food safety. In order to realize the on-site and visual detection of antibiotic, a POCT method was established by using digital image colorimetry based on smartphone. Streptomycin was taken as the analyte model of antibiotics, streptomycin aptamer preferentially recognized analyte, and the excess aptamer hybridized with the complementary DNA to form the dsDNA. SYBR Green I combined with the dsDNA and then emitted obvious green fluorescence, thus the fluorescence intensity decreased with the increasing of streptomycin concentration. Then a smartphone-based device was constructed as the fluorescence readout. The smartphone camera acquired the images of the fluorescence derived from the samples, and the Touch Color APP installed in smartphone read out the RGB values of the images. There was a linear relationship between the G values and the streptomycin concentrations in the range of 0.1-100µM. The detection limit was 94nM, which was lower than the maximum residue limit defined by World Health Organization. The POCT method was applied for determining streptomycin in chicken and milk samples with recoveries in 94.1-110%. This method had the advantages of good selectivity, simple operation and on-site visualization. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. THE RESISTANCE TO ANTIBIOTICS IN STRAINS OF E. COLI AND ENTEROCOCCUS SP. ISOLATED FROM RECTAL SWABS OF LAMBS AND CALVES

    Directory of Open Access Journals (Sweden)

    IVANA NOVÁKOVÁ

    2009-10-01

    Full Text Available he aim of this study was to determine the prevalence and antibiotic resistance of enterococcii and E. coli strains isolated from dairy calves and lambs. Susceptibilities of isolated enterococci were tested using the disk diffusion method. The interpretation of inhibition zones around the disks was according to CLSI 2004 Performance standards for antimicrobial susceptibility testing. In our study, all isolates (E. coli and enterococci were multiresistant (100% to tetracycline, streptomycin and compound sulphonamides. Lower levels of resistance to enrofloxacin were noted. Antimicrobial resistance profiles of Enterococcus sp. isolated from lambs indicated that the highest percentage of susceptibility was exhibited to tetracycline (100% and streptomycin (100% and compound sulphonamides (100%. The intermediate resistance was exhibited against compound enrofloxacin (80%. The high frequencies of resistant isolates of Enterococcus sp. from calves were documented in tetracycline (100%, streptomycin (100% and compound sulphonamides (100% and enrofloxacin (50%. The high percentage (compound sulphonamides-100%, tetracycline-100% and streptomycin- 100% of multiresistant E. coli (isolates from dairy calves was noticed. There were no significant correlations between groups.

  16. Colorimetric and ratiometric aggregation assay for streptomycin using gold nanoparticles and a new and highly specific aptamer

    International Nuclear Information System (INIS)

    Soheili, Vahid; Taghdisi, Seyed Mohammad; Khayyat, Mohammad Hassanzadeh; Abnous, Khalil; Bazzaz, BiBi Sedigheh Fazly; Ramezani, Mohammad

    2016-01-01

    Aptamers specific for the antibiotic streptomycin were identified by a modified SELEX procedure that employs magnetic beads. After eight rounds of selection, twenty-six aptamers were identified and clustered into seven groups according to similarities in their sequences. The binding constant of three sequences from different groups were determined by colorimetric assays using unmodified gold nanoparticles (AuNPs). These most suitable aptamers were then truncated, and finally a 23-base sequence was identified that has the highest affinity (K_d = 132.3 nM) and selectivity. The assay was employed to analyze streptomycin residue in raw milk samples by ratiometric spectrophotometry at 520 and 660 nm, respectively. The analytical range extends from 180 to 1000 nM, and the LOD is 47.2 nM which is better than that of HPLC (4 μM). The interaction between aptamer and streptomycin was studied by molecular modeling. In our perception, this colorimetric assay provides a viable method for fast analysis of streptomycin in raw milk. (author)

  17. Investigation of antimicrobial resistance in Escherichia coli and enterococci isolated from Tibetan pigs.

    Directory of Open Access Journals (Sweden)

    Peng Li

    Full Text Available OBJECTIVES: This study investigated the antimicrobial resistance of Escherichia coli and enterococci isolated from free-ranging Tibetan pigs in Tibet, China, and analyzed the influence of free-ranging husbandry on antimicrobial resistance. METHODS: A total of 232 fecal samples were collected from Tibetan pigs, and the disk diffusion method was used to examine their antimicrobial resistance. Broth microdilution and agar dilution methods were used to determine minimum inhibitory concentrations for antimicrobial agents for which disks were not commercially available. RESULTS: A total of 129 E. coli isolates and 84 Enterococcus isolates were recovered from the fecal samples. All E. coli isolates were susceptible to amoxicillin/clavulanic acid, and 40.4% were resistant to tetracycline. A small number of isolates were resistant to florfenicol (27.9%, ampicillin (27.9%, sulfamethoxazole/trimethoprim (19.4%, nalidixic acid (19.4%, streptomycin (16.2% and ceftiofur (10.9%, and very low resistance rates to ciprofloxacin (7.8%, gentamicin (6.9%, and spectinomycin (2.3% were observed in E. coli. All Enterococcus isolates, including E. faecium, E. faecalis, E. hirae, and E. mundtii, were susceptible to amoxicillin/clavulanic acid and vancomycin, but showed high frequencies of resistance to oxacillin (92.8%, clindamycin (82.1%, tetracycline (64.3%, and erythromycin (48.8%. Resistance rates to florfenicol (17.9%, penicillin (6.0%, ciprofloxacin (3.6%, levofloxacin (1.2%, and ampicillin (1.2% were low. Only one high-level streptomycin resistant E. faecium isolate and one high-level gentamicin resistant E. faecium isolate were observed. Approximately 20% and 70% of E. coli and Enterococcus isolates, respectively, were defined as multidrug-resistant. CONCLUSIONS: In this study, E. coli and Enterococcus isolated from free-ranging Tibetan pigs showed relatively lower resistance rates than those in other areas of China, where more intensive farming practices are

  18. Effects of UV light disinfection on antibiotic-resistant coliforms in wastewater effluents

    International Nuclear Information System (INIS)

    Meckes, M.C.

    1982-01-01

    Total coliforms and total coliforms resistant to streptomycin, tetracycline, or chloramphenicol were isolated from filtered activated sludge effluents before and after UV light irradiation. Although the UV irradiation effectively disinfected the wastewater effluent, the percentage of the total surviving coliform population resistant to tetracycline or chloramphenicol was significantly higher than the percentage of the total coliform population resistant to those antibiotics before UV irradiation. This finding was attributed to the mechanism of R-factor mediated resistance to tetracycline. No significant difference was noted for the percentage of the surviving total coliform population resistant to streptomycin before or after UV irradiation. Multiple drug resistant to patterns of 300 total coliform isolates revealed that 82% were resistant to two or more antibiotics. Furthermore, 46% of these isolates were capable of transferring antibiotic resistance to a sensitive strain of Escherichia coli

  19. Chemiluminescence determination of streptomycin in pharmaceutical preparation and its application to pharmacokinetic study by a flow injection analysis assembly

    Science.gov (United States)

    Du, Bin; Li, Hongyan; Jin, Jianwen; Wang, Tiantian; Li, Yang; Shen, Guopeng; Li, Xiaotian

    2013-11-01

    A novel and rapid method for the determination of streptomycin has been established by chemiluminescence (CL) based on significant intensity enhancement of streptomycin on the weak CL of N-bromosuccinimide (NBS) and eosin in alkaline medium. The method is simple, rapid and effective to determine streptomycin in the range of 8.0 × 10-9-1.0 × 10-6 g mL-1 with a determination limit of 2.25 × 10-9 g mL-1. The relative standard deviation is 1.95% for the determination of 2.0 × 10-7 g mL-1 streptomycin (n = 11). The pharmacokinetics of streptomycin in plasma of rat coincides with the two-compartment open model. The T1/2α, T1/2β, CL/F, AUC(0-t), MRT, Tmax and Cmax were 18.83 ± 1.24 min, 82.14 ± 3.07 min, 0.0026 ± 0.0011 L kg-1 min-1, 36044.50 ± 105.02 mg min-1 L-1, 92.29 ± 8.21 min, 21.63 ± 1.26 min and 375.61 ± 8.50 μg mL-1, respectively. There was no significant difference between the results obtained by CL and HPLC. The FI-CL method can be used to determine streptomycin in pharmaceutical preparation and biological samples. The established method is simple, rapid and sensitive without expensive instruments. The possible enhancement mechanism was also investigated.

  20. Primary drug-resistant tuberculosis in Hanoi, Viet Nam: present status and risk factors.

    Directory of Open Access Journals (Sweden)

    Nguyen Thi Le Hang

    Full Text Available INTRODUCTION: Resistance of Mycobacterium tuberculosis (MTB to anti-tuberculosis (TB drugs presents a serious challenge to TB control worldwide. We investigated the status of drug resistance, including multidrug-resistant (MDR TB, and possible risk factors among newly diagnosed TB patients in Hanoi, the capital of Viet Nam. METHODS: Clinical and epidemiological information was collected from 506 newly diagnosed patients with sputum smear- and culture-positive TB, and 489 (96.6% MTB isolates were subjected to conventional drug susceptibility testing, spoligotyping, and 15-locus variable numbers of tandem repeats typing. Adjusted odds ratios (aORs were calculated to analyze the risk factors for primary drug resistance. RESULTS: Of 489 isolates, 298 (60.9% were sensitive to all drugs tested. Resistance to isoniazid, rifampicin, streptomycin, ethambutol, and MDR accounted for 28.2%, 4.9%, 28.2%, 2.9%, and 4.5%, respectively. Of 24 isolates with rifampicin resistance, 22 (91.7% were MDR and also resistant to streptomycin, except one case. Factors associated with isoniazid resistance included living in old urban areas, presence of the Beijing genotype, and clustered strains [aOR = 2.23, 95% confidence interval (CI 1.15-4.35; 1.91, 1.18-3.10; and 1.69, 1.06-2.69, respectively. The Beijing genotype was also associated with streptomycin resistance (aOR = 2.10, 95% CI 1.29-3.40. Human immunodeficiency virus (HIV coinfection was associated with rifampicin resistance and MDR (aOR = 5.42, 95% CI 2.07-14.14; 6.23, 2.34-16.58, respectively. CONCLUSION: Isoniazid and streptomycin resistance was observed in more than a quarter of TB patients without treatment history in Hanoi. Transmission of isoniazid-resistant TB among younger people should be carefully monitored in urban areas, where Beijing strains and HIV coinfection are prevalent. Choosing an optimal treatment regimen on the basis of the results of drug susceptibility tests and monitoring of treatment

  1. Rifampicin versus streptomycin for brucellosis treatment in humans: A meta-analysis of randomized controlled trials.

    Science.gov (United States)

    Meng, Fanjie; Pan, Xiangpo; Tong, Wenzhen

    2018-01-01

    Brucellosis is a zoonotic disease with a high morbidity in developing countries, but there the optimal treatment is not yet determined. Therefore, the development of a simple and effective treatment is important. The aim of this study was to summarize the available evidences and compare rifampicin with streptomycin in human brucellosis with doxycycline as background regimen. We systematically searched PubMed, EmBase, and the Cochrane Library from their inception up through December 2016. We included studies with a randomized controlled design that evaluated the effect of streptomycin compared with rifampicin in human brucellosis patients who received doxycycline therapy as background regimen. The overall failure and relapse were summarized using random-effects model. Our meta-analysis included 1,383 patients with brucellosis from 14 trials. We found that patients who received rifampicin therapy had a higher risk of overall failure (RR: 2.36; 95% CI: 1.72-3.23; Pbrucellosis receiving streptomycin therapy.

  2. Antimicrobial resistance and resistance gene determinants in clinical Escherichia coli from different animal species in Switzerland.

    Science.gov (United States)

    Lanz, Roland; Kuhnert, Peter; Boerlin, Patrick

    2003-01-02

    Antimicrobial susceptibility testing was performed on a total of 581 clinical Escherichia coli isolates from diarrhea and edema disease in pigs, from acute mastitis in dairy cattle, from urinary tract infections in dogs and cats, and from septicemia in laying hens collected in Switzerland between 1999 and 2001. Among the 16 antimicrobial agents tested, resistance was most frequent for sulfonamides, tetracycline, and streptomycin. Isolates from swine presented significantly more resistance than those from the other animal species. The distribution of the resistance determinants for sulfonamides, tetracycline, and streptomycin was assessed by hybridization and PCR in resistant isolates. Significant differences in the distribution of resistance determinants for tetracycline (tetA, tetB) and sulfonamides (sulII) were observed between the isolates from swine and those from the other species. Resistance to sulfonamides could not be explained by known resistance mechanisms in more than a quarter of the sulfonamide-resistant and sulfonamide-intermediate isolates from swine, dogs and cats. This finding suggests that one or several new resistance mechanisms for sulfonamides may be widespread among E. coli isolates from these animal species. The integrase gene (intI) from class I integrons was detected in a large proportion of resistant isolates in association with the sulI and aadA genes, thus demonstrating the importance of integrons in the epidemiology of resistance in clinical E. coli isolates from animals.

  3. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    OpenAIRE

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high lev...

  4. Antimicrobial drug resistance in Staphylococcus aureus isolated from cattle in Brazil.

    Science.gov (United States)

    Pereira, M S; Siqueira-Júnior, J P

    1995-06-01

    Isolates of Staphylococcus aureus obtained from apparently healthy cattle in the State of Paraiba, Brazil were characterized in relation to resistance to 21 antimicrobial agents. Among the 46 isolates obtained, resistance to penicillin was most frequent, followed by resistance to cadmium, streptomycin, arsenate, tetracycline, mercury, erythromycin and kanamycin/neomycin. All isolates were susceptible to fusidic acid, ethidium bromide, cetrimide, chloramphenicol, benzalkonium chloride, doxycycline, gentamicin, methicillin, minocycline, novobiocin, rifamycin, tylosin and vancomycin. Only six isolates were susceptible to all the drugs tested. With respect to the antibiotics, multi-resistant isolates were uncommon. These results are probably a consequence of the peculiarities of local drug usage pressures. In relation to metal ions, resistance to mercury was rare while resistance to arsenate was relatively frequent, which contrasts with the situation for human Staph. aureus strains. After treatment with ethidium bromide, elimination of resistance to penicillin, tetracycline, streptomycin, erythromycin and cadmium was observed, which was consistent with the genetic determinants being plasmid-borne.

  5. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  6. Establishing Streptomycin Epidemiological Cut-Off Values for Salmonella and Escherichia coli

    DEFF Research Database (Denmark)

    Migura, Lourdes Garcia; Sunde, Marianne; Karlsmose, Susanne

    2011-01-01

    This study was conducted to elucidate the accuracy of the current streptomycin epidemiological cut-off value (ECOFF) for Escherichia coli and Salmonella spp. A total of 236 Salmonella enterica and 208 E. coli isolates exhibiting MICs between 4 and 32 mg/L were selected from 12 countries. Isolates...

  7. Activation of Antibiotic Production in Bacillus spp. by Cumulative Drug Resistance Mutations.

    Science.gov (United States)

    Tojo, Shigeo; Tanaka, Yukinori; Ochi, Kozo

    2015-12-01

    Bacillus subtilis strains produce a wide range of antibiotics, including ribosomal and nonribosomal peptide antibiotics, as well as bacilysocin and neotrehalosadiamine. Mutations in B. subtilis strain 168 that conferred resistance to drugs such as streptomycin and rifampin resulted in overproduction of the dipeptide antibiotic bacilysin. Cumulative drug resistance mutations, such as mutations in the mthA and rpsL genes, which confer low- and high-level resistance, respectively, to streptomycin, and mutations in rpoB, which confer resistance to rifampin, resulted in cells that overproduced bacilysin. Transcriptional analysis demonstrated that the enhanced transcription of biosynthesis genes was responsible for the overproduction of bacilysin. This approach was effective also in activating the cryptic genes of Bacillus amyloliquefaciens, leading to actual production of antibiotic(s). Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  8. Characterization of integron mediated antimicrobial resistance in Salmonella isolated from diseased swine

    Science.gov (United States)

    White, David G.; Zhao, Shaohua; McDermott, Patrick F.; Ayers, Sherry; Friedman, Sharon; Sherwood, Julie; Breider-Foley, Missy; Nolan, Lisa K.

    2003-01-01

    Forty-two Salmonella isolates obtained from diseased swine were genetically characterized for the presence of specific antimicrobial resistance mechanisms. Twenty of these isolates were characterized as S. Typhimurium DT104 strains. Pulsed-field gel electrophoresis was used to determine genetic relatedness and revealed 20 distinct genetic patterns among the 42 isolates. However, all DT104 isolates fell within 2 closely related genetic clusters. Other Salmonella isolates were genetically grouped together according to serotype. All DT104 isolates displayed the penta-resistance phenotype to ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline. Resistance to sulfamethoxazole, tetracycline, streptomycin, kanamycin, and ampicillin was most common among the non-DT104 Salmonella isolates. All DT104 strains contained 2 chromosomal integrons of 1000 and 1200 base pairs. The DNA sequencing revealed that the 2 integrons contained genes encoding a resistance to streptomycin and ampicillin, respectively. None of the non-DT104 strains showed the same pattern, although several strains possessed integrons of 1000 base pairs or larger. However, the majority of non-DT104 Salmonella strains did not possess any integrons. Two Salmonella isolates displayed tolerance to the organic solvent cyclohexane, indicating the possibility that they are overexpressing chromosomal regulatory genes marA or soxS or the associated multidrug efflux pump, acrAB. This research suggests that integrons contribute to antimicrobial resistance among specific swine Salmonella serotypes; however, they are not as widely disseminated among non-Typhimurium swine Salmonella serotypes as previously thought. PMID:12528827

  9. Resistance phenotypes and genotypes of Salmonella enterica subsp. enterica isolates from feed, pigs, and carcasses in Brazil.

    Science.gov (United States)

    Lopes, Graciela Volz; Pissetti, Caroline; da Cruz Payão Pellegrini, Débora; da Silva, Luis Eduardo; Cardoso, Marisa

    2015-02-01

    Salmonella enterica subsp. enterica plays a role as a foodborne pathogen worldwide. The consumption of contaminated pork has been associated with human salmonellosis and the increase in antimicrobial resistance among Salmonella from pigs and pork products is a concern. A total of 225 Salmonella isolates from feed mills, the lairage environment, and the intestinal contents of pigs and carcasses were investigated for their antimicrobial susceptibility. A MIC for ciprofloxacin was screened by agar dilution, and antimicrobial resistance genes were investigated by PCR assays. Among the tested isolates, 171 (76%) showed resistance to at least one antimicrobial agent, and 91 (40.4%) were multiresistant. Resistance occurred most frequently to tetracycline (54.5%), sulfonamides (39.6%), and streptomycin (33.7%). Thirty-two (94.1%) nalidixic acid-resistant isolates exhibited decreased susceptibility to ciprofloxacin. The resistance genes found were blaTEM (ampicillin), tet(A) (tetracycline), tet(B) (tetracycline/minocycline), sul1, sul2, and sul3 (sulfonamides), catA1 (chloramphenicol), floR (florfenicol/chloramphenicol), strA and strB (streptomycin), aph(3')-Ia (kanamycin), aac(3)-IIa and aac(3)-IVa (apramycin/gentamicin), aadA variant (streptomycin/spectinomycin), and dfrA1 (trimethoprim). Salmonella isolates from pig feces and carcasses displayed a higher frequency of resistance to most antimicrobials tested than isolates from feed mills. Common resistance gene profiles were found in isolates from the lairage and the intestinal content of pigs and carcasses, demonstrating that resistance genes selected on farms may be found in pork.

  10. Occurrence of integrons and resistance genes among sulphonamide-resistant Shigella spp. from Brazil

    DEFF Research Database (Denmark)

    Peirano, G.; Agersø, Yvonne; Aarestrup, Frank Møller

    2005-01-01

    Objectives: To determine the occurrence of class 1 and 2 integrons and antimicrobial resistance genes among sulphonamide-resistant Shigella strains isolated in Brazil during 1999-2003. Methods: Sixty-two Shigella (Shigella flexneri, n = 47 and Shigella sonnei, n = 15) were tested against 21...... antimicrobial agents. The presence of integrons classes 1 and 2 and antimicrobial resistance genes was investigated by PCR using specific primers. Results: A total of eight antimicrobial resistance profiles were identified, with the profile of resistance to sulfamethoxazole, trimethoprim, spectinomycin...... of 2214 bp harbouring a gene cassette array conferring resistance to trimethoprim, streptothricin and spectinomycin/streptomycin. The genes coding for resistance to chloramphenicol (catA1), tetracycline [tet(A) and tet(B)] and ampicillin (bla(OXA) and bla(TEM)), were detected in resistant strains...

  11. Simple and rapid method on High Performance Liquid Chromatography for simultaneous determination of benzylpenicillin potassium, streptomycin sulphate and related substances in Ascomicin – a veterinary use ointment

    Directory of Open Access Journals (Sweden)

    Neagu Maria

    2015-06-01

    Full Text Available A new simple, rapid, accurate and precise High – Performance Liquid Chromatography (HPLC method for determination of benzylpenicillin potassium and streptomycin sulphate in Ascomicin ointment was developed and validated. The method can be used for the detection and quantification of known and unknown impurities and degradation products in this pharmaceutical product during routine analysis and also for stability studies in view of its capability to separate degradation products. The method was validated for accuracy, precision, specificity, robustness and quantification limits according to ICH Guidelines. The estimation of benzylpenicillin potassium and streptomycin sulphate was done by Waters HPLC 2695. The chromatographic conditions comprised a reverse-phased C18 column (5 µm particle size, 250 mm×4.6 mm i.d. with a mobile phase consisting of a mixture of solution in water containing 0.025 M of sodium phosphate dibasic and 0.02 of sodium hexansulfonate adjusted to pH 6.0 with 22.5 g/lsolution of phosphoric acid and acetonitrile in gradient elution. The flow rate was 0.8 ml/min. Standard curves were linear over the concentration range of 5.00 µg/ml to 5.00 mg/ml for streptomycin sulphate and 3.26 µg/ml to 3.26 mg/ml for benzylpenicillin potassium. Statistical analyses proved the method was precise, reproducible, selective, specific and accurate for analysis of benzylpenicillin potassium, streptomycin sulphate and related substances.

  12. Antibiotic Resistance of Diverse Bacteria from Aquaculture in Borneo

    Directory of Open Access Journals (Sweden)

    M. M. Kathleen

    2016-01-01

    Full Text Available The administration of antimicrobials in aquaculture provides a selective pressure creating a reservoir of multiple resistant bacteria in the cultured fish and shrimps as well as the aquaculture environment. The objective of this study was to determine the extent of antibiotic resistance in aquaculture products and aquaculture’s surrounding environment in Sarawak, Malaysian Borneo. Ninety-four identified bacterial isolates constituted of 17 genera were isolated from sediment, water, and cultured organisms (fish and shrimp in selected aquaculture farms. These isolates were tested for their antibiotic resistance against 22 antibiotics from several groups using the disk diffusion method. The results show that the highest resistance was observed towards streptomycin (85%, n=20, while the lowest resistance was towards gentamicin (1.1%, n=90. The multiple antibiotic resistant (MAR index of the isolates tested ranged between 0 and 0.63. It was suggested that isolates with MAR index > 0.2 were recovered from sources with high risk of antibiotic resistant contamination. This study revealed low level of antibiotic resistance in the aquaculture bacterial isolates except for streptomycin and ampicillin (>50% resistance, n=94 which have been used in the aquaculture industry for several decades. Antibiotic resistant patterns should be continuously monitored to predict the emergence and widespread of MAR. Effective action is needed to keep the new resistance from further developing and spreading.

  13. Antibiotic resistance and biofilm formation of some bacteria isolated from sediment, water and fish farms in Malaysia

    Science.gov (United States)

    Faja, Orooba Meteab; Usup, Gires; Ahmad, Asmat

    2018-04-01

    A total of 90 isolates of bacteria were isolated, from sediment (10) samples, water (10) samples and fish (12) samples (Sea bass, Snapper, Grouper and Tilapia). These include 22 isolates of bacteria from sediment, 28 isolates from water and 40 isolates from fish. All the isolates were tested for sensitivity to 13 antibiotics using disc diffusion method. The isolates showed high resistance to some antibiotics based on samples source. Isolates from sediment showed highest resistance toward novobiocin, kanamycin, ampicillin and streptomycin while isolates from water showed highest resistance against vancomycin, penicillin, streptomycin and tetracycline, in contrast, in fish sample showed highest resistance toward vancomycin, ampicillin, streptomycin and tetracycline. Most of the isolates showed biofilm formation ability with different degrees. Out of 22 bacteria isolates from water, two isolates were weak biofilm formers, six isolates moderate biofilm formers and fourteen isolates strong biofilm formers. While, out of 28 bacteria isolates from water one isolate was weak biofilm former, five isolates moderate biofilm formers and 22 strong biofilm formers Fish isolate showed three isolates (8%) moderate biofilm formers and 27 isolates strong biofilm formers. Biofilm formation was one of the factors that lead to antibiotic resistance of the bacterial isolates from these samples.

  14. Dielectrophoretic assay of bacterial resistance to antibiotics

    International Nuclear Information System (INIS)

    Johari, Juliana; Huebner, Yvonne; Hull, Judith C; Dale, Jeremy W; Hughes, Michael P

    2003-01-01

    The dielectrophoretic collection spectra of antibiotic-sensitive and antibiotic-resistant strains of Staphylococcus epidermidis have been determined. These indicate that in the absence of antibiotic treatment there is a strong similarity between the dielectric properties of sensitive and resistant strains, and that there is a significant difference between the sensitive strains before and after treatment with the antibiotic streptomycin after 24 h exposure. This method offers possibilities for the assessment of bacterial resistance to antibiotics. (note)

  15. Field Efficiency Trial of 72% Streptomycin against Konjac Bacterial Soft Rot

    Institute of Scientific and Technical Information of China (English)

    Huang; Yongsheng; Li; Xiaojun; Zhu; Shijin; Ma; Yongsheng; Wang; Li

    2014-01-01

    72% Streptomycin soluble powder was used to control konjac bacterial soft rot in the study. The control efficiency and yield of different treatments were investigated,and the benefit was analyzed. The control scheme against konjac bacterial soft rot was as follows: spraying 72% atreptomycinon twice on rotation fields after all the seedlings were strong and uniform,or irrigating roots with 72% atreptomycinon once and spraying twice on continuous cropping fields.

  16. Sewage sludge and liquid pig manure as possible sources of antibiotic resistant bacteria.

    Science.gov (United States)

    Hölzel, Christina S; Schwaiger, Karin; Harms, Katrin; Küchenhoff, Helmut; Kunz, Anne; Meyer, Karsten; Müller, Christa; Bauer, Johann

    2010-05-01

    Within the last decades, the environmental spread of antibiotic resistant bacteria has become a topic of concern. In this study, liquid pig manure (n=305) and sewage sludge (n=111) - used as agricultural fertilizers between 2002 and 2005 - were investigated for the presence of Escherichia coli, Enterococcus faecalis and Enterococcus faecium. Bacteria were tested for their resistance against 40 chemotherapeutics including several "reserve drugs". E. coli (n=613) from pig manure were at a significantly higher degree resistant to streptomycin, doxycycline, spectinomycin, cotrimoxazole, and chloramphenicol than E. coli (n=116) from sewage sludge. Enterococci (Ent. faecalis, n=387, and Ent. faecium, n=183) from pig manure were significantly more often resistant to high levels of doxycycline, rifampicin, erythromycin, and streptomycin than Ent. faecalis (n=44) and Ent. faecium (n=125) from sewage sludge. Significant differences in enterococcal resistance were also seen for tylosin, chloramphenicol, gentamicin high level, fosfomycin, clindamicin, enrofloxacin, moxifloxacin, nitrofurantoin, and quinupristin/dalfopristin. By contrast, aminopenicillins were more effective in enterococci from pig manure, and mean MIC-values of piperacillin+tazobactam and third generation cefalosporines were significantly lower in E. coli from pig manure than in E. coli from sewage sludge. 13.4% (E. coli) to 25.3% (Ent. faecium) of pig manure isolates were high-level multiresistant to substances from more than three different classes of antimicrobial agents. In sewage sludge, high-level-multiresistance reached from 0% (Ent. faecalis) to 16% (Ent. faecium). High rates of (multi-) resistant bacteria in pig manure emphasize the need for a prudent - cautious - use of antibiotics in farm animals. Copyright 2010 Elsevier Inc. All rights reserved.

  17. A study on the mechanism of resistance to streptomycin in ...

    African Journals Online (AJOL)

    use

    2011-12-12

    1973), and the length of lesions on the inoculated leaf was measured after 15 days. DNA isolation. DNA was isolated from the wild-type isolate and resistant strains as described by Ausubel et al. (1987). After the bacteria were ...

  18. Baseline response rates affect resistance to change.

    Science.gov (United States)

    Kuroda, Toshikazu; Cook, James E; Lattal, Kennon A

    2018-01-01

    The effect of response rates on resistance to change, measured as resistance to extinction, was examined in two experiments. In Experiment 1, responding in transition from a variable-ratio schedule and its yoked-interval counterpart to extinction was compared with pigeons. Following training on a multiple variable-ratio yoked-interval schedule of reinforcement, in which response rates were higher in the former component, reinforcement was removed from both components during a single extended extinction session. Resistance to extinction in the yoked-interval component was always either greater or equal to that in the variable-ratio component. In Experiment 2, resistance to extinction was compared for two groups of rats that exhibited either high or low response rates when maintained on identical variable-interval schedules. Resistance to extinction was greater for the lower-response-rate group. These results suggest that baseline response rate can contribute to resistance to change. Such effects, however, can only be revealed when baseline response rate and reinforcement rate are disentangled (Experiments 1 and 2) from the more usual circumstance where the two covary. Furthermore, they are more cleanly revealed when the programmed contingencies controlling high and low response rates are identical, as in Experiment 2. © 2017 Society for the Experimental Analysis of Behavior.

  19. Increasing resistant coagulase negative staphylococci in bovine clinical mastitis.

    Science.gov (United States)

    Moniri, R; Dastehgoli, K; Akramian, A

    2007-08-01

    The aim of this study was to determine Coagulase Negative Staphylococci (CNS) and other bacteria for their resistance to antimicrobial agents approved for the control of pathogens involved in clinical bovine mastitis. This descriptive study was done on 106 milk samples obtained from clinical mastitis in dairy cattle husbandry from April 2006 through August 2006 in Kashan, Iran. From the total of 106 milk samples collected from clinical mastitis, 96 (90.6%) lead to positive culture. Coagulase negative Staphylococci isolated in 51 out of 96 samples (53.1%), Staphylococcus aureus isolated in 21 out of 96 (21.9%), gram negative bacilli isolated in 14 out of 96 (14.6%) and Enterococci isolated in 4 (4.2%). The highest rate of resistant CNS observed to penicillin (56.6%) and the highest rate of sensitivity to enrofloxacin 100%, followed by kanamycin, streptomycin and neomycin, 92.2, 82.3 and 82.3%, respectively. The highest rate of resistance S. aureus exhibited to penicillin (66.6%); while the highest rate of sensitivity showed to trimethoprim-sulphamethoxasole (81%), followed by kanamycin and enrofloxacin both at 76.2%. The highest rate of resistance gram negative bacilli exhibited to ampicillin and erythromycin at 71.4%. Their highest rate of sensitivity observed to enrofloxacin (78.6%), followed by kanamycin, (71.4%). In recent years, CNS is emerging as important minor mastitis pathogens and can be the cause of substantial economic losses. The high resistance rate to penicillin and other antibiotics found in this study emphasize the importance of identification of CNS when a bovine clinical mastitis is present.

  20. Supplementary Material for: Detection of antibiotic resistance in probiotics of dietary supplements

    KAUST Repository

    Wong, Aloysius Tze; Ngu, Davey; Dan, Lydia; Ooi, Amanda Siok Lee; Lim, Renee

    2015-01-01

    , streptomycin and ciprofloxacin antibiotics. Additionally, we also report a discrepancy between the enumerated viable bacteria amounts and the claims of the manufacturers. Conclusions This short report has highlighted the present of antibiotic resistance

  1. Detection of antibiotic resistance in probiotics of dietary supplements

    KAUST Repository

    Wong, Aloysius Tze

    2015-09-14

    Background Probiotics are live microorganisms that confer nutrition- and health-promoting benefits if consumed in adequate amounts. Concomitant with the demand for natural approaches to maintaining health is an increase in inclusion of probiotics in food and health products. Since probiotic bacteria act as reservoir for antibiotic resistant determinants, the transfer of these genes to pathogens sharing the same intestinal habitat is thus conceivable considering the fact that dietary supplements contain high amounts of often heterogeneous populations of probiotics. Such events can confer pathogens protection against commonly-used drugs. Despite numerous reports of antibiotic resistant probiotics in food and biological sources, the antibiogram of probiotics from dietary supplements remained elusive. Findings Here, we screened five commercially available dietary supplements for resistance towards antibiotics of different classes. Probiotics of all batches of products were resistant towards vancomycin while batch-dependent resistance towards streptomycin, aztreonam, gentamycin and/or ciprofloxacin antibiotics was detected for probiotics of brands Bi and Bn, Bg, and L. Isolates of brand Cn was also resistant towards gentamycin, streptomycin and ciprofloxacin antibiotics. Additionally, we also report a discrepancy between the enumerated viable bacteria amounts and the claims of the manufacturers. Conclusions This short report has highlighted the present of antibiotic resistance in probiotic bacteria from dietary supplements and therefore serves as a platform for further screenings and for in-depth characterization of the resistant determinants and the molecular machinery that confers the resistance.

  2. UV light-induced survival response in a highly radiation-resistant isolate of the Moraxella-acinetobacter group

    International Nuclear Information System (INIS)

    Keller, L.C.; Thompson, T.L.; Maxcy, R.B.

    1982-01-01

    A highly radiation-resistant member of the Moraxella-Acinetobacter group, isolate 4, obtained from meat, was studied to determine the effect of preexposure to UV radiation on subsequent UV light resistance. Cultures that were preexposed to UV light and incubated for a short time in plate count broth exhibited increased survival of a UV light challenge dose. This response was inhibited in the presence of chloramphenicol. Frequencies of mutation to streptomycin, trimethoprim, and sulfanilamide resistance remained the same after the induction of this survival response and were not altered by treatment with mutagens, with the exception of mutation to streptomycin resistance after γ-irradiation or nitrosoguanidine or methyl methane sulfonate treatment. The results indicated that isolate 4 has a UV light-inducible UV light resistance mechanism which is not associated with increased mutagenesis. The characteristics of the radiation resistance response in this organism are similar to those of certain other common food contaminants. Therefore, considered as part of the total microflora of meat, isolate 4 and the other radiation-resistant Moraxella-Acinetobacter isolates should not pose unique problems in a proposed radappertizaton process

  3. In vitro growth response of Phytophthora cactorum, P. nicotianae and P. × pelgrandis to antibiotics and fungicides.

    Science.gov (United States)

    Pánek, M; Tomšovský, M

    2017-07-01

    The reactions of isolates of Phytophthora cactorum, P. nicotianae and P. × pelgrandis to metalaxyl, mancozeb, dimethomorph, streptomycin and chloramphenicol were tested to obtain information about the variability of resistance in these pathogens. Distinct genetic groups showed significant differences in resistance to all tested substances except streptomycin. In response to streptomycin, the growth inhibition rates of distinct groups did not differ significantly. The most remarkable differences were detected in the reactions to chloramphenicol and metalaxyl. Discriminant analysis evaluating the effect of all substances confirmed the differences among the groups, which are in agreement with the differences revealed by earlier DNA analyses.

  4. Reduced antimicrobial potencies of Oxytetracycline, tylosin, sulfadiazine, streptomycin, ciprofloxacin and olaquindox due to environmental processes

    DEFF Research Database (Denmark)

    Halling-Sørensen, Bent; Sengeløv, G.; Ingerslev, Flemming

    2003-01-01

    The stability of oxytetracycline (OTC), tylosin (TYL), sulfadiazin (SDZ), streptomycin (ST), ciprofloxacin (CF) and olaquindox (O) was examined in environmentally relevant matrices, such as soil interstitial water and sewage sludge water. Compounds were assessed in both aerobic (OTC, TYL, SDZ, ST...

  5. Characterisation of recently emerged multiple antibiotic-resistant Salmonella enterica serovar typhimurium DT104 and other multiresistant phage types from Danish pig herds

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1998-01-01

    electrophoresis (PFGE) using the restriction enzyme Xba I, Overall, 66 per cent of the 670 isolates were sensitive to all the antimicrobial agents tested. Eleven isolates of S typhimurium were resistant to ampicillin, streptomycin and tetracycline and also resistant to other antibiotics in different resistance...... patterns. Seven different multiresistant clones were identified, The most common clones were four isolates of DT104 and three isolates of DT193, TWO Of the three S typhimurium DT104 from 1994 and 1995 were sensitive to all the antimicrobials tested whereas the remaining isolate from 1994 was resistant......A total of 670 isolates of Salmonella enterica were isolated from Danish pig herds, phage typed and tested for susceptibility to amoxycillin + clavulanate, ampicillin, colistin, enrofloxacin, gentamicin, neomycin, spectinomycin, streptomycin, tetracyclines, and trimethoprim + sulphadiazine. S...

  6. Molecular genetics of Mycobacterium tuberculosis resistant to aminoglycosides and cyclic peptide testing by MTBDRsl in Armenia

    Directory of Open Access Journals (Sweden)

    Hasmik Margaryan

    2016-01-01

    Conclusion: Isolates with rrs structural gene mutations were cross-resistant to streptomycin, KAN, CAP, and AMK. Detection of the A1401G mutation appeared to be 100% specific for the detection of resistance to KAN and AMK. Being the first assessment, these data establish the presence of phenotypic drug-resistant and extensively drug-resistant strains using molecular profiling and are helpful in understanding aminoglycoside resistance on a molecular level.

  7. PCR (Polymerase Chain Reaction) Assay On Antibiotics Resistant Clinical Isolates Of Mycobacterium tuberculosis

    International Nuclear Information System (INIS)

    R, Maria Lina; S, Dadang; Suhadi, F.

    2000-01-01

    To detect to DNA of 9 drug-resistant isolates of m. tuberculosis such as isoniazid, streptomycin, isoniazid + streptomycin and isoniazid + rifampisin- resistant isolates, the DNA amplification by using PCR assay was carried out after lysing the bacterial cells. Two primer pairs for amplification used were Pt8 and Pt9 and Pt3 and Pt6. The amplified DNA taeget of 8 drug-resistant isolates and 1 drug-resistant isolate by means Pt8 8 Pt9 primer, gave the positive and negative result, respectively. Presence of amplified DNA target fragmens/bands on agarose gel, showed the positive result and vice verse. PCR process by using Pt3 and Pt6 primer revealed the positive results on 2 drug-resistant islates, whereas there was no amplified DNA bands from the other 7 isolates. DNA amplification by using either Pt8 and Pt9 or Pt3 and Pt6 primers occurred on H sub.37Rv strain DNA. Size of the amplified DNA products with Pt8 and Pt9 and Pt3 and Pt6 primers were 541 bp and 188 bp, respectively

  8. Cadmium-mediated resistance to metals and antibiotics in a cyanobacterium

    Energy Technology Data Exchange (ETDEWEB)

    Singh, S.P.; Pandey, A.K.

    1982-01-01

    Cadmium-resistant strains of the cyanobacterium Nostoc calcicola were isolated through the step-wise transfer of the organism to higher levels of the metal. One of the Cd-resistant strains (CDsup(r)-10) showed cross-resistance to antibiotics like neomycin (1 ..mu..g/ml), chloramphenicol (3 ..mu..g/ml) but not to streptomycin. The Cd-resistant strain also tolerated elevated levels of metals such as zinc 20 ppm) and mercury (1 ppm). The stability of the metal-resistance required the presence of Cd/sup 2 +/ ions in the growth medium. It is suggested that metal resistance may also be determined by gene(s) on the antibiotic resistance plasmids in cyanobacteria.

  9. A high prevalence of antimicrobial resistant Escherichia coli isolated from pigs and a low prevalence of antimicrobial resistant E. coli from cattle and sheep in Great Britain at slaughter.

    Science.gov (United States)

    Enne, Virve I; Cassar, Claire; Sprigings, Katherine; Woodward, Martin J; Bennett, Peter M

    2008-01-01

    The incidence of antimicrobial resistance and expressed and unexpressed resistance genes among commensal Escherichia coli isolated from healthy farm animals at slaughter in Great Britain was investigated. The prevalence of antimicrobial resistance among the isolates varied according to the animal species; of 836 isolates from cattle tested only 5.7% were resistant to one or more antimicrobials, while only 3.0% of 836 isolates from sheep were resistant to one or more agents. However, 92.1% of 2480 isolates from pigs were resistant to at least one antimicrobial. Among isolates from pigs, resistance to some antimicrobials such as tetracycline (78.7%), sulphonamide (66.9%) and streptomycin (37.5%) was found to be common, but relatively rare to other agents such as amikacin (0.1%), ceftazidime (0.1%) and coamoxiclav (0.2%). The isolates had a diverse range of resistance gene profiles, with tet(B), sul2 and strAB identified most frequently. Seven out of 615 isolates investigated carried unexpressed resistance genes. One trimethoprim-susceptible isolate carried a complete dfrA17 gene but lacked a promoter for it. However, in the remaining six streptomycin-susceptible isolates, one of which carried strAB while the others carried aadA, no mutations or deletions in gene or promoter sequences were identified to account for susceptibility. The data indicate that antimicrobial resistance in E. coli of animal origin is due to a broad range of acquired genes.

  10. Supplementary Material for: Detection of antibiotic resistance in probiotics of dietary supplements

    KAUST Repository

    Wong, Aloysius Tze

    2015-01-01

    Abstract Background Probiotics are live microorganisms that confer nutrition- and health-promoting benefits if consumed in adequate amounts. Concomitant with the demand for natural approaches to maintaining health is an increase in inclusion of probiotics in food and health products. Since probiotic bacteria act as reservoir for antibiotic resistant determinants, the transfer of these genes to pathogens sharing the same intestinal habitat is thus conceivable considering the fact that dietary supplements contain high amounts of often heterogeneous populations of probiotics. Such events can confer pathogens protection against commonly-used drugs. Despite numerous reports of antibiotic resistant probiotics in food and biological sources, the antibiogram of probiotics from dietary supplements remained elusive. Findings Here, we screened five commercially available dietary supplements for resistance towards antibiotics of different classes. Probiotics of all batches of products were resistant towards vancomycin while batch-dependent resistance towards streptomycin, aztreonam, gentamycin and/or ciprofloxacin antibiotics was detected for probiotics of brands Bi and Bn, Bg, and L. Isolates of brand Cn was also resistant towards gentamycin, streptomycin and ciprofloxacin antibiotics. Additionally, we also report a discrepancy between the enumerated viable bacteria amounts and the claims of the manufacturers. Conclusions This short report has highlighted the present of antibiotic resistance in probiotic bacteria from dietary supplements and therefore serves as a platform for further screenings and for in-depth characterization of the resistant determinants and the molecular machinery that confers the resistance.

  11. Public health impact of isoniazid-resistant Mycobacterium tuberculosis strains with a mutation at amino-acid position 315 of katG: a decade of experience in The Netherlands

    NARCIS (Netherlands)

    van Doorn, H. R.; de Haas, P. E. W.; Kremer, K.; Vandenbroucke-Grauls, C. M. J. E.; Borgdorff, M. W.; van Soolingen, D.

    2006-01-01

    A previous limited study demonstrated that Mycobacterium tuberculosis isolates with a mutation at amino-acid position 315 of katG (Delta315) exhibited high-level resistance to isoniazid and were more frequently resistant to streptomycin. In the present study, isoniazid-resistant M. tuberculosis

  12. An outbreak of multidrug-resistant, quinolone-resistant Salmonella enterica serotype typhimurium DT104

    DEFF Research Database (Denmark)

    Molbak, K.; Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1999-01-01

    Background Food-borne salmonella infections have become a major problem in industrialized countries. The strain of Salmonella enterica serotype typhimurium known as definitive phage type 104 (DT104) is usually resistant to five drugs: ampicillin, chloramphenicol, streptomycin, sulfonamides......, and tetracycline. An increasing proportion of DT104 isolates also have reduced susceptibility to fluoroquinolones. Methods The Danish salmonella surveillance program determines the phage types of all typhimurium strains from the food chain, and in the case of suspected outbreaks, five-drug-resistant strains...... are characterized by molecular methods. All patients infected with five-drug-resistant typhimurium are interviewed to obtain clinical and epidemiologic data. In 1998, an outbreak of salmonella occurred, in which the strain of typhimurium DT104 was new to Denmark. We investigated this outbreak and report our...

  13. Emergence of fluoroquinolone resistance among drug resistant tuberculosis patients at a tertiary care facility in Karachi, Pakistan.

    Science.gov (United States)

    Zaidi, Syed Mohammad Asad; Haseeb, Abdul; Habib, Shifa Salman; Malik, Amyn; Khowaja, Saira; SaifUllah, Nausheen; Rizvi, Nadeem

    2017-07-25

    Pakistan is classified as one of the high multi-drug resistant tuberculosis (MDR-TB) burden countries. A poorly regulated private sector, over-prescription of antibiotics and self-medication has led to augmented rates of drug-resistance in the country. Pakistan's first national anti-tuberculosis drug resistance survey identified high prevalence of fluoroquinolone resistance among MDR-TB patients. Further institutional evidence of fluoroquinolone drug-resistance can support re-evaluation of treatment regimens as well as invigorate efforts to control antibiotic resistance in the country. In this study, data for drug-susceptibility testing (DST) was retrospectively analyzed for a total of 133 patients receiving MDR-TB treatment at the Chest Department of Jinnah Postgraduate Medical Center, Karachi, Pakistan. Frequency analyses for resistance patterns was carried out and association of fluoroquinolone (ofloxacin) resistance with demographics and past TB treatment category were assessed. Within first-line drugs, resistance to isoniazid was detected in 97.7% of cases, followed by rifampicin (96.9%), pyrazinamide (86.4%), ethambutol (69.2%) and streptomycin (64.6%). Within second-line drugs, ofloxacin resistance was detected in 34.6% of cases. Resistance to ethionamide and amikacin was 2.3% and 1.6%, respectively. Combined resistance of oflaxacin and isoniazid was detected in 33.9% of cases. Age, gender and past TB treatment category were not significantly associated with resistance to ofloxacin. Fluoroquinolone resistance was observed in an alarmingly high proportion of MDR-TB cases. Our results suggest caution in their use for empirical management of MDR-TB cases and recommended treatment regimens for MDR-TB may require re-evaluation. Greater engagement of private providers and stringent pharmacy regulations are urgently required.

  14. The streptomycin-treated mouse intestine selects Escherichia coli envZ missense mutants that interact with dense and diverse intestinal microbiota.

    Science.gov (United States)

    Leatham-Jensen, Mary P; Frimodt-Møller, Jakob; Adediran, Jimmy; Mokszycki, Matthew E; Banner, Megan E; Caughron, Joyce E; Krogfelt, Karen A; Conway, Tyrrell; Cohen, Paul S

    2012-05-01

    Previously, we reported that the streptomycin-treated mouse intestine selected nonmotile Escherichia coli MG1655 flhDC deletion mutants of E. coli MG1655 with improved colonizing ability that grow 15% faster in vitro in mouse cecal mucus and 15 to 30% faster on sugars present in mucus (M. P. Leatham et al., Infect. Immun. 73:8039-8049, 2005). Here, we report that the 10 to 20% remaining motile E. coli MG1655 are envZ missense mutants that are also better colonizers of the mouse intestine than E. coli MG1655. One of the flhDC mutants, E. coli MG1655 ΔflhD, and one of the envZ missense mutants, E. coli MG1655 mot-1, were studied further. E. coli MG1655 mot-1 is more resistant to bile salts and colicin V than E. coli MG1655 ΔflhD and grows ca. 15% slower in vitro in mouse cecal mucus and on several sugars present in mucus compared to E. coli MG1655 ΔflhD but grows 30% faster on galactose. Moreover, E. coli MG1655 mot-1 and E. coli MG1655 ΔflhD appear to colonize equally well in one intestinal niche, but E. coli MG1655 mot-1 appears to use galactose to colonize a second, smaller intestinal niche either not colonized or colonized poorly by E. coli MG1655 ΔflhD. Evidence is also presented that E. coli MG1655 is a minority member of mixed bacterial biofilms in the mucus layer of the streptomycin-treated mouse intestine. We offer a hypothesis, which we call the "Restaurant" hypothesis, that explains how nutrient acquisition in different biofilms comprised of different anaerobes can account for our results.

  15. [Antituberculosis-drug resistance in the border of Brazil with Paraguay and Bolivia].

    Science.gov (United States)

    Marques, Marli; Cunha, Eunice Atsuko Totumi; Evangelista, Maria do Socorro Nantua; Basta, Paulo Cesar; Marques, Ana Maria Campos; Croda, Julio; de Andrade, Sonia Maria Oliveira

    2017-04-20

    To estimate the rate of drug resistance among pulmonary tuberculosis (PTB) cases in the state of Mato Grosso do Sul, Brazil, and specifically in the border areas with Paraguay and Bolivia, as well as to identify associated risk factors. The present cross-sectional, epidemiological study focused on PTB cases recorded between January 2007 and December 2010 in the State Reportable Disease Information System with results of susceptibility tests to rifampicin, isoniazid, ethambutol, and streptomycin. Dependent variables were development of resistance to a single drug or any combination of drugs. Independent variables were being a new or treated case, living in border areas, presence/absence of diabetes, and history of alcoholism. There were 789 TBP cases with susceptibility testing. The following characteristics were associated with resistance: treated case (P = 0.0001), border region (P = 0.0142), alcoholism (P = 0.0451), and diabetes (P = 0.0708). The rates of combined, primary, and acquired resistance for the state were 16.3%, 10.6%, and 39.0%, vs. 22.3%, 19.2%, and 37.5% for the border region. The rates of combined, primary, and acquired multidrug resistance for the state were 1.8%, 0.6%, and 6.3%, vs. 3.1%, 1.2%, and 12.5% for the border region. In the border region, the state should investigate drug resistance in all patients with respiratory symptoms, determine the pattern of resistance in confirmed cases, adopt directly observed treatment for cases of PTB, and develop health actions together with neighboring countries. Across the state, the levels of acquired resistance should be monitored, with investigation of resistance in all treated cases and implementation of directly observed treatment especially among patients with diabetes or alcoholism.

  16. Prevalence of multiple drug resistant Streptococcus suis in and around Guwahati, India

    Directory of Open Access Journals (Sweden)

    Mrinalee Devi

    2017-05-01

    Full Text Available Aim: This study was conducted to determine the prevalence and antimicrobial susceptibility of Streptococcus suis and their resistance patterns isolated from both clinically healthy carriers and diseased pigs in and around Guwahati, Assam, India. Materials and Methods: A total of 497 samples were collected during October, 2012, to April, 2014, from clinically healthy (n=67 and diseased (n=230 pigs of varying age and either sex maintained under organized and unorganized farming systems. Samples were processed for isolation and identification of S. suis by biochemical characterization and polymerase chain reaction targeting the housekeeping gene glutamate dehydrogenase. In vitro antimicrobial susceptibility of the recovered isolates against nine antibiotic groups comprising 17 antimicrobial agents was studied by standard method. Results: Of the 497 samples examined, 7 (1.41% isolates were confirmed to be S. suis of which 5 (1.87% and 2 (0.87% were derived from clinically healthy and diseased pigs, respectively. All the isolates were susceptible to gentamicin, amikacin, and erythromycin (100% followed by the penicillin group and enrofloxacin (85.71%, ceftriaxone, doxycycline HCL, ofloxacin and chloramphenicol (71.43%, to kanamycin, clindamycin and co-trimoxazole (42.85%. The isolates showed least susceptibility to cefalexin, tetracycline and streptomycin (28.57%. All the five S. suis isolates from clinically healthy pigs were susceptible to penicillin G, amoxyclav, doxycycline HCl, gentamicin, amikacin and erythromycin, 80.00% isolates susceptible to ampicillin, enrofloxacin and ofloxacin, 60.00% to ceftriaxone, kanamycin and chloramphenicol, 40% to cefalexin, tetracycline, clindamycin and co-trimoxazole, respectively. Only 20.00% isolates were susceptible to streptomycin. Both the isolates recovered from diseased pigs were susceptible to ampicillin, ceftriaxone, gentamicin, amikacin, enrofloxacin, erythromycin, and clindamycin. On the other hand

  17. Antimicrobial susceptibility and occurrence of resistance genes among Salmonella enterica serovar Weltevreden from different countries

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Lertworapreecha, M.; Evans, M.C.

    2003-01-01

    and gentamicin. All nine ampicillin-resistant isolates contained a sequence similar to the bla(TEM-1b) gene, one of the eight chloramphenicol-resistant isolates a sequence similar to the catA1 gene, all three neomycin-resistant isolates a sequence similar to the aphA-2 gene, 16 (73%) of the 22 streptomycin...... isolates were examined for susceptibility to antimicrobial agents, and resistant isolates were examined for the presence of selected resistance genes by PCR. Results: Only 48 (9.5%) of the isolates were resistant to one or more of the antimicrobial agents tested. A low frequency of resistance was found...

  18. ORIGINAL ARTICLE: Multidrug Resistance and Phage Pattern of Staphylococcus aureus in Pyoderma Cases

    Directory of Open Access Journals (Sweden)

    Sanjay M. Wavare

    2012-01-01

    Full Text Available Background: Pyoderma is common in India and other tropical countries. Staphylococcus aureus is the commonest causative agent ofpyoderma. Aims and Objectives: To know the antibiotic susceptibility and bacteriophage pattern of Staphylococcus aureus isolated from pyoderma infection. Materials and Methods: One hundred clinically diagnosed pyoderma cases were investigated bacteriologically. A total of 59 isolates of S. aureus were subjected to antibioticsusceptibility testing by Kirby Bauer’s disk diffusion method and phage typing by routine test dilution X 100 bacteriophages. Results: Most of the strains were resistant to penicillin, ampicillin and were susceptible to gentamicin, streptomycin and erythromycin. Multidrug resistance was also high among these strains. Regarding the phage types, Phage type 52 (15 strains, 96 (8 strains and 71(16strains were predominant among the typed strains (55.95% of S. aureus. The most common group was mixed phage group (17% followed by phage group I (13.55%. Conclusion: Knowledge of antibioticsusceptibility pattern is essential to give proper antibiotic therapy and avoid unnecessary medication with non-effective drugs, which may increase resistance. Gentamicin, streptomycin and erythromycin are the drugs of choice in that order. Association of phage typing and antibiotic sensitivity of S. aureus showed the predominance of phage group III with greater frequency of penicillin resistance.

  19. High counting rate resistive-plate chamber

    International Nuclear Information System (INIS)

    Peskov, V.; Anderson, D.F.; Kwan, S.

    1993-05-01

    Parallel-plate avalanche chambers (PPAC) are widely used in physics experiments because they are fast ( 5 counts/mm 2 . A resistive-plate chamber (RPC) is similar to the PPAC in construction except that one or both of the electrodes are made from high resistivity (≥10 10 Ω·cm) materials. In practice RPCs are usually used in the spark mode. Resistive electrodes are charged by sparks, locally reducing the actual electric field in the gap. The size of the charged surface is about 10 mm 2 , leaving the rest of the detector unaffected. Therefore, the rate capability of such detectors in the spark mode is considerably higher than conventional spark counters. Among the different glasses tested the best results were obtained with electron type conductive glasses, which obey Ohm's law. Most of the work with such glasses was done with high pressure parallel-plate chambers (10 atm) for time-of-flight measurements. Resistive glasses have been expensive and produced only in small quantities. Now resistive glasses are commercially available, although they are still expensive in small scale production. From the positive experience of different groups working with the resistive glasses, it was decided to review the old idea to use this glass for the RPC. This work has investigated the possibility of using the RPC at 1 atm and in the avalanche mode. This has several advantages: simplicity of construction, high rate capability, low voltage operation, and the ability to work with non-flammable gases

  20. Molecular Characterization of Multidrug-Resistant Salmonella enterica subsp. enterica Serovar Typhimurium Isolates from Swine

    OpenAIRE

    Gebreyes, Wondwossen Abebe; Altier, Craig

    2002-01-01

    As part of a longitudinal study of antimicrobial resistance among salmonellae isolated from swine, we studied 484 Salmonella enterica subsp. enterica serovar Typhimurium (including serovar Typhimurium var. Copenhagen) isolates. We found two common pentaresistant phenotypes. The first was resistance to ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline (the AmCmStSuTe phenotype; 36.2% of all isolates), mainly of the definitive type 104 (DT104) phage type (180 of 187 ...

  1. Antimicrobial resistance profile of Enterococcus spp isolated from food in Southern Brazil

    Science.gov (United States)

    Riboldi, Gustavo Pelicioli; Frazzon, Jeverson; d’Azevedo, Pedro Alves; Frazzon, Ana Paula Guedes

    2009-01-01

    Fifty-six Enterococcus spp. strains were isolated from foods in Southern Brazil, confirmed by PCR and classified as Enterococcus faecalis (27), Enterococcus faecium (23) and Enterococcus spp (6). Antimicrobial susceptibility tests showed resistance phenotypes to a range of antibiotics widely administrated in humans such as gentamycin, streptomycin, ampicillin and vancomycin. PMID:24031330

  2. Tetracycline and Azithromycin Resistance Investigation on Shigella spp. Isolated from the Stool of Children with Diarrhea in Tehran, Iran

    Directory of Open Access Journals (Sweden)

    Shadi Shahsavan

    2016-10-01

    Full Text Available Background & objectives: Shigella spp. are gram negative bacteria that can cause shigellosis in human. It is important in young children as well as elderly and immunocompromised people. Threatening complications can occur in severe cases with multidrug resistance species. It has been observed that Shigella spp. have become resistant to antibiotics like other bacteria. Investigation of resistance to azithromycin, tetracycline and pattern of resistance are the objectives of this study. Methods: Fifty isolates of Shigella spp. which have been collected from three hospitals in Tehran were studied. Isolates identified and confirmed as Shigella spp. by biochemical, serological and molecular methods (ipaH, wbgz, rfc genes. Antimicrobial susceptibility test was performed for ampicillin, azithromycin, ciprofloxacin, doxycycline, levofloxacin, minocycline, nalidixic acid, norfloxacin, streptomycin, trimethoprim-sulfamethoxazole and tetracycline by disc agar diffusion method. Minimal inhibition concentrations were performed for azithromycin and tetracycline. Results: From a total of 50 Shigella spp. isolates, 16% of them were Shigella flexneri and 84% Shigella sonnei. The majority of isolates were multidrug resistant. The most resistance was seen to doxycycline, streptomycin, trimethoprim-sulfamethoxazole and tetracycline. Resistance to azithromycin was 6%  and all of the isolates were susceptible to norfloxacin and levofloxacin. Nine patterns of resistance were revealed to these isolates. Conclusion: High resistance to tetracycline was observed and resistance to azithromycin as an alternative treatment choice was also considerable.

  3. Bacterial antibiotic resistance levels in Danish farmland as a result of treatment with pig manure slurry

    DEFF Research Database (Denmark)

    Sengeløv, Gitte; Agersø, Yvonne; Halling-Sørensen, B.

    2003-01-01

    Resistance to tetracycline, macrolides and streptomycin was measured for a period of 8 months in soil bacteria obtained from farmland treated with pig manure slurry. This was done by spread plating bacteria on selective media (Luria Bertani (LB) medium supplemented with antibiotics). To account...

  4. A cross-sectional study of tuberculosis drug resistance among previously treated patients in a tertiary hospital in Accra, Ghana: public health implications of standardized regimens.

    Science.gov (United States)

    Forson, Audrey; Kwara, Awewura; Kudzawu, Samuel; Omari, Michael; Otu, Jacob; Gehre, Florian; de Jong, Bouke; Antonio, Martin

    2018-04-02

    Mycobacterium tuberculosis drug resistance is a major challenge to the use of standardized regimens for tuberculosis (TB) therapy, especially among previously treated patients. We aimed to investigate the frequency and pattern of drug resistance among previously treated patients with smear-positive pulmonary tuberculosis at the Korle-Bu Teaching Hospital Chest Clinic, Accra. This was a cross-sectional survey of mycobacterial isolates from previously treated patients referred to the Chest Clinic Laboratory between October 2010 and October 2013. The Bactec MGIT 960 system for mycobactrerial culture and drug sensitivity testing (DST) was used for sputum culture of AFB smear-positive patients with relapse, treatment failure, failure of smear conversion, or default. Descriptive statistics were used to summarize patient characteristics, and frequency and patterns of drug resistance. A total of 112 isolates were studied out of 155 from previously treated patients. Twenty contaminated (12.9%) and 23 non-viable isolates (14.8%) were excluded. Of the 112 studied isolates, 53 (47.3%) were pan-sensitive to all first-line drugs tested Any resistance (mono and poly resistance) to isoniazid was found in 44 isolates (39.3%) and any resistance to streptomycin in 43 (38.4%). Thirty-one (27.7%) were MDR-TB. Eleven (35.5%) out of 31 MDR-TB isolates were pre-XDR. MDR-TB isolates were more likely than non-MDR isolates to have streptomycin and ethambutol resistance. The main findings of this study were the high prevalence of MDR-TB and streptomycin resistance among previously treated TB patients, as well as a high prevalence of pre-XDR-TB among the MDR-TB patients, which suggest that first-line and second-line DST is essential to aid the design of effective regimens for these groups of patients in Ghana.

  5. Origins and Evolution of Methicillin-Resistant Staphylococcus aureus Clonal Lineages

    DEFF Research Database (Denmark)

    Gomes, AR; Westh, Henrik; Lancastre, H de

    2006-01-01

    Most methicillin-resistant Staphylococcus aureus (MRSA) isolates identified among blood isolates collected in Denmark between 1957 and 1970 belonged to either phage group III or the closely related 83A complex and had a PSTM antibiotype (resistance to penicillin [P], streptomycin [S], tetracycline...... [T], and methicillin [M]). Recently, some of these isolates were shown to have the same genetic backgrounds as contemporary epidemic MRSA isolates, and Danish methicillin-susceptible S. aureus (MSSA) isolates from the 1960s with a PST antibiotype were proposed to have been the recipients of the mec...

  6. Seawater is a reservoir of multi-resistant Escherichia coli, including strains hosting plasmid-mediated quinolones resistance and extended-spectrum beta-lactamases genes.

    Science.gov (United States)

    Alves, Marta S; Pereira, Anabela; Araújo, Susana M; Castro, Bruno B; Correia, António C M; Henriques, Isabel

    2014-01-01

    The aim of this study was to examine antibiotic resistance (AR) dissemination in coastal water, considering the contribution of different sources of fecal contamination. Samples were collected in Berlenga, an uninhabited island classified as Natural Reserve and visited by tourists for aquatic recreational activities. To achieve our aim, AR in Escherichia coli isolates from coastal water was compared to AR in isolates from two sources of fecal contamination: human-derived sewage and seagull feces. Isolation of E. coli was done on Chromocult agar. Based on genetic typing 414 strains were established. Distribution of E. coli phylogenetic groups was similar among isolates of all sources. Resistances to streptomycin, tetracycline, cephalothin, and amoxicillin were the most frequent. Higher rates of AR were found among seawater and feces isolates, except for last-line antibiotics used in human medicine. Multi-resistance rates in isolates from sewage and seagull feces (29 and 32%) were lower than in isolates from seawater (39%). Seawater AR profiles were similar to those from seagull feces and differed significantly from sewage AR profiles. Nucleotide sequences matching resistance genes bla TEM, sul1, sul2, tet(A), and tet(B), were present in isolates of all sources. Genes conferring resistance to 3rd generation cephalosporins were detected in seawater (bla CTX-M-1 and bla SHV-12) and seagull feces (bla CMY-2). Plasmid-mediated determinants of resistance to quinolones were found: qnrS1 in all sources and qnrB19 in seawater and seagull feces. Our results show that seawater is a relevant reservoir of AR and that seagulls are an efficient vehicle to spread human-associated bacteria and resistance genes. The E. coli resistome recaptured from Berlenga coastal water was mainly modulated by seagulls-derived fecal pollution. The repertoire of resistance genes covers antibiotics critically important for humans, a potential risk for human health.

  7. Seawater is a reservoir of multi-resistant Escherichia coli, including strains hosting plasmid-mediated quinolones resistance and extended-spectrum beta-lactamases genes

    Directory of Open Access Journals (Sweden)

    Marta S. Alves

    2014-08-01

    Full Text Available The aim of this study was to examine antibiotic resistance (AR dissemination in coastal water, considering the contribution of different sources of faecal contamination. Samples were collected in Berlenga, an uninhabited island classified as Natural Reserve and visited by tourists for aquatic recreational activities. To achieve our aim, AR in Escherichia coli isolates from coastal water was compared to AR in isolates from two sources of faecal contamination: human-derived sewage and seagull faeces. Isolation of E. coli was done on Chromocult agar. Based on genetic typing 414 strains were established. Distribution of E. coli phylogenetic groups was similar among isolates of all sources. Resistances to streptomycin, tetracycline, cephalothin and amoxicillin were the most frequent. Higher rates of AR were found among seawater and faeces isolates, except for last-line antibiotics used in human medicine. Multi-resistance rates in isolates from sewage and seagull faeces (29% and 32% were lower than in isolates from seawater (39%. Seawater AR profiles were similar to those from seagull faeces and differed significantly from sewage AR profiles. Nucleotide sequences matching resistance genes blaTEM, sul1, sul2, tet(A and tet(B, were present in isolates of all sources. Genes conferring resistance to 3rd generation cephalosporins were detected in seawater (blaCTX-M-1 and blaSHV-12 and seagull faeces (blaCMY-2. Plasmid-mediated determinants of resistance to quinolones were found: qnrS1 in all sources and qnrB19 in seawater and seagull faeces. Our results show that seawater is a relevant reservoir of AR and that seagulls are an efficient vehicle to spread human-associated bacteria and resistance genes. The E. coli resistome recaptured from Berlenga coastal water was mainly modulated by seagulls-derived faecal pollution. The repertoire of resistance genes covers antibiotics critically important for humans, a potential risk for human health.

  8. Soil texture-depending effects of doxycycline and streptomycin applied with manure on the bacterial community composition and resistome.

    Science.gov (United States)

    Blau, Khald; Casadevall, Laia; Wolters, Birgit; Van den Meersche, Tina; Kreuzig, Robert; Smalla, Kornelia; Jechalke, Sven

    2018-02-01

    Veterinary antibiotics, bacteria carrying antibiotic resistance determinants located on mobile genetic elements and nutrients are spread on agricultural soil using manure as fertilizer. However, systematic quantitative studies linking antibiotic concentrations and antimicrobial resistance genes (ARGs) in manure and the environment are scarce but needed to assess environmental risks. In this microcosm study, a sandy and a loamy soil were mixed with manure spiked with streptomycin or doxycycline at five concentrations. Total-community DNA was extracted on days 28 and 92, and the abundances of ARGs (aadA, strA, tet(A), tet(M), tet(W), tet(Q), sul1, qacE/qacEΔ1) and class 1 and 2 integron integrase genes (intI1 and intI2) were determined by qPCR relative to 16S rRNA genes. Effects on the bacterial community composition were evaluated by denaturing gradient gel electrophoresis of 16S rRNA gene amplicons. Manure application to the soils strongly increased the relative abundance of most tested genes. Antibiotics caused further enrichments which decreased over time and were mostly seen at high concentrations. Strikingly, the effects on relative gene abundances and soil bacterial community composition were more pronounced in sandy soil. The concept of defining antibiotic threshold concentrations for environmental risk assessments remains challenging due to the various influencing factors. © FEMS 2017. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  9. Experience with dynamic reinforcement rates decreases resistance to extinction.

    Science.gov (United States)

    Craig, Andrew R; Shahan, Timothy A

    2016-03-01

    The ability of organisms to detect reinforcer-rate changes in choice preparations is positively related to two factors: the magnitude of the change in rate and the frequency with which rates change. Gallistel (2012) suggested similar rate-detection processes are responsible for decreases in responding during operant extinction. Although effects of magnitude of change in reinforcer rate on resistance to extinction are well known (e.g., the partial-reinforcement-extinction effect), effects of frequency of changes in rate prior to extinction are unknown. Thus, the present experiments examined whether frequency of changes in baseline reinforcer rates impacts resistance to extinction. Pigeons pecked keys for variable-interval food under conditions where reinforcer rates were stable and where they changed within and between sessions. Overall reinforcer rates between conditions were controlled. In Experiment 1, resistance to extinction was lower following exposure to dynamic reinforcement schedules than to static schedules. Experiment 2 showed that resistance to presession feeding, a disruptor that should not involve change-detection processes, was unaffected by baseline-schedule dynamics. These findings are consistent with the suggestion that change detection contributes to extinction. We discuss implications of change-detection processes for extinction of simple and discriminated operant behavior and relate these processes to the behavioral-momentum based approach to understanding extinction. © 2016 Society for the Experimental Analysis of Behavior.

  10. Identification of dfrA14 in two distinct plasmids conferring trimethoprim resistance in Actinobacillus pleuropneumoniae.

    Science.gov (United States)

    Bossé, Janine T; Li, Yanwen; Walker, Stephanie; Atherton, Tom; Fernandez Crespo, Roberto; Williamson, Susanna M; Rogers, Jon; Chaudhuri, Roy R; Weinert, Lucy A; Oshota, Olusegun; Holden, Matt T G; Maskell, Duncan J; Tucker, Alexander W; Wren, Brendan W; Rycroft, Andrew N; Langford, Paul R

    2015-08-01

    The objective of this study was to determine the distribution and genetic basis of trimethoprim resistance in Actinobacillus pleuropneumoniae isolates from pigs in England. Clinical isolates collected between 1998 and 2011 were tested for resistance to trimethoprim and sulphonamide. The genetic basis of trimethoprim resistance was determined by shotgun WGS analysis and the subsequent isolation and sequencing of plasmids. A total of 16 (out of 106) A. pleuropneumoniae isolates were resistant to both trimethoprim (MIC >32 mg/L) and sulfisoxazole (MIC ≥256 mg/L), and a further 32 were resistant only to sulfisoxazole (MIC ≥256 mg/L). Genome sequence data for the trimethoprim-resistant isolates revealed the presence of the dfrA14 dihydrofolate reductase gene. The distribution of plasmid sequences in multiple contigs suggested the presence of two distinct dfrA14-containing plasmids in different isolates, which was confirmed by plasmid isolation and sequencing. Both plasmids encoded mobilization genes, the sulphonamide resistance gene sul2, as well as dfrA14 inserted into strA, a streptomycin-resistance-associated gene, although the gene order differed between the two plasmids. One of the plasmids further encoded the strB streptomycin-resistance-associated gene. This is the first description of mobilizable plasmids conferring trimethoprim resistance in A. pleuropneumoniae and, to our knowledge, the first report of dfrA14 in any member of the Pasteurellaceae. The identification of dfrA14 conferring trimethoprim resistance in A. pleuropneumoniae isolates will facilitate PCR screens for resistance to this important antimicrobial. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy.

  11. Resistive Wall Growth Rate Measurements in the Fermilab Recycler

    Energy Technology Data Exchange (ETDEWEB)

    Ainsworth, R. [Fermilab; Adamson, P. [Fermilab; Burov, A. [Fermilab; Kourbanis, I. [Fermilab

    2016-10-05

    Impedance could represent a limitation of running high intensity beams in the Fermilab recycler. With high intensity upgrades foreseen, it is important to quantify the impedance. To do this,studies have been performed measuring the growth rate of presumably the resistive wall instability. The growth rates at varying intensities and chromaticities are shown. The measured growth rates are compared to ones calculated with the resistive wall impedance.

  12. Frequency Of Isolation Of Salmonella From Commercial Poultry Feeds And Their Anti-Microbial Resistance Profiles, Imo State, Nigeria

    Directory of Open Access Journals (Sweden)

    Okoli IC

    2006-09-01

    Full Text Available This study was conducted to determine the frequency of isolation of salmonella and their microbial resistance profiles across different commercial poultry feeds sold in Imo State, Nigeria. Thirty-six bulk feed samples were colleted from 154 bag across different feed types and brands which included Guinea (GF, Top (TF, Vital (VF, Extra (EF, Animal care (AF and livestock (LF feeds. The salmonella isolated were tested against 14 anti-microbial drugs using the disc diffusion method. Bacterial load enumeration of the samples indicated a range of <30 colony forming unit (CFU to overgrowth at 104 serial dilutions. Eight feed samples (22.2% which cuts across the entire feed brands expect EF were positive for salmonella. The highest prevalence of 28.8% and 25.0% were recorded for LF and TF respectively, while VF, GF and AF had 11.1 and 10.0% respectively. Salmonella isolates showed high rates of resistance (51-100% against nitrofurantoin, ampicillin, tetracycline and ceftriazole, while moderate rates (31-50% were recorded for chloramphenicol, oxfloxacin and cotrimoxazole. Low resistance rates (1-30% were on the other hand recorded against ciprofloxacin and amoxycillin clavulanate (Augumentine, whereas zero resistance was demonstrated against pefloxacin, gentamycin, streptomycin and nalidixic. Commercial feeds form important channels for the dissemination of multi-drug resistant salmonella in Imo State, Nigeria.

  13. Conjugal transfer of aac(6')Ie-aph(2″)Ia gene from native species and mechanism of regulation and cross resistance in Enterococcus faecalis MCC3063 by real time-PCR.

    Science.gov (United States)

    Jaimee, G; Halami, P M

    2017-09-01

    High level aminoglycoside resistance (HLAR) in the lactic acid bacteria (LAB) derived from food animals is detrimental. The aim of this study was to investigate the localization and conjugal transfer of aminoglycoside resistance genes, aac(6')Ie-aph(2″)Ia and aph(3')IIIa in different Enterococcus species. The cross resistance patterns in Enterococcus faecalis MCC3063 to clinically important aminoglycosides by real time PCR were also studied. Southern hybridization experiments revealed the presence of aac(6')Ie-aph(2 ″ )Ia and aph(3')IIIa genes conferring HLAR in high molecular weight plasmids except in Lactobacillus plantarum. The plasmid encoded bifunctional aac(6')Ie-aph(2″)Ia gene was transferable from Enterococcus avium (n = 2), E. cecorum (n = 1), E. faecalis (n = 1) and Pediococcus lolii (n = 1) species into the recipient strain; E. faecalis JH2-2 by filter mating experiments thus indicating the possible risks of gene transfer into pathogenic strains. Molecular analysis of cross resistance patterns in native isolate of E. faecalis MCC3063 carrying aac(6')Ie-aph(2″)Ia and aph(3')IIIa gene was displayed by quantification of the mRNA levels in this study. For this, the culture was induced with increasing concentrations of gentamicin, kanamycin and streptomycin (2048, 4096, 8192, 16384 μg/mL) individually. The increasing concentrations of gentamicin and kanamycin induced the expression of the aac(6')Ie-aph(2″)Ia and aph(3')IIIa resistance genes, respectively. Interestingly, it was observed that induction with streptomycin triggered a significant fold increase in the expression of the aph(3')IIIa gene which otherwise was not known to modify the aminoglycoside. This is noteworthy as streptomycin was found to confer cross resistance to structurally unrelated kanamycin. Also, expression of the aph(3')IIIa gene when induced with streptomycin, revealed that bacteria harbouring this gene will be able to overcome streptomycin bactericidal action at

  14. A study of gram-negative bacterial resistance to Aminoglycosides

    Directory of Open Access Journals (Sweden)

    Maleknejad P

    1993-05-01

    Full Text Available From hygienic and economical point of view, drug therapy and prophylaxy in infectious diseases are of great importance. After the world war II, a reduction in the efficacy of sulfonamide in the treatment of shigellosis was observed and later on it led to a survey on drug resistance and the way of its transmission. The aim of this survey, during which 100 cases of gram-negative bacteria were identified, is to study the drug resistance of this bacteria against five types of aminoglycosides by antibiotic sensitivity test (disc-diffusion. Out of 100 strains, 47% were resistant to gentamycin, 70% to kanamycin, 82% to streptomycin, 53% to tobramycin, and 8% to amikacin

  15. Use of an in vitro pharmacodynamic model to derive a moxifloxacin regimen that optimizes kill of Yersinia pestis and prevents emergence of resistance.

    Science.gov (United States)

    Louie, A; Heine, H S; VanScoy, B; Eichas, A; Files, K; Fikes, S; Brown, D L; Liu, W; Kinzig-Schippers, M; Sörgel, F; Drusano, G L

    2011-02-01

    Yersinia pestis, the causative agent of bubonic, septicemic, and pneumonic plague, is classified as a CDC category A bioterrorism pathogen. Streptomycin and doxycycline are the "gold standards" for the treatment of plague. However, streptomycin is not available in many countries, and Y. pestis isolates resistant to streptomycin and doxycycline occur naturally and have been generated in laboratories. Moxifloxacin is a fluoroquinolone antibiotic that demonstrates potent activity against Y. pestis in in vitro and animal infection models. However, the dose and frequency of administration of moxifloxacin that would be predicted to optimize treatment efficacy in humans while preventing the emergence of resistance are unknown. Therefore, dose range and dose fractionation studies for moxifloxacin were conducted for Y. pestis in an in vitro pharmacodynamic model in which the half-lives of moxifloxacin in human serum were simulated so as to identify the lowest drug exposure and the schedule of administration that are linked with killing of Y. pestis and with the suppression of resistance. In the dose range studies, simulated moxifloxacin regimens of ≥175 mg/day killed drug-susceptible bacteria without resistance amplification. Dose fractionation studies demonstrated that the AUC (area under the concentration-time curve)/MIC ratio predicted kill of drug-susceptible Y. pestis, while the C(max) (maximum concentration of the drug in serum)/MIC ratio was linked to resistance prevention. Monte Carlo simulations predicted that moxifloxacin at 400 mg/day would successfully treat human infection due to Y. pestis in 99.8% of subjects and would prevent resistance amplification. We conclude that in an in vitro pharmacodynamic model, the clinically prescribed moxifloxacin regimen of 400 mg/day is predicted to be highly effective for the treatment of Y. pestis infections in humans. Studies of moxifloxacin in animal models of plague are warranted.

  16. Antimicrobial Resistance Percentages of Salmonella and Shigella in Seafood Imported to Jordan: Higher Percentages and More Diverse Profiles in Shigella.

    Science.gov (United States)

    Obaidat, Mohammad M; Bani Salman, Alaa E

    2017-03-01

    This study determined the prevalence and antimicrobial resistance of human-specific ( Shigella spp.) and zoonotic ( Salmonella enterica ) foodborne pathogens in internationally traded seafood. Sixty-four Salmonella and 61 Shigella isolates were obtained from 330 imported fresh fish samples from Egypt, Yemen, and India. The pathogens were isolated on selective media, confirmed by PCR, and tested for antimicrobial resistance. Approximately 79 and 98% of the Salmonella and Shigella isolates, respectively, exhibited resistance to at least one antimicrobial, and 8 and 49% exhibited multidrug resistance (resistance to three or more antimicrobial classes). Generally, Salmonella exhibited high resistance to amoxicillin-clavulanic acid, cephalothin, streptomycin, and ampicillin; very low resistance to kanamycin, tetracycline, gentamicin, chloramphenicol, nalidixic acid, sulfamethoxazole-trimethoprim, and ciprofloxacin; and no resistance to ceftriaxone. Meanwhile, Shigella spp. exhibited high resistance to tetracycline, amoxicillin-clavulanic acid, cephalothin, streptomycin, and ampicillin; low resistance to kanamycin, nalidixic acid, sulfamethoxazole-trimethoprim, and ceftriaxone; and very low resistance to gentamicin and ciprofloxacin. Salmonella isolates exhibited 14 resistance profiles, Shigella isolates 42. This study is novel in showing that a human-specific pathogen has higher antimicrobial resistance percentages and more diverse profiles than a zoonotic pathogen. Thus, the impact of antimicrobial use in humans is as significant as, if not more significant than, it is in animals in spreading antibiotic resistance through food. This study also demonstrates that locally derived antimicrobial resistance can spread and pose a public health risk worldwide through seafood trade and that high resistance would make a possible outbreak difficult to control. So, capacity building and monitoring harvest water areas are encouraged in fish producing countries.

  17. Drug resistant tuberculosis in Saudi Arabia: an analysis of surveillance data 2014-2015.

    Science.gov (United States)

    Al Ammari, Maha; Al Turaiki, Abdulrahman; Al Essa, Mohammed; Kashkary, Abdulhameed M; Eltigani, Sara A; Ahmed, Anwar E

    2018-01-01

    There is limited data that investigates the national rates of drug-resistant tuberculosis (TB) in Saudi Arabia.This study aimed to estimate the rates of multi-drug-resistant tuberculosis (MDR-TB), rifampicin-resistant tuberculosis (RR-TB), and monoresistance (MR) in Saudi Arabia. A retrospective cohort study was conducted on all TB cases reported to the National TB Control and Prevention Program (NTCPP) registry at the Saudi Ministry of Health between January 1, 2014 and December 31, 2015. A total of 2098 TB patients with positive TB cultures were included in the study. Subgroup analyses and multivariate binary logistic regression models were performed with IBM SPSS 23.0. Of the total TB cases, 4.4% (95% CI: 3.59%-5.40%) were found to have MDR-TB. The rates of MR were 3.8% (95% CI: 2.99%-4.67%) for ethambutol, 5.4% (95% CI: 4.50%-6.49%) for pyrazinamide, 10.2% (95% CI: 5.89%-11.52%) for isoniazid, 11% (95% CI: 9.70%-12.43%) for streptomycin, and 5.9% (95% CI: 4.90%-6.96%) for rifampicin. The high rates of MDR and RR-TB were found among the younger age group, female gender, and those who had a previous history of TB. We also discovered that renal failure tends to increase the risk of rifampicin resistance. National TB data in Saudi Arabia shows that the rate of MDR-TB was similar to the global rate reported by the World Health Organization (WHO). It is a relatively high rate as compared to Western countries. The proportion of MDR/RR-TB patients tends to be higher in the younger age group, female gender, and in patients with a previous history of TB treatment. Effective strategies for prevention of all multi-drug-resistant TB cases are warranted.

  18. Prevalence of resistance to 11 antimicrobials among Campylobacter coill isolated from pigs on 80 grower-finisher farms in Ontario.

    Science.gov (United States)

    Varela, Norma P; Friendship, Robert; Dewey, Cate

    2007-07-01

    We carried out a cross-sectional study to investigate antimicrobial resistance patterns of Campylobacter coli isolated from Ontario grower-finisher pigs. From January to June 2004, 1200 samples were collected from 80 farms by obtaining a constant number (15) of fecal samples per farm. Susceptibility of the isolates to 11 antimicrobial drugs was determined by the agar-dilution technique. The overall prevalence of resistance to 1 or more antimicrobials among the isolates was 99.2%. High levels of resistance were observed for azithromycin, clindamycin, erythromycin, streptomycin, and tetracycline: 91.7%, 82.5%, 81.4%, 70.7%, and 63.7%, respectively. For sulfamethoxazole, ampicillin, and nalidixic acid, resistance was observed in 40.3%, 26.6%, and 22.7% of the isolates, respectively. Although at very low levels, resistance was observed for ciprofloxacin (a fluoroquinolone), chloramphenicol, and gentamicin: in 2.4%, 1.7%, and 0.2%, respectively. Many of the isolates (29.7%) were resistant to 5 antimicrobials, the most common being azithromycin, clindamycin, erythromycin, streptomycin, and tetracycline. Isolates from the same farm showed at least 5 patterns of resistance. Results from this study indicate high levels of resistance to the antimicrobial drugs most commonly used in the Canadian swine industry (macrolides, lincosamides, and tetracyclines) among C. coli isolated from grower-finisher pigs in Ontario. Macrolides and fluoroquinolones are the drugs most commonly used to treat severe human campylobacteriosis. Fortunately, at present, there is little resistance to fluoroquinolones among C. coli from pigs in Ontario.

  19. Streptomycin, Schatz v. Waksman, and the balance of credit for discovery.

    Science.gov (United States)

    Kingston, William

    2004-07-01

    A recent article in Nature, arguing that "the misallocation of credit is endemic in science," used Selman Waksman as an illustration, claiming that the true discoverer of streptomycin was one of his graduate students. The article received wide publicity and seriously damaged Waksman's great reputation. What actually happened was that the success of penicillin stimulated Merck to fund research by Waksman, a soil scientist, into the collection of actinomycetes that he had assembled over thirty years. He applied the systematic, uncreative testing techniques that had made the German pharmaceutical industry so successful to these, and streptomycin was discovered within a matter of months. Work in the Mayo Institute then showed that it was marvelously effective against tuberculosis, and Waksman received the Nobel Prize for it in 1952. The test that turned out to be the crucial one could have been carried out by any of several students, but the lucky one was Albert Schatz. He then sued the university for a share of the royalties payable by Merck and also petitioned the Nobel committee to include him in the award. Although he obtained a very substantial out-of-court settlement, this probably damaged his subsequent academic career, and he has never ceased to argue his case for recognition, of which the Nature article is a reflection. To claim that Waksman took credit properly due to Schatz is to fail to understand that once pharmaceutical research had become primarily a matter of large-scale, routine testing, little individual creativity was left in this work. Credit for any successful results must therefore be given to whoever is the originator or director of a particular program. Nature refused to publish evidence that this case could not be used as an example of misallocation of credit for discovery. This in itself illustrates that editors of scientific journals should be every bit as mindful of scientists' reputations as they are of scientific facts.

  20. Assessment of antibiotic resistance of Escherichia coli isolates and screening of Salmonella spp. in wild ungulates from Portugal.

    Science.gov (United States)

    Dias, Diana; Torres, Rita T; Kronvall, Göran; Fonseca, Carlos; Mendo, Sónia; Caetano, Tânia

    2015-09-01

    Antibiotic resistance is an emerging global problem. Wild animals are rarely exposed to antibiotics and therefore low levels of antibiotic resistance are expected. However, the growing interactions of these animals with humans and livestock may have a huge impact on their bacterial flora. This study aimed to assess the levels of antibiotic resistance in Escherichia coli isolated from widespread wild ungulates in Portugal. The interpretation of inhibition zone diameters was performed according to clinical breakpoints and epidemiological cut-offs, determined with the normalized resistance interpretation (NRI) method. For clinical breakpoints, 16% of the isolates were resistant to at least one antibiotic, including ampicillin (10%), tetracycline (9%), streptomycin (5%) co-trimoxazole (4%), amoxicillin/clavulanic acid (1%) and cefoxitin (1%). The levels of resistance detected in E. coli strains isolated from wild boar were statistically different for ampicillin and co-trimoxasol. According to NRI cut-offs, 10% of the population showed a non-wild-type phenotype against at least one antibiotic, also including tetracycline (9%), co-trimoxazole (6%), streptomycin (4%), ampicillin (2%) and amoxicillin/clavulanic acid (1%). Considering this parameter of comparison, no statistically different levels of resistance were identified between E. coli recovered from the three wild ungulates. Screening of Salmonella spp., which can be potentially pathogenic, was also performed, revealing that its prevalence was very low (1.5%). The study demonstrated that wild ungulates from Portugal are also reservoirs of antibiotic-resistant bacteria. Copyright © 2015 Institut Pasteur. Published by Elsevier Masson SAS. All rights reserved.

  1. Transfer of antibiotic resistance from Enterococcus faecium of fermented meat origin to Listeria monocytogenes and Listeria innocua.

    Science.gov (United States)

    Jahan, M; Holley, R A

    2016-04-01

    Listeria monocytogenes is an important foodborne pathogen that can cause infection in children, pregnant women, the immunocompromised and the elderly. Antibiotic resistance in this species would represent a significant public health problem since the organism has a high fatality/case ratio and resistance may contribute to failure of therapeutic treatment. This study was designed to explore whether the in vitro transferability of antibiotic resistance from enterococci to Listeria spp. could occur. It was found that 2/8 Listeria strains were able to acquire tetracycline resistance from Enterococcus faecium. Listeria monocytogenes GLM-2 acquired the resistance determinant tet(M) and additional streptomycin resistance through in vitro mating with Ent. faecium S27 isolated from commercial fermented dry sausage. Similarly, Listeria innocua became more resistant to tetracycline, but the genetic basis for this change was not confirmed. It has been suggested that enterococci may transfer antibiotic resistance genes via transposons to Listeria spp., and this may explain, in part, the origin of their antibiotic resistance. Thus, the presence of enterococci in food should not be ignored since they may actively contribute to enhanced antibiotic resistance of L. monocytogenes and other pathogens. Acquisition of antibiotic resistance by pathogenic bacteria in the absence of antibiotic pressure represents an unquantified threat to human health. In the present work resistance to tetracycline and streptomycin were transferred by nonplasmid-based conjugation from Enterococcus faecium isolated from fermented sausage to Listeria monocytogenes and Listeria innocua. Thus, natural transfer of antibiotic resistance to Listeria strains may occur in the future which reinforces the concern about the safety of enterococcal strains present in foods. © 2016 The Society for Applied Microbiology.

  2. Identification, antimicrobial resistance and genotypic characterization of Enterococcus spp. isolated in Porto Alegre, Brazil

    Science.gov (United States)

    Bender, Eduardo André; de Freitas, Ana Lúcia Peixoto; Reiter, Keli Cristine; Lutz, Larissa; Barth, Afonso Luís

    2009-01-01

    In the past two decades the members of the genus Enterococcus have emerged as important nosocomial pathogens worldwide. In the present study, we evaluated the antimicrobial resistance and genotypic characteristics of 203 Enterococcus spp. recovered from different clinical sources from two hospitals in Porto Alegre, Rio Grande do Sul, Brazil. The species were identified by conventional biochemical tests and by an automated system. The genetic diversity of E. faecalis presenting high-level aminoglycoside resistance (HLAR) was assessed by pulsed-field gel electrophoresis of chromosomal DNA after SmaI digestion. The E. faecalis was the most frequent specie (93.6%), followed by E. faecium (4.4%). The antimicrobial resistance profile was: 2.5% to ampicillin, 0.5% to vancomycin, 0.5% teicoplanin, 33% to chloramphenicol, 2% to nitrofurantoin, 66.1% to erythromycin, 66.5% to tetracycline, 24.6% to rifampicin, 30% to ciprofloxacin and 87.2% to quinupristin-dalfopristin. A total of 10.3% of the isolates proved to be HLAR to both gentamicin and streptomycin (HLR-ST/GE), with 23.6% resistant only to gentamicin (HLR-GE) and 37.4% only to streptomycin (HLR-ST). One predominant clonal group was found among E. faecalis HLR-GE/ST. The prevalence of resistance among beta-lactam antibiotics and glycopeptides was very low. However, in this study there was an increased number of HLR Enterococcus which may be spreading intra and inter-hospital. PMID:24031416

  3. From penicillin-streptomycin to amikacin-vancomycin: antibiotic decontamination of cardiovascular homografts in Singapore.

    Directory of Open Access Journals (Sweden)

    Wee Ling Heng

    Full Text Available BACKGROUND: In February 2012, the National Cardiovascular Homograft Bank (NCHB became the first tissue bank outside of North America to receive accreditation from the American Association of Tissue Banks. From 2008 to 2009, NCHB had been decontaminating its cardiovascular homografts with penicillin and streptomycin. The antibiotic decontamination protocol was changed in January 2010 as amikacin and vancomycin were recommended, in order to cover bacteria isolated from post-recovery and post- antibiotic incubation tissue cultures. AIM: The objective of this study is to determine the optimal incubation conditions for decontamination of homografts by evaluating the potencies of amikacin and vancomycin in different incubation conditions. Retrospective reviews of microbiological results were also performed for homografts recovered from 2008 to 2012, to compare the effectiveness of penicillin-streptomycin versus the amikacin-vancomycin regimens. METHODS: Based on microbiological assays stated in United States Pharmacopeia 31, potency of amikacin was evaluated by turbidimetric assay using Staphylococcus aureus, while vancomycin was by diffusion assay using Bacillus subtilis sporulate. Experiments were performed to investigate the potencies of individual antibiotic 6-hours post incubation at 4°C and 37°C and 4°C for 24 hours, after the results suggested that amikacin was more potent at lower temperature. FINDINGS: Tissue incubation at 4°C for 24 hours is optimal for both antibiotics, especially for amikacin, as its potency falls drastically at 37°C. CONCLUSION: The decontamination regimen of amikacin-vancomycin at 4°C for 24 hours is effective. Nevertheless, it is imperative to monitor microbiological trends closely and evaluate the efficacy of current antibiotics regimen against emerging strains of micro-organisms.

  4. Genetic characterization of antimicrobial resistance in coagulase-negative staphylococci from bovine mastitis milk.

    Science.gov (United States)

    Frey, Yvonne; Rodriguez, Joan Peña; Thomann, Andreas; Schwendener, Sybille; Perreten, Vincent

    2013-04-01

    Coagulase-negative staphylococci (CNS; n=417) were isolated from bovine milk and identified by matrix-assisted laser desorption/ionization time-of-flight mass spectrometry. Nineteen different species were identified, and Staphylococcus xylosus, Staphylococcus chromogenes, Staphylococcus haemolyticus, and Staphylococcus sciuri were the most prevalent species. Resistance to oxacillin (47.0% of the isolates), fusidic acid (33.8%), tiamulin (31.9%), penicillin (23.3%), tetracycline (15.8%), streptomycin (9.6%), erythromycin (7.0%), sulfonamides (5%), trimethoprim (4.3%), clindamycin (3.4%), kanamycin (2.4%), and gentamicin (2.4%) was detected. Resistance to oxacillin was attributed to the mecA gene in 9.7% of the oxacillin-resistant isolates. The remaining oxacillin-resistant CNS did not contain the mecC gene or mecA1 promoter mutations. The mecA gene was detected in Staphylococcus fleurettii, Staphylococcus epidermidis, Staph. haemolyticus, and Staph. xylosus. Resistance to tetracycline was attributed to the presence of tet(K) and tet(L), penicillin resistance to blaZ, streptomycin resistance to str and ant(6)-Ia, and erythromycin resistance to erm(C), erm(B), and msr. Resistance to tiamulin and fusidic acid could not be attributed to an acquired resistance gene. In total, 15.1% of the CNS isolates were multidrug resistant (i.e., resistant to 2 or more antimicrobials). The remaining CNS isolates were susceptible to antimicrobials commonly used in mastitis treatment. Methicillin-resistant CNS isolates were diverse, as determined by mecA gene sequence analysis, staphylococcal cassette chromosome mec typing, and pulsed-field gel electrophoresis. Arginine catabolic mobile element types 1 and 3 were detected in both methicillin-resistant and methicillin-susceptible Staph. epidermidis and were associated with sequence types ST59 and ST111. Because this study revealed the presence of multidrug-resistant CNS in a heterogeneous CNS population, we recommend antibiogram analysis

  5. Antibiotic Resistance in Escherichia coli from Pigs in Organic and Conventional Farming in Four European Countries

    DEFF Research Database (Denmark)

    Österberg, Julia; Wingstrand, Anne; Jensen, Annette Nygaard

    2016-01-01

    content and/or faeces from individual pigs was determined. In all four countries the percentage resistance to ampicillin, streptomycin, sulphonamides or trimethoprim was significantly lower in E. coli from organic pigs. In France and Italy, the percentage of isolates resistant to chloramphenicol...... in organic slaughter pigs in Denmark, France, Italy and Sweden. Samples were taken from the colon content and/or faeces and minimum inhibitory concentrations (MIC) of ten antibiotics were determined in isolates of Escherichia coli. In addition, the proportion of tetracycline (TET) resistant E. coli in colon...

  6. Assessment of strains of Pseudomonas syringae pv. tomato from Tanzania for resistance to copper and streptomycin

    DEFF Research Database (Denmark)

    Shenge, K.C.; Wydra, K.; Mabagala, M.B.

    2008-01-01

    Fifty-six strains of Pseudomonas syringae pv. tomato (P.s. pv. tomato) were collected from tomato-producing areas in Tanzania and assessed for resistance to copper and antibiotics. The collection was done from three tomato-producing regions (Morogoro, Arusha and Iringa), representing three...... different ecological conditions in the country. After isolation and identification, the P. s. pv. tomato strains were grown on King's medium B (KB) amended with 20% copper sulphate (w/v). The strains were also assessed for resistance to antibiotics. Results indicated that there was widespread resistance...... strains of the pathogen were moderately resistant to copper sulphate, such that 54.0% of them were able to grow on the KB medium amended with 20% (w/v) of the copper compound....

  7. Solving RNA's structural secrets: interaction with antibodies and crystal structure of a nuclease resistant RNA

    International Nuclear Information System (INIS)

    Wallace, S.T.

    1998-10-01

    This Ph.D. thesis concerns the structural characterization of RNA. The work is split into two sections: 1) in vitro selection and characterization of RNAs which bind antibiotics and 2) crystal structure of a nuclease resistant RNA molecule used in antisense applications. Understanding antibiotic-RNA interactions is crucial in aiding rational drug design. We were interested in studying antibiotic interactions with RNAs small enough to characterize at the molecular and possibly at the atomic level. In order to do so, we previously performed in vitro selection to find small RNAs which bind to the peptide antibiotic viomycin and the aminoglycoside antibiotic streptomycin. The characterization of the viomycin-binding RNAs revealed the necessity of a pseudoknot-structure in order to interact with the antibiotic. The RNAs which were selected to interact with streptomycin require the presence of magnesium to bind the antibiotic. One of the RNAs, upon interacting with streptomycin undergoes a significant conformational change spanning the entire RNA sequence needed to bind the antibiotic. In a quest to design oligodeoxynucleotides (ODNs) which are able to specifically bid and inactivate the mRNA of a gene, it is necessary to fulfill two criteria: 1) increase binding affinity between the ODN and the target RNA and 2) increase the ODN's resistance to nuclease degradation. An ODN with an aminopropyl modification at the 2' position of its ribose has emerged as the most successful candidate at fulfilling both criteria. It is the most nuclease resistant modification known to date. We were interested in explaining how this modification is able to circumvent degradation by nucleases. A dodecamer containing a single 2'-O-aminopropyl modified nucleotide was crystallized and the structure was solved to a resolution of 1.6 A. In an attempt to explain the nuclease resistance, the crystal coordinates were modeled into the active exonuclease site of DNA polymerase I. We propose the

  8. Multi drug resistant tuberculosis presenting as anterior mediastinal mass

    Directory of Open Access Journals (Sweden)

    Parmarth Chandane

    2016-01-01

    Full Text Available Enlargement of the mediastinal lymphatic glands is a common presentation of intrathoracic tuberculosis (TB in children. However, usually, the mediastinal TB nodes enlarge to 2.8 ± 1.0 cm. In this report, we describe a case of anterior mediastinal lymphnode TB seen as huge mass (7 cm on computed tomography (CT thorax without respiratory or food pipe compromise despite anterior mediastinum being an enclosed space. CT guided biopsy of the mass cultured Mycobacterium TB complex which was resistant to isoniazide, rifampicin, streptomycin ofloxacin, moxifloxacin, and pyrazinamide. Hence, we report primary multi drug resistant TB presenting as anterior mediastinal mass as a rare case report.

  9. Potential public health significance of faecal contamination and multidrug-resistant Escherichia coli and Salmonella serotypes in a lake in India.

    Science.gov (United States)

    Abhirosh, C; Sherin, V; Thomas, A P; Hatha, A A M; Mazumder, A

    2011-06-01

    To assess the prevalence of faecal coliform bacteria and multiple drug resistance among Escherichia coli and Salmonella serotypes from Vembanadu Lake. Systematic microbiological testing. Monthly collection of water samples were made from ten stations on the southern and northern parts of a salt water regulator constructed in Vembanadu Lake in order to prevent incursion of seawater during certain periods of the year. Density of faecal colifrom bacteria was estimated. E. coli and Salmonella were isolated and their different serotypes were identified. Antibiotic resistance analysis of E. coli and Salmonella serotypes was done and the MAR index of individual isolates was calculated. Density of faecal coliform bacteria ranged from mean MPN value 2900 -7100/100ml. Results showed multiple drug resistance pattern among the bacterial isolates. E. coli showed more than 50% resistance to amickacin, oxytetracycline, streptomycin, tetracycline and kanamycin while Salmonella showed high resistance to oxytetracycline, streptomycin, tetracycline and ampicillin. The MAR indexing of the isolates showed that they have originated from high risk source such as humans, poultry and dairy cows. The high density of faecal coliform bacteria and prevalence of multi drug resistant E. coli and Salmonella serotypes in the lake may pose severe public health risk through related water borne and food borne outbreaks. Copyright © 2011 The Royal Society for Public Health. Published by Elsevier Ltd. All rights reserved.

  10. Prediction of Phenotypic Antimicrobial Resistance Profiles From Whole Genome Sequences of Non-typhoidal Salmonella enterica.

    Science.gov (United States)

    Neuert, Saskia; Nair, Satheesh; Day, Martin R; Doumith, Michel; Ashton, Philip M; Mellor, Kate C; Jenkins, Claire; Hopkins, Katie L; Woodford, Neil; de Pinna, Elizabeth; Godbole, Gauri; Dallman, Timothy J

    2018-01-01

    Surveillance of antimicrobial resistance (AMR) in non-typhoidal Salmonella enterica (NTS), is essential for monitoring transmission of resistance from the food chain to humans, and for establishing effective treatment protocols. We evaluated the prediction of phenotypic resistance in NTS from genotypic profiles derived from whole genome sequencing (WGS). Genes and chromosomal mutations responsible for phenotypic resistance were sought in WGS data from 3,491 NTS isolates received by Public Health England's Gastrointestinal Bacteria Reference Unit between April 2014 and March 2015. Inferred genotypic AMR profiles were compared with phenotypic susceptibilities determined for fifteen antimicrobials using EUCAST guidelines. Discrepancies between phenotypic and genotypic profiles for one or more antimicrobials were detected for 76 isolates (2.18%) although only 88/52,365 (0.17%) isolate/antimicrobial combinations were discordant. Of the discrepant results, the largest number were associated with streptomycin (67.05%, n = 59). Pan-susceptibility was observed in 2,190 isolates (62.73%). Overall, resistance to tetracyclines was most common (26.27% of isolates, n = 917) followed by sulphonamides (23.72%, n = 828) and ampicillin (21.43%, n = 748). Multidrug resistance (MDR), i.e., resistance to three or more antimicrobial classes, was detected in 848 isolates (24.29%) with resistance to ampicillin, streptomycin, sulphonamides and tetracyclines being the most common MDR profile ( n = 231; 27.24%). For isolates with this profile, all but one were S . Typhimurium and 94.81% ( n = 219) had the resistance determinants bla TEM-1, strA-strB, sul2 and tet (A). Extended-spectrum β-lactamase genes were identified in 41 isolates (1.17%) and multiple mutations in chromosomal genes associated with ciprofloxacin resistance in 82 isolates (2.35%). This study showed that WGS is suitable as a rapid means of determining AMR patterns of NTS for public health surveillance.

  11. Antimicrobial resistance and PCR-ribotyping of Shigella responsible for foodborne outbreaks occurred in southern Brazil

    Directory of Open Access Journals (Sweden)

    Cheila Minéia Daniel de Paula

    2010-12-01

    Full Text Available Little information about Shigella responsible for foodborne shigellosis is available in Brazil. The present study aimed to investigate the antimicrobial resistance and PCR-ribotyping patterns of Shigella isolates responsible for foodborne outbreaks occurred in Rio Grande do Sul State (RS, Southern Brazil in the period between 2003 and 2007. Shigella strains (n=152 were isolated from foods and fecal samples of victims of shigellosis outbreaks investigated by the Surveillance Service. Identification of the strains at specie level indicated that 71.1% of them were S. flexneri, 21.5% S. sonnei, and 0.7% S. dysenteriae. Ten strains (6.7% were identified only as Shigella spp. An increasing occurrence of S. sonnei was observed after 2004. Most of the strains were resistant to streptomycin (88.6%, followed by ampicillin (84.6%, and sulfamethoxazole/trimethoprim (80.5 %. Resistant strains belonged to 73 patterns, and pattern A (resistance to ampicillin, sulfamethoxazole/trimethoprim, tetracycline, streptomycin, chloramphenicol, and intermediate resistance to kanamycin grouped the largest number of isolates (n=36. PCR-ribotyping identified three banding patterns (SH1, SH2, and SH3. SH1 grouped all S. flexneri and SH2 grouped all S. sonnei. The S. dysenteriae strain belonged to group SH3. According to the results, several Shigella isolates shared the same PCR-rybotyping banding pattern and the same resistance profile, suggesting that closely related strains were responsible for the outbreaks. However, other molecular typing methods need to be applied to confirm the clonal relationship of these isolates.

  12. Elevated Rate of Genome Rearrangements in Radiation-Resistant Bacteria.

    Science.gov (United States)

    Repar, Jelena; Supek, Fran; Klanjscek, Tin; Warnecke, Tobias; Zahradka, Ksenija; Zahradka, Davor

    2017-04-01

    A number of bacterial, archaeal, and eukaryotic species are known for their resistance to ionizing radiation. One of the challenges these species face is a potent environmental source of DNA double-strand breaks, potential drivers of genome structure evolution. Efficient and accurate DNA double-strand break repair systems have been demonstrated in several unrelated radiation-resistant species and are putative adaptations to the DNA damaging environment. Such adaptations are expected to compensate for the genome-destabilizing effect of environmental DNA damage and may be expected to result in a more conserved gene order in radiation-resistant species. However, here we show that rates of genome rearrangements, measured as loss of gene order conservation with time, are higher in radiation-resistant species in multiple, phylogenetically independent groups of bacteria. Comparison of indicators of selection for genome organization between radiation-resistant and phylogenetically matched, nonresistant species argues against tolerance to disruption of genome structure as a strategy for radiation resistance. Interestingly, an important mechanism affecting genome rearrangements in prokaryotes, the symmetrical inversions around the origin of DNA replication, shapes genome structure of both radiation-resistant and nonresistant species. In conclusion, the opposing effects of environmental DNA damage and DNA repair result in elevated rates of genome rearrangements in radiation-resistant bacteria. Copyright © 2017 Repar et al.

  13. Prevalence, seasonal occurrence and antimicrobial resistance of Salmonella in poultry retail products in Greece.

    Science.gov (United States)

    Zdragas, A; Mazaraki, K; Vafeas, G; Giantzi, V; Papadopoulos, T; Ekateriniadou, L

    2012-10-01

    To detect the prevalence, the seasonal occurrence and distribution of Salmonella serotypes in poultry products and to determine the resistance profile of Salmonella isolates. A total of 96 skin-on chicken carcasses and 30 liver samples were analysed between May 2007 and May 2009 from twenty-two different commercial farm brands found in retail market countrywide. Salmonella was isolated from 38 (39·5%) of 96 chicken carcasses and from 10 (33·3%) of 30 liver samples. Higher isolation rate (60·4%) was observed in carcasses detected during summer (May to October), and lower isolation rate (18·7%) was observed in carcasses detected during winter (November to April); in liver samples, the positive rates were 53·4 and 13·2%, respectively. Twelve serotypes were detected with the serotypes Hadar, Enteritidis and Blockley being the most prevalent at 29·2, 22·9 and 12·5%, respectively. Nine of 11 Salm. Enteritidis isolates occurred during summer. Of 48 isolates, 38 (79%) were resistant to one or more of the antimicrobial agents used. The highest resistance rates were found to the following antimicrobials: streptomycin (64·5%), tetracycline (56·2%), nalidixic acid (39·5%), ampicillin and rifampicin (33·3%). The relatively high Salmonella spp. contamination rates of raw chicken meat and liver have been detected. Salm. Enteritidis isolates peaked in summer, increasing the risk to human health. Antibiotic resistance of Salmonella still remains a threat as resistance plasmids may be extensively shared between animal and humans. The study enabled us to improve the data on the seasonal occurrence of Salmonella and to determine the antimicrobial pattern profile and trends in Salmonella strains isolated from poultry retail products in Greece. © 2012 The Authors. Letters in Applied Microbiology © 2012 The Society for Applied Microbiology.

  14. Antibiotic stress-induced modulation of the endoribonucleolytic activity of RNase III and RNase G confers resistance to aminoglycoside antibiotics in Escherichia coli.

    Science.gov (United States)

    Song, Wooseok; Kim, Yong-Hak; Sim, Se-Hoon; Hwang, Soonhye; Lee, Jung-Hyun; Lee, Younghoon; Bae, Jeehyeon; Hwang, Jihwan; Lee, Kangseok

    2014-04-01

    Here, we report a resistance mechanism that is induced through the modulation of 16S ribosomal RNA (rRNA) processing on the exposure of Escherichia coli cells to aminoglycoside antibiotics. We observed decreased expression levels of RNase G associated with increased RNase III activity on rng mRNA in a subgroup of E. coli isolates that transiently acquired resistance to low levels of kanamycin or streptomycin. Analyses of 16S rRNA from the aminoglycoside-resistant E. coli cells, in addition to mutagenesis studies, demonstrated that the accumulation of 16S rRNA precursors containing 3-8 extra nucleotides at the 5' terminus, which results from incomplete processing by RNase G, is responsible for the observed aminoglycoside resistance. Chemical protection, mass spectrometry analysis and cell-free translation assays revealed that the ribosomes from rng-deleted E. coli have decreased binding capacity for, and diminished sensitivity to, streptomycin and neomycin, compared with wild-type cells. It was observed that the deletion of rng had similar effects in Salmonella enterica serovar Typhimurium strain SL1344. Our findings suggest that modulation of the endoribonucleolytic activity of RNase III and RNase G constitutes a previously uncharacterized regulatory pathway for adaptive resistance in E. coli and related gram-negative bacteria to aminoglycoside antibiotics.

  15. Prevalence and characterization of plasmids carrying sulfonamide resistance genes among Escherichia coli from pigs, pig carcasses and human

    DEFF Research Database (Denmark)

    Shuyu, Wu; Dalsgaard, A.; Hammerum, A. M.

    2010-01-01

    isolates. Fifty-seven sulfonamide-resistant E. coli were selected based on presence of sul resistance genes and subjected to conjugation and/or transformation experiments. S1 nuclease digestion followed by pulsed-field gel electrophoresis was used to visualize and determine the size of plasmids. Plasmids...... and humans) were 65%, 45% and 12% for sul2, sul1, and sul3, respectively. Transfer of resistance through conjugation was observed in 42/57 isolates. Resistances to streptomycin, ampicillin and trimethoprim were co-transferred in most strains. Class 1 integrons were present in 80% of sul1-carrying plasmids...

  16. Drug resistance patterns in pulmonary tuberculosis

    International Nuclear Information System (INIS)

    Khoharo, H.K.; Shaikh, I.A.

    2011-01-01

    Objective: To determine the resistance patterns of mycobacterium tuberculosis (MTB) isolates among category I and II patients of pulmonary tuberculosis. Methods: This cross sectional study was conducted at the Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, from November 2008 to September 2009. Patients were divided into category I and II. The sputa were collected, stained with Ziehl-Nielsen (Z-N) staining and ultimately inoculated on Lowenstein-Jensen (L-J) media for six weeks. Out of 890 pulmonary tuberculosis (PTB) patients, the growth was obtained in 285 cases. The Drug sensitivity testing (DST) for Isoniazid (INH), Rifampicin (RIF), Ethambutol (EMB) Pyrazinamide (PZA) and Streptomycin (SM) were performed. The data was analyzed on SPSS 10.0. A p-value of <0.05 was taken as significant. Result: Out of 285 cases, 176 (61.75%) were male and 109 (38.24%) female. The mean age was 37 +- 19.90 years. The DST showed drug sensitive and drug resistant isolates in 80 (28.05%) and 205 (71.92%) cases respectively (p=0.001). The drug resistant tuberculosis (DR-TB) rates for individual drugs; INH, RIF, EMB, PZA and SM were 51,22%, 15.4%, 13.33%, 9%12, and 3.85% respectively (p=0.03). The MDR-TB isolates were detected in 120 (42.10%) cases, including 5 (5.88%) in category I and 115 (57.50%) in category II patients (p=0.0001). Conclusion: Drug resistant and multidrug resistant tuberculosis was observed mainly in category II patients. However, primary MDR was also observed in category I patients and reflects dissemination of MDR cases within the community. (author)

  17. Trends in antimicrobial susceptibility and presence of resistance genes in Staphylococcus hyicus isolated from exudative epidermitis in pigs

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Jensen, L. B.

    2002-01-01

    (vat, vga, vga(B), vat(B), vat(D) and vat(E)), streptomycin (aadE) and tetracycline resistance (tet(K), tet(L), tet(M) and tet(O)) were determined in selected isolates. The occurrence of erythromycin resistance increased from 33% in 1996 to a maximum of 62% in 1997 and decreased to 26% in 2001....... Resistance to sulphametazole increased from 17% in 1996 to 30% in 1998 but has since decreased to 4% in 2001. Resistance to trimethoprim increased to 51% in 1997 and decreased to 21% in 2001. Resistance to tetracycline (21-31%) remained relatively constant during 1996-2000, but increased to 47% in 2001...

  18. [Streptomycin--an activator of persisting tick-borne encephalitis virus].

    Science.gov (United States)

    Malenko, G V; Pogodina, V V; Karmysheva, V Ia

    1984-01-01

    The effect of streptomycin (C) on persistence of tick-borne encephalitis (TBE) virus in Syrian hamsters infected with 3 strains of the virus (41/65, Aina/1448, Vasilchenko ) intracerebrally or subcutaneously was studied. In the animals not given C the infectious virus could be detected in the brain for 8-14 days but not later although their organs (mostly brains and spleens) contained the hemagglutinating antigen and viral antigen detectable by immunofluorescence. Intramuscularly C was given twice daily for 13-35 days in a daily dose of 200 mg/kg. The C-treated hamsters yielded 7 virulent TBE virus strains: 3 from the brain, 3 from the spleen, and one from the blood. No virus could be isolated from the liver, kidneys, or lungs despite the use of various methods for isolation including tissue explantation. The activating effect of C was observed against the background of 4-fold decrease in the titre of complement-fixing and antihemagglutinating antibodies. C exerted its activating effect both at early (70 days) and late (9 months) stages of TBE virus persistence. The activating effect of C appears to be due to its immunosuppressive properties and neurotoxic action on the CNS.

  19. Phenotypic and molecular characterization of antimicrobial resistance in Proteus mirabilis isolates from dogs.

    Science.gov (United States)

    Harada, Kazuki; Niina, Ayaka; Shimizu, Takae; Mukai, Yujiro; Kuwajima, Ken; Miyamoto, Tadashi; Kataoka, Yasushi

    2014-11-01

    Large-scale monitoring of resistance to 14 antimicrobial agents was performed using 103 Proteus mirabilis strains isolated from dogs in Japan. Resistant strains were analysed to identify their resistance mechanisms. Rates of resistance to chloramphenicol, streptomycin, enrofloxacin, trimethoprim/sulfamethoxazole, kanamycin, ampicillin, ciprofloxacin, cephalothin, gentamicin, cefoxitin and cefotaxime were 20.4, 15.5, 12.6, 10.7, 9.7, 8.7, 5.8, 2.9, 2.9, 1.9 and 1.9%, respectively. No resistance to ceftazidime, aztreonam or imipenem was found. Class 1 and 2 integrases were detected in 2.9 and 11.7% of isolates, respectively. Class 1 integrons contained aadB or aadB-catB-like-blaOXA10-aadA1, whereas those of class 2 contained sat-aadA1, dhfr1-sat-aadA1 or none of the anticipated resistance genes. Of five distinct plasmid-mediated quinolone-resistance (PMQR) genes, only qnrD gene was detected in 1.9% of isolates. Quinolone-resistance determining regions (QRDRs) of gyrA and parC from 13 enrofloxacin-intermediate and -resistant isolates were sequenced. Seven strains had double mutations and three had single mutations. Three of nine ampicillin-resistant isolates harboured AmpC-type β-lactamases (i.e. blaCMY-2, blaCMY-4 and blaDHA-1). These results suggest that canine Proteus mirabilis deserves continued surveillance as an important reservoir of antimicrobial resistance determinants. This is the first report, to our knowledge, describing integrons, PMQRs and QRDR mutations in Proteus mirabilis isolates from companion animals. © 2014 The Authors.

  20. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria.

    Science.gov (United States)

    Adelowo, Olawale O; Fagade, Obasola E; Agersø, Yvonne

    2014-09-12

    This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resistance was: tetracycline 81%, sulphamethoxazole 67%, streptomycin 56%, trimethoprim 47 %, ciprofloxacin 42%, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), strB (61%), catA1 (25%), cmlA1 (13%), tetA (21%) and tetB (17%). Class 1 and 2 integrons were found in five (14%) and six (17%) isolates, respectively, while one isolate was positive for both classes of integrons. Seven out of eight isolates with resistance to ciprofloxacin and MIC ≤ 32 mg/L to nalidixic acid contained qnrS genes. Our findings provided additional evidence that the poultry production environment in Nigeria represents an important reservoir of antibiotic resistance genes such as qnrS that may spread from livestock production farms to human populations via manure and water.

  1. Antibiotic resistance in bacteria isolated from vegetables with regards to the marketing stage (farm vs. supermarket).

    Science.gov (United States)

    Schwaiger, Karin; Helmke, Katharina; Hölzel, Christina Susanne; Bauer, Johann

    2011-08-15

    The aim of this study was to elucidate whether and to what extent fresh produce from Germany plays a role as a carrier and reservoir of antibiotic resistant bacteria. For this purpose, 1001 vegetables (fruit, root, bulbous vegetables, salads and cereals) were collected from 13 farms and 11 supermarkets in Germany and examined bacteriologically. Phenotypic resistance of Enterobacter cloacae (n=172); Enterobacter gergoviae (n=92); Pantoea agglomerans (n=96); Pseudomonas aeruginosa (n=295); Pseudomonas putida (n=106) and Enterococcus faecalis (n=100) against up to 30 antibiotics was determined by using the microdilution method. Resistance to ß-lactams was most frequently expressed by P. agglomerans and E. gergoviae against cefaclor (41% and 29%). Relatively high resistance rates were also observed for doxycycline (23%), erythromycin (21%) and rifampicin (65%) in E. faecalis, for spectinomycin (28%) and mezlocillin (12%) in E. cloacae, as well as for streptomycin (19%) in P. putida. In P. aeruginosa, relatively low resistance rates were observed for the aminoglycosides amikacin, apramicin, gentamicin, neomycin, netilmicin and tobramycin (bacteria isolated from farm samples were higher than those of the retail markets whenever significant differences were observed. This suggests that expressing resistance is at the expense of bacterial viability, since vegetables purchased directly at the farm are probably fresher than at the supermarket, and they have not been exposed to stress factors. However, this should not keep the customer from buying directly at the farm, since the overall resistance rates were not higher than observed in bacteria from human or animal origin. Instead, peeling or washing vegetables before eating them raw is highly recommended, since it reduces not only the risk of contact with pathogens, but also that of ingesting and spreading antibiotic resistant bacteria. Copyright © 2011 Elsevier B.V. All rights reserved.

  2. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    Science.gov (United States)

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high levels of ampicillin resistance in the western and northern regions were illustrated. Bacterial identification of the isolates selected for further study indicated the prevalence of some opportunistic pathogens and 62.0% of the 78 isolates exhibited multiple antibiotic resistance. The presence of ESBLs genes was in the following sequence: blaTEM > blaSHV > blaCTMX and 38.5% of the isolates had a class I integrase gene. Of all tested strains, 80.8% were able to transfer antibiotic resistance through conjugation. We also concluded that some new families of human-associated ESBLs and AmpC genes can be found in natural environmental isolates. The prevalence of antibiotic resistance and the dissemination of transferable antibiotic resistance in bacterial isolates (especially in opportunistic pathogens) was alarming and clearly indicated the urgency of realizing the health risks of antibiotic resistance to human and animal populations who are dependent on Lake Taihu for water consumption. PMID:24240317

  3. Antibiotic resistance of Enterobacteriaceae strains isolated from different animals gastrointestinal tracts

    Directory of Open Access Journals (Sweden)

    Lukáš Hleba

    2015-05-01

    Full Text Available In this study we monitored antibiotic resistance in Enterobacteriaceae strains isolated from different animals gastrointestinal tracts  (GIT. We isolated Enterobacteriaceae from chicken, ducks, lambs, pigs, sheeps, cows and rabbits collected from slovakian farms. Enterobacteriaceae strains were cultivated on MacConkey agar at 35° ± 2°C at 24 hours. Pure cultures of Enterobacteriaceae strains were obtained by four-way streak method on Chromogenic coliform agar. Identification of purified Enterobacteriaceae strains were done by Enterotest 24 and MALDI TOF MS. For susceptibility testing disk diffusion method was used according by EUCAST. We determined the most resistance in Enterobacteriaceae strains against streptomycin, tetracycline, ampicillin, piperecillin, levofloxacine, chloramphenicol and smaller level of resistance against amikacin, ceftriaxone and ofloxacine. Equally we detected resistance to more antibiotics in one strain. The most resistance was Salmonella enterica ser. Typhimurium. Also E. coli was resistance against four antibiotics and Raoultella ornithinolytica too. Antibiotic resistance was found in other isolated strains too.

  4. Characterization of antibiotic resistant Escherichia coli in different poultry farming systems in the Eastern Province and Kigali City of Rwanda

    Directory of Open Access Journals (Sweden)

    R. Manishimwe

    2017-09-01

    Full Text Available Antibiotic resistance has become a global public health concern as a wide num­ber of resistant bacteria are continuously emerging. Animals have been pointed out as one of the sources of antibiotic-resistant bacteria that can be transferred to humans. To enrich the data on antibiotic resistance in animals in Rwanda, a cross-sectional study was carried out in the Eastern Province and in Kigali City to isolate Escherichia coli from free-range and commercial poultry farms. Fecal samples were collected from 294 poultry farms and E. coli strains were isolated and identified. In total 241 E. coli isolates were subjected to an antibi­otic sensitivity test using five antibiotics (gentamicin, streptomycin, rifampicin, doxycycline and erythromycin. Antibiotic use in poultry was low in free-range poultry farms (30.9% compared to layer and broiler production farms (100%. Among 151 farmers who reported using antibiotics in poultry, almost half (49.7% always used antibiotics with a veterinarian prescription. Out of 241 E. coli isolates, 43.2% had a multiple resistance to four of the five antibiotics tested. Almost all the isolates (98.8% were resistant to erythromycin, 78.8% were resistant to streptomycin, 77.6% were resistant to doxycycline, 69.3% were resistant to rifampicin and only a few were resistant to gentamicin (3.7%. No statistically significant difference was observed regarding isolate resistance against antibiotics according to the farming system type. However, resistance of isolates to doxycycline was significantly higher in farms where antibiotic use was reported (84% than in farms where antibiotic use was not reported (70%. The observed antibiotic resistance of E. coli shows the existence of a potential source of resistance that can be transferred to pathogenic bacteria and impact humans as well as animals.

  5. Commensal E. coli as an Important Reservoir of Resistance Encoding Genetic Elements

    Directory of Open Access Journals (Sweden)

    Azam Mahmoudi-Aznaveh

    2013-11-01

    Full Text Available Background: Diarrheagenic E. coli is the most important cause of diarrhea in children and is a public health concern in developing countries. A major public problem is acquisition and transmission of antimicrobial resistance via mobile genetic elements including plasmids, conjugative transposons, and integrons which may occur through horizontal gene transfer. Objectives: The aim of this study was to investigate the distribution of class 1 and 2 integrons among commensal and enteropathogenic E. coli isolates and assess the role of commensal E. coli population as a reservoir in the acquisition and transmission of antimicrobial resistance. Materials and Methods: Swabs were collected directly from stool samples of the children with diarrhea admitted to three hospitals in Tehran, Iran during July 2012 through October 2012. Antimicrobial susceptibility testing and PCR analysis were performed for analysis of the resistance pattern and integron content of isolates. Results: A total of 20 enteropathogenic E.coli (identified as eae+stx1-stx2- and 20 commensal E.coli were selected for analysis. The resistance pattern in commensal and pathogenic E.coli was very similar. In both groups a high rate of resistance was seen to tetracycline, streptomycin, cotrimoxazole, nalidixic acid, and minocycline. Of 20 EPEC strains, 3 strains (15 % and 1 strain (5% had positive results for int and hep genes, respectively. Among 20 commensal, 65% (13 strains and 10% (2 strains had positive results for int and hep genes, respectively. Conclusions: The higher rate of class 1 integron occurrence among commensal population proposes the commensal intestinal organisms as a potential reservoir of mobile resistance gene elements which could transfer the resistance gene cassettes to other pathogenic and/or nonpathogenic organisms in the intestinal lumen at different occasions.

  6. Extending the durability of cultivar resistance by limiting epidemic growth rates.

    Science.gov (United States)

    Carolan, Kevin; Helps, Joe; van den Berg, Femke; Bain, Ruairidh; Paveley, Neil; van den Bosch, Frank

    2017-09-27

    Cultivar resistance is an essential part of disease control programmes in many agricultural systems. The use of resistant cultivars applies a selection pressure on pathogen populations for the evolution of virulence, resulting in loss of disease control. Various techniques for the deployment of host resistance genes have been proposed to reduce the selection for virulence, but these are often difficult to apply in practice. We present a general technique to maintain the effectiveness of cultivar resistance. Derived from classical population genetics theory; any factor that reduces the population growth rates of both the virulent and avirulent strains will reduce selection. We model the specific example of fungicide application to reduce the growth rates of virulent and avirulent strains of a pathogen, demonstrating that appropriate use of fungicides reduces selection for virulence, prolonging cultivar resistance. This specific example of chemical control illustrates a general principle for the development of techniques to manage the evolution of virulence by slowing epidemic growth rates. © 2017 The Author(s).

  7. Potential management of resistant microbial infections with a novel non-antibiotic

    DEFF Research Database (Denmark)

    Dutta, Noton Kumar; Annadurai, Subramanian; Mazumdar, Kaushiki

    2007-01-01

    Diclofenac sodium (Dc), an anti-inflammatory agent, has remarkable inhibitory action both against drug-sensitive and drug-resistant clinical isolates of various Gram-positive and Gram-negative bacteria. The aim of this study was to determine the ability of Dc to protect mice from a virulent...... Salmonella infection. Dc injected at 1.5 microg/g and 3.0 microg/g mouse body weight significantly protected animals from the lethality of Salmonella infection. As was the case for the in vitro interaction, Dc in combination with streptomycin was even more effective. The non-antibiotic drug Dc has potential...... for the management of problematic antibiotic-resistant bacterial infections....

  8. Antimicrobial resistance and phylogenetic groups in isolates of Escherichia coli from seagulls at the Berlengas nature reserve.

    Science.gov (United States)

    Radhouani, H; Poeta, P; Igrejas, G; Gonçalves, A; Vinué, L; Torres, C

    2009-08-01

    Fifty-three faecal samples from yellow-legged gulls (Larus cachinnans) at the Berlengas nature reserve in Portugal were cultured on Levine agar plates not supplemented with antimicrobial agents, and one Escherichia coli colony was isolated and identified from each sample. The percentages of resistant isolates for each of the drugs were ampicillin (43.4 per cent), tetracycline (39.6 per cent), nalidixic acid (34.0 per cent), streptomycin (32.1 per cent), trimethoprim-sulfamethoxazole (SXT) (26.4 per cent), ciprofloxacin (18.9 per cent), chloramphenicol (18.9 per cent), gentamicin (7.5 per cent), tobramycin (7.5 per cent) amikacin (5.7 per cent) and amoxicillin-clavulanic acid (1.9 per cent). All the isolates were susceptible to cefoxitin, ceftazidime, cefotaxime, aztreonam and imipenem. The following resistance genes were detected: bla(TEM) (17 of 23 ampicillin-resistant isolates), tet(A) and/or tet(B) (18 of 21 tetracycline-resistant isolates), aadA (12 of 17 streptomycin-resistant isolates), cmlA (all chloramphenicol-resistant isolates), aac(3)-II with or without aac(3)-IV (all four gentamicin-resistant isolates), and sul1 and/or sul2 and/or sul3 (all 14 SXT-resistant isolates). The intI1 gene was detected in 10 of 14 SXT-resistant isolates, and three of them also contained class 2 integrons; four different gene cassette arrangements were identified among class 1 integrons (aadA, dfrA1+aadA1, dfrA12+orfF+aadA2 and sat+psp+aadA2) and one among the class 2 integrons (dfrA1+sat+aadA1). Ninety per cent of the isolates were included in the A or B1 phylogenetic groups.

  9. Reinforcer magnitude and rate dependency: evaluation of resistance-to-change mechanisms.

    Science.gov (United States)

    Pinkston, Jonathan W; Ginsburg, Brett C; Lamb, Richard J

    2014-10-01

    Under many circumstances, reinforcer magnitude appears to modulate the rate-dependent effects of drugs such that when schedules arrange for relatively larger reinforcer magnitudes rate dependency is attenuated compared with behavior maintained by smaller magnitudes. The current literature on resistance to change suggests that increased reinforcer density strengthens operant behavior, and such strengthening effects appear to extend to the temporal control of behavior. As rate dependency may be understood as a loss of temporal control, the effects of reinforcer magnitude on rate dependency may be due to increased resistance to disruption of temporally controlled behavior. In the present experiments, pigeons earned different magnitudes of grain during signaled components of a multiple FI schedule. Three drugs, clonidine, haloperidol, and morphine, were examined. All three decreased overall rates of key pecking; however, only the effects of clonidine were attenuated as reinforcer magnitude increased. An analysis of within-interval performance found rate-dependent effects for clonidine and morphine; however, these effects were not modulated by reinforcer magnitude. In addition, we included prefeeding and extinction conditions, standard tests used to measure resistance to change. In general, rate-decreasing effects of prefeeding and extinction were attenuated by increasing reinforcer magnitudes. Rate-dependent analyses of prefeeding showed rate-dependency following those tests, but in no case were these effects modulated by reinforcer magnitude. The results suggest that a resistance-to-change interpretation of the effects of reinforcer magnitude on rate dependency is not viable.

  10. Antimicrobial resistance in Salmonella enterica subsp. enterica serovar typhimurium from humans and production animals

    DEFF Research Database (Denmark)

    Seyfarth, Anne Mette; Wegener, Henrik Caspar; FrimodtMoller, N.

    1997-01-01

    : Poultry strains were usually resistant only to ampicillin, white pig and cattle isolates were most often resistant to sulphonamide, tetracycline and streptomycin. Typing of the strains showed that some animal strains and human strains were indistinguishable. In conclusion, while antimicrobial resistance......We have studied the frequency of antimicrobial resistance and epidemiological relatedness among 473 isolates of Salmonella enterica subsp, enterica serovar typhimurium (S. typhimurium) from human and veterinary sources. The human strains were clinical isolates from patients with diarrhoea sent...... to the State Serum Institute during August 1993 (228 isolates). The animal strains were isolated from clinical or subclinical infections in cattle (48 isolates), pigs (99 isolates) or poultry (98 isolates), all from 1993. All strains were tested against 22 different antimicrobial agents used in both human...

  11. The in vitro fitness cost of antimicrobial resistance in Escherichia coli varies with the growth conditions

    DEFF Research Database (Denmark)

    Petersen, Andreas; Aarestrup, Frank Møller; Olsen, John Elmerdahl

    2009-01-01

    The objective of this study was to investigate the influence of stressful growth conditions on the fitness cost of antimicrobial resistance in Escherichia coli BJ4 caused by chromosomal mutations and plasmid acquisition. The fitness cost of chromosomal streptomycin resistance increased......H and at high-salt concentrations. Strains with an impaired rpoS demonstrated a reduced fitness only during growth in a high-salt concentration. In conclusion, it was demonstrated that bacterial fitness cost in association with antimicrobial resistance generally increases under stressful growth conditions....... However, the growth potential of bacteria with antimicrobial resistances did not increase in a straightforward manner in these in vitro experiments and is therefore probably even more difficult to predict in vivo....

  12. Rate effects in resistive plate chambers

    International Nuclear Information System (INIS)

    Lippmann, C.; Riegler, W.; Kalweit, A.

    2006-01-01

    The resistive plates in RPCs cause a drop of the electric field in the gas gap at high particle rates or large gas gain, which affects efficiency and time resolution. This effect is typically estimated by assuming the particle flux to be a DC current that causes a voltage drop when it passes through the resistive plate. In an improved model by Abbrescia (Nucl. Instr. Meth. A 533 (2004) 7), the fluctuation of the field in the gas gap is modelled by assuming that the avalanche partially discharges a small capacitor which gets recharged with a time constant characteristic for the given RPC. In our approach, the effect is calculated by using the exact analytic solution for the time dependent electric field of a point charge sitting on the surface of a resistive plate in an RPC. This is, by definition, the best possible approximation to reality. The solution is obtained using the quasi-static approximation of Maxwell's equations. The formulas are presented as integral representations with 'cured' integrands, which allow easy numerical evaluation for Monte Carlo simulations. The solutions show that the charges in RPCs are 'destroyed' with a continuous distribution of time constants which are related in a very intuitive way to some limiting cases. Using these formulas we present a Monte Carlo simulation of rate effects, proving the applicability of this approach. Finally, we compare the Monte Carlo results to analytical calculations, similar to the ones proposed by Gonzalez-Diaz et al. (see proceedings of this conference)

  13. Methicillin-resistant Staphylococcus aureus of lineage ST398 as cause of mastitis in cows.

    Science.gov (United States)

    Silva, N C C; Guimarães, F F; Manzi, M P; Júnior, A Fernandes; Gómez-Sanz, E; Gómez, P; Langoni, H; Rall, V L M; Torres, C

    2014-12-01

    The objective of this study was to analyse the prevalence and molecular characteristics of methicillin-resistant Staphylococcus aureus (MRSA) in milk of cows with mastitis. The California mastitis test (CMT) was used to detect the presence of mastitis in all 100 cows of a farm in Brazil. The CMT was positive in milk of 115 mammary quarters from 36 cows (36%). MRSA isolates were recovered from 4 of these 36 cows with mastitis (11%), and they were further characterized (one MRSA/sample). The four MRSA isolates were typed as t011-ST398-agr1-SCCmecV and presented two different pulsed-field-gel-electrophoresis-ApaI patterns. These four MRSA isolates showed resistance to tetracycline, streptomycin and ciprofloxacin, carried the mecA, blaZ, tet(K), and tet(M) resistance genes, and presented the S84L and S80F amino acid substitutions in GyrA and GrlA proteins, respectively. Two ST398 isolates exhibited resistance to gentamicin and tobramycin [with aac(6)-aph(2") and ant(4)-Ia genes] and one isolate resistance to clindamycin [with lnu(B) and lsa(E) genes]; this latter isolate also carried the spectinomycin/streptomycin resistance genes spw and aadE. MRSA of lineage ST398 is worldwide spread, normally multidrug resistant and may be responsible for bovine mastitis. To our knowledge, this is the first detection of MRSA-ST398 in Brazil. Few studies on the epidemiology of methicillin-resistant Staphylococcus aureus (MRSA) from bovine isolates have been performed in Brazil. MRSA of lineage ST398 is worldwide spread and associated with farm animals. Multidrug-resistant MRSA-ST398 isolates were recovered in 11% of mastitic cows from a single farm, with one isolate carrying the unusual lsa(E), spw and aadE genes. To our knowledge, this is the first detection of MRSA-ST398 isolates in milk samples of cows with mastitis in Brazil. © 2014 The Society for Applied Microbiology.

  14. Characterisation of integrons and antibiotic resistance genes in Danish multiresistant Salmonella enterica Typhimurium DT104

    DEFF Research Database (Denmark)

    Sandvang, Dorthe; Aarestrup, Frank Møller; Jensen, Lars Bogø

    1997-01-01

    The presence and genetic content of integrons was investigated in eight Salmonella enterica Typhimurium DT104 isolates from different pig herds in Denmark. Two different integrons were identified using PCR and sequencing. Each of the integrons carried a single resistance cassette in addition...... to the sul1 and qacE Delta 1 genes characteristic of integrons. The first integron encoded the ant (3 ")-Ia gene that specified resistance to spectinomycin and streptomycin. The second contained the pse-l beta-lactamase gene. All the multiresistant strains contained both integrons. The presence of these two...... integrons did not account for the total phenotypic resistance of all the isolates and does not exclude the presence of other mobile DNA elements....

  15. Characterisation of integrons and antibiotic resistance genes in Danish multiresistant Salmonella enterica Typhimurium DT104

    DEFF Research Database (Denmark)

    Sandvang, Dorthe; Aarestrup, Frank Møller; Jensen, Lars Bogø

    1998-01-01

    The presence and genetic content of integrons was investigated in eight Salmonella enteritica Typhimurium DT104 isolates from different pig herds in Denmark. Two different integrons were identified using PCR and sequencing. Each of the integrons carried a single resistance cassette in addition...... to the sul1 and qacE Delta 1 genes characteristic of integrons. The first integron encoded the ant (3")-Ia gene that specified resistance to spectinomycin and streptomycin. The second contained the pse-1 beta-lactamase gene. All the multiresistant strains contained both integrons. The presence of these two...... integrons did not account for the total phenotypic resistance of all the isolates and does not exclude the presence of other mobile DNA elements....

  16. Trends in antimicrobial susceptibility in relation to antimicrobial usage and presence of resistance genes in Staphylococcus hyicus isolated from exudative epidermitis in pigs

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Jensen, Lars Bogø

    2002-01-01

    (vat, vga, vga(B), vat(B), vat(D) and vat(E)), streptomycin (aadE) and tetracycline resistance (tet(K), tet(L), tet(M) and tet(O)) were determined in selected isolates. The occurrence of erythromycin resistance increased from 33% in 1996 to a maximum of 62% in 1997 and decreased to 26% in 2001....... Resistance to sulphametazole increased from 17% in 1996 to 30% in 1998 but has since decreased to 4% in 2001. Resistance to trimethoprim increased to 51% in 1997 and decreased to 21% in 2001. Resistance to tetracycline (21-31%) remained relatively constant during 1996-2000, but increased to 47% in 2001...

  17. Cross-sectional survey of antibiotic resistance in Escherichia coli isolated from diseased farm livestock in England and Wales.

    Science.gov (United States)

    Cheney, T E A; Smith, R P; Hutchinson, J P; Brunton, L A; Pritchard, G; Teale, C J

    2015-09-01

    Between 2005 and 2007, E. coli obtained from clinical diagnostic submissions from cattle, goats, pigs and sheep to government laboratories in England and Wales were tested for sensitivity to 16 antimicrobials. Resistance was most commonly observed against ampicillin, streptomycin, sulphonamides and tetracyclines. Resistance levels varied significantly between species, with isolates from cattle frequently showing the highest levels. Verocytotoxigenic E. coli (VTEC) expressed less resistance than non-VTEC. Only 19·3% of non-VTEC and 43·5% of VTEC were susceptible to all antimicrobials, while 47·1% and 30·4%, respectively, were resistant to ⩾5 antimicrobials. The resistance phenotype SSuT was commonly observed, and isolates resistant to third-generation cephalosporins were also identified. We recommend judicious antimicrobial usage in the livestock industry in order to preserve efficacy.

  18. Antibacterial agents and heavy metal resistance in Gram-negative bacteria isolated from seawater, shrimp and sediment in Iskenderun Bay, Turkey

    Energy Technology Data Exchange (ETDEWEB)

    Matyar, Fatih [Cukurova University, Faculty of Education, Department of Science and Technology Education, 01330 Balcali, Adana (Turkey)], E-mail: fmatyar@cu.edu.tr; Kaya, Aysenur; Dincer, Sadik [Cukurova University, Faculty of Science and Letters, Department of Biology, 01330 Balcali, Adana (Turkey)

    2008-12-15

    The aim of the present study was to determine the level of antibiotic resistance patterns and distribution of heavy metal resistance of bacterial isolates from seawater, sediment and shrimps, and to determine if there is a relationship between antibiotic and heavy metal resistance. We undertook studies in 2007 in the industrially polluted Iskenderun Bay, on the south coast of Turkey. The resistance of 236 Gram-negative bacterial isolates (49 from seawater, 90 from sediment and 97 from shrimp) to 16 different antibiotics, and to 5 heavy metals, was investigated by agar diffusion and agar dilution methods, respectively. A total of 31 species of bacteria were isolated: the most common strains isolated from all samples were Escherichia coli (11.4%), Aeromonas hydrophila (9.7%) and Stenotrophomonas maltophilia (9.3%). There was a high incidence of resistance to ampicillin (93.2%), streptomycin (90.2%) and cefazolin (81.3%), and a low incidence of resistance to imipenem (16.5%), meropenem (13.9%) and cefepime (8.0%). Some 56.8% of all bacteria isolated from seawater, sediment and shrimp were resistant to 7 or more antibiotics. Most isolates showed tolerance to different concentrations of heavy metals, and minimal inhibition concentrations ranged from 12.5 {mu}g/ml to > 3200 {mu}g/ml. The bacteria from seawater, sediment and shrimp showed high resistance to cadmium of 69.4%, 88.9%, and 81.1% respectively, and low resistance to manganese of 2%, 6.7% and 11.3% respectively. The seawater and sediment isolates which were metal resistant also showed a high resistance to three antibiotics: streptomycin, ampicillin and trimethoprim-sulphamethoxazole. In contrast, the shrimp isolates which were metal resistant were resistant to four antibiotics: cefazolin, nitrofurantoin, cefuroxime and ampicillin. Our results show that Iskenderun Bay has a significant proportion of antibiotic and heavy metal resistant Gram-negative bacteria, and these bacteria constitute a potential risk for

  19. Antibacterial agents and heavy metal resistance in Gram-negative bacteria isolated from seawater, shrimp and sediment in Iskenderun Bay, Turkey

    International Nuclear Information System (INIS)

    Matyar, Fatih; Kaya, Aysenur; Dincer, Sadik

    2008-01-01

    The aim of the present study was to determine the level of antibiotic resistance patterns and distribution of heavy metal resistance of bacterial isolates from seawater, sediment and shrimps, and to determine if there is a relationship between antibiotic and heavy metal resistance. We undertook studies in 2007 in the industrially polluted Iskenderun Bay, on the south coast of Turkey. The resistance of 236 Gram-negative bacterial isolates (49 from seawater, 90 from sediment and 97 from shrimp) to 16 different antibiotics, and to 5 heavy metals, was investigated by agar diffusion and agar dilution methods, respectively. A total of 31 species of bacteria were isolated: the most common strains isolated from all samples were Escherichia coli (11.4%), Aeromonas hydrophila (9.7%) and Stenotrophomonas maltophilia (9.3%). There was a high incidence of resistance to ampicillin (93.2%), streptomycin (90.2%) and cefazolin (81.3%), and a low incidence of resistance to imipenem (16.5%), meropenem (13.9%) and cefepime (8.0%). Some 56.8% of all bacteria isolated from seawater, sediment and shrimp were resistant to 7 or more antibiotics. Most isolates showed tolerance to different concentrations of heavy metals, and minimal inhibition concentrations ranged from 12.5 μg/ml to > 3200 μg/ml. The bacteria from seawater, sediment and shrimp showed high resistance to cadmium of 69.4%, 88.9%, and 81.1% respectively, and low resistance to manganese of 2%, 6.7% and 11.3% respectively. The seawater and sediment isolates which were metal resistant also showed a high resistance to three antibiotics: streptomycin, ampicillin and trimethoprim-sulphamethoxazole. In contrast, the shrimp isolates which were metal resistant were resistant to four antibiotics: cefazolin, nitrofurantoin, cefuroxime and ampicillin. Our results show that Iskenderun Bay has a significant proportion of antibiotic and heavy metal resistant Gram-negative bacteria, and these bacteria constitute a potential risk for public

  20. Ciprofloxacin Resistance and Gonorrhea Incidence Rates in 17 Cities, United States, 1991–2006

    Science.gov (United States)

    Kirkcaldy, Robert D.; Gift, Thomas L.; Owusu-Edusei, Kwame; Weinstock, Hillard S.

    2014-01-01

    Antimicrobial drug resistance can hinder gonorrhea prevention and control efforts. In this study, we analyzed historical ciprofloxacin resistance data and gonorrhea incidence data to examine the possible effect of antimicrobial drug resistance on gonorrhea incidence at the population level. We analyzed data from the Gonococcal Isolate Surveillance Project and city-level gonorrhea incidence rates from surveillance data for 17 cities during 1991–2006. We found a strong positive association between ciprofloxacin resistance and gonorrhea incidence rates at the city level during this period. Their association was consistent with predictions of mathematical models in which resistance to treatment can increase gonorrhea incidence rates through factors such as increased duration of infection. These findings highlight the possibility of future increases in gonorrhea incidence caused by emerging cephalosporin resistance. PMID:24655615

  1. Multidrug Resistance in Infants and Children

    Directory of Open Access Journals (Sweden)

    Gian Maria Pacifici

    2018-02-01

    Full Text Available Bacterial infections may cause disease and death. Infants and children are often subject to bacterial infections. Antimicrobials kill bacteria protecting the infected patients andreducing the risk of morbidity and mortality caused by bacteria. The antibiotics may lose their antibacterial activity when they become resistant to a bacteria. The resistance to different antibiotics in a bacteria is named multidrug-resistance. Gram-negative bacilli, especially Escherichia coli, Klebsiella, Enterobacter, Salmonella, Shigella, Pseudomonas, Streptococcus, and Haemophilus influenzae type b, may become resistant. Amikacin ampicillin, amoxicillin, amoxiclav, cefuroxime, cefotaxime, ceftazidime, cefoperazone tetracycline, chloramphenicol, ciprofloxacin, and gentamicin may cause bacterial-resistance. Resistance to bacteria for several pathogens makes complications in the treatment of infections caused by them. Salmonella strains may become resistant to ampicillin, cephalotin, ceftriaxone, gentamicin, amikacin, trimethoprim-sulfamethoxazole, chloramphenicol, and tetracycline. Shigella strains may become resistant to ampicillin, cotrimoxazole, chloramphenicol, and streptomycin. Multidrug-resistance of Streptococcus pneumoniae may be due to β-lactams, macrolides, tetracycline, chloramphenicol, and trimethoprim-sulfamethoxazole. Multidrug-resistance of Pseudomonas aeruginosa may become resistant to β-lactams, chloramphenicol, trimethoprim-sulfamethoxazole, and tetracycline. The antibacterial activity against Haemophilus strains may occur with ampicillin, sulbactam-ampicillin, trimethoprim-sulfamethoxazole, gentamicin, chloramphenicol, and ciprofloxacin. Multidrug-resistance of the Klebsiella species may be due with ampicillin, cefotaxime, cefuroxime, co-amxilav, mezlocillin, chloramphenicol, gentamicin, and ceftazidime. Multidrug-resistance of Escherichia coli may be caused by ampicillin, cotrimoxazole, chloramphenicol, ceftriaxone, and ceftazidime. Vibrio

  2. Epidemiological characterization of resistance and PCR typing of Shigella flexneri and Shigella sonnei strains isolated from bacillary dysentery cases in Southeast Brazil

    Directory of Open Access Journals (Sweden)

    M.P.A. Penatti

    2007-02-01

    Full Text Available Shigella spp are Gram-negative, anaerobic facultative, non-motile, and non-sporulated bacilli of the Enterobacteriaceae family responsible for "Shigellosis" or bacillary dysentery, an important cause of worldwide morbidity and mortality. However, despite this, there are very few epidemiological studies about this bacterium in Brazil. We studied the antibiotic resistance profiles and the clonal structure of 60 Shigella strains (30 S. flexneri and 30 S. sonnei isolated from shigellosis cases in different cities within the metropolitan area of Campinas, State of São Paulo, Brazil. We used the following well-characterized molecular techniques: enterobacterial repetitive intergenic consensus, repetitive extragenic palindromic, and double-repetitive element-polymerase chain reaction to characterize the bacteria. Also, the antibiotic resistance of the strains was determined by the diffusion disk method. Many strains of S. flexneri and S. sonnei were found to be multi-resistant. S. flexneri strains were resistant to ampicillin in 83.3% of cases, chloramphenicol in 70.0%, streptomycin in 86.7%, sulfamethoxazole in 80.0%, and tetracycline in 80.0%, while a smaller number of strains were resistant to cephalothin (3.3% and sulfazotrim (10.0%. S. sonnei strains were mainly resistant to sulfamethoxazole (100.0% and tetracycline (96.7% and, to a lesser extent, to ampicillin (6.7% and streptomycin (26.7%. Polymerase chain reaction-based typing supported the existence of specific clones responsible for the shigellosis cases in the different cities and there was evidence of transmission between cities. This clonal structure would probably be the result of selection for virulence and resistance phenotypes. These data indicate that the human sanitary conditions of the cities investigated should be improved.

  3. Comparative analysis on antibiotic resistance characteristics of Listeria spp. and Enterococcus spp. isolated from laying hens and eggs in conventional and organic keeping systems in Bavaria, Germany.

    Science.gov (United States)

    Schwaiger, K; Schmied, E-M V; Bauer, J

    2010-05-01

    By investigating the prevalence and antimicrobial resistance characteristics of Gram-positive bacteria from organic and conventional keeping systems of laying hens, it was to be determined to what extent these properties are influenced by the different systems. For this purpose, a total of 799 cloacal swabs and 800 egg samples were examined. Prevalences for all selected bacteria from cloacal swabs were much the same for both organic and caged birds: Listeria spp.1.3%[org] versus 1.6%[con]; Enterococcus spp. 95.5%[org] versus 97.5%[con]. Egg contents and eggshells were generally contaminated to a lesser extent, primarily with Enterococcus spp. Listeria isolates were susceptible to almost all tested antibiotics, only three Listeria innocua from conventional keepings were resistant to clindamycin; one isolate additionally to imipenem. High percentages of Enterococcus faecalis were resistant to doxycycline and macrolides. Enterococcus faecium proved to have high resistance rates to clindamycin, fosfomycin and erythromycin; 9.1% were even resistant to the reserve antibiotic synercid. Further, Enterococcus spp. showed higher resistance rates to doxycycline, erythromycin, fosfomycin and rifampicin. No glycopeptide resistant enterococci were detected. A correlation between keeping system and resistance/susceptibility rates could be demonstrated. In detail, E. faecalis from organic laying hen husbandries showed significant lower resistance prevalences to tylosin, streptomycin and doxycycline; susceptibility rates were higher for enrofloxacin and ciprofloxacin. Rifampicin and imipenem were more effective in isolates from conventional keepings (P < 0.05). The amounts of resistant isolates of the Enterococcus raffinosus from organic farms were significantly lower, the amounts of sensitive isolates were significantly higher than from conventional farms concerning eight antibiotics (P < 0.05). When comparing the susceptibility/resistance rates, as well as the mean minimum

  4. Genetic characterization of antibiotic resistance in enteropathogenic Escherichia coli carrying extended-spectrum beta-lactamases recovered from diarrhoeic rabbits.

    Science.gov (United States)

    Poeta, P; Radhouani, H; Gonçalves, A; Figueiredo, N; Carvalho, C; Rodrigues, J; Igrejas, G

    2010-05-01

    A total of 52 Escherichia coli strains isolated from diarrhoeic rabbits were investigated for their enteropathogenic E. coli (EPEC) pathotype by PCR amplification of eae and bfp virulence genes. A total of 22 EPEC isolates were identified, serotyped and studied for antibiotic resistance and screened for the detection of extended-spectrum beta-lactamases (ESBLs). The EPEC isolates belonged to three serogroups (O26, O92 and O103). The most common serogroup (O103:K-:H2) was observed among 17 EPEC strains, the O92:K-serogroup in three isolates (the antibiotic sensitive ones) and the remaining O26:K-serogroup in two isolates (the ESBLs isolates). Resistances to ampicillin and tetracycline were the most frequent and detected followed by resistance to nalidixic acid, streptomycin, trimethoprim-sulphamethoxazole, cefoxitin, gentamicin and ciprofloxacin. All the isolates were sensitive for amikacin, ceftazidime, aztreonam, imipenem, chloramphenicol, tobramycin and amoxicillin + clavulanic acid. Two isolates recovered from two adult animals showed an intermediate susceptibility to cefotaxime, and a positive screening test for ESBL was demonstrated in both. The bla(TEM) gene was demonstrated in the majority of ampicillin-resistant isolates. The aac(3)-II or aac(3)-IV genes were detected in the four gentamicin-resistant isolates. In addition, the aadA gene was detected in 60% of streptomycin-resistant isolates. The tet(A) or tet(B) genes were identified in all tetracycline-resistant isolates. A total of nine EPEC isolates showed the phenotype SXT-resistant, and the sul1 and/or sul2 and/or sul3 genes were detected in all of them. Our findings showed that the molecular detection by the eae and bfp genes by PCR followed by serotyping is useful for monitoring trends in EPEC infections of rabbits allowing the identification of their possible reservoirs. The detection of genes involved in the resistance to antibiotics of different families in a relatively high proportion of faecal E

  5. Treatment of inflammatory bowel disease associated E. coli with ciprofloxacin and E. coli Nissle in the streptomycin-treated mouse intestine

    DEFF Research Database (Denmark)

    Petersen, Andreas Munk; Schjørring, Susanne; Gerstrøm, Sarah Choi

    2011-01-01

    E. coli belonging to the phylogenetic group B2 are linked to Inflammatory Bowel Disease (IBD). Studies have shown that antimicrobials have some effect in the treatment of IBD, and it has been demonstrated that E. coli Nissle has prophylactic abilities comparable to 5-aminosalicylic acid (5-ASA......) therapy in ulcerative colitis. The objective of this study was to test if ciprofloxacin and/or E. coli Nissle could eradicate IBD associated E. coli in the streptomycin-treated mouse intestine....

  6. Regional, Seasonal, and Temporal Variations in the Prevalence of Antimicrobial-Resistant Escherichia coli Isolated from Pigs at Slaughter in Denmark (1997-2005)

    DEFF Research Database (Denmark)

    Abatih, E. N.; Emborg, Hanne-Dorthe; Jensen, Vibeke Frøkjær

    2009-01-01

    The aim of this study was to analyze and discuss regional, seasonal, and temporal trends in the occurrence of antimicrobial-resistant Escherichia coli isolated from pigs at slaughter in Denmark between 1997 and 2005. Data on antimicrobial-resistant E. coli were obtained from the Danish Integrated...... Antimicrobial Resistance Monitoring and Research Programme database. The Cochran-Armitage trend test was used to detect the presence and evaluate the significance of regional, seasonal, and annual trends in the occurrence of antimicrobial-resistant E. coli for four drugs. Associations between resistance...... of resistant E. coli as compared to the other seasons of the year. Our study provides evidence of statistically significant regional, seasonal, and temporal variations for ampicillin- and streptomycin-resistant E. coli isolated from pigs at slaughter in Denmark between 1997 and 2005....

  7. Horizontal transfer of antibiotic resistance from Enterococcus faecium of fermented meat origin to clinical isolates of E. faecium and Enterococcus faecalis.

    Science.gov (United States)

    Jahan, Musarrat; Zhanel, George G; Sparling, Richard; Holley, Richard A

    2015-04-16

    Enterococcus species are part of the normal intestinal flora of a large number of mammals including humans and consequently, they can be used as indicators of faecal contamination in food and water for human consumption. Their presence in large numbers in foods may indicate a lapse in sanitation and their ability to serve as a genetic reservoir of transferable antibiotic resistance is of concern. In the present study, Enterococcus spp., isolated from commercially fermented meat and human clinical specimen were studied to determine genetic relationships. SmaI pulsed-field gel electrophoresis (PFGE) patterns exhibited genomic heterogeneity within and between both groups of isolates. However, in spite of this heterogeneity there were still substantial phenotypic similarities which suggested that food might be a potential vehicle for distribution of resistant bacteria among humans. In vitro conjugation experiments demonstrated transfer of the tetracycline resistant determinant, tet(M), from Enterococcus faecium S27 isolated from fermented sausage to clinical isolates of both E. faecium and Enterococcus faecalis. The streptomycin resistance of E. faecium S27 was also transferred to a clinical strain, E. faecalis 82916, which was confirmed by the presence of the streptomycin resistance gene, aadA, in the donor and transconjugant strains. Since the aadA gene is associated with a class 1 integron, results also suggested that resistance transfer might have occurred via an integron. It appears this is the first identification of a class 1 integron in E. faecium isolated from food. The importance of food enterococci as a reservoir of antibiotic resistance genes and the potential for their genetic transfer to human strains following consumption of uncooked or undercooked contaminated meat is underlined by this work. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Genetic Transformation of Streptococcus mutans

    OpenAIRE

    Perry, Dennis; Kuramitsu, Howard K.

    1981-01-01

    Three strains of Streptococcus mutans belonging to serotypes a, c, and f were transformed to streptomycin resistance by deoxyribonucleic acids derived from homologous and heterologous streptomycin-resistant strains of S. mutans and Streptococcus sanguis strain Challis. Homologous transformation of S. mutans was less efficient than heterologous transformation by deoxyribonucleic acids from other strains of S. mutans.

  9. In Silico Assigned Resistance Genes Confer Bifidobacterium with Partial Resistance to Aminoglycosides but Not to Β-Lactams

    Science.gov (United States)

    Fouhy, Fiona; O’Connell Motherway, Mary; Fitzgerald, Gerald F.; Ross, R. Paul; Stanton, Catherine; van Sinderen, Douwe; Cotter, Paul D.

    2013-01-01

    Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria. PMID:24324818

  10. In silico assigned resistance genes confer Bifidobacterium with partial resistance to aminoglycosides but not to β-lactams.

    Directory of Open Access Journals (Sweden)

    Fiona Fouhy

    Full Text Available Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria.

  11. Molecular detection of multi drug resistant tuberculosis (mdr-tb) in mdr-tb patients' attendant in north western pakistan

    International Nuclear Information System (INIS)

    Shah, T.; Hayat, A.; Shah, Z.; Hayat, A.; Khan, S.B.

    2017-01-01

    Objective: To determine the drugs susceptibility pattern of mycobacterium tuberculosis (M.TB) in multi-drug resistant tuberculosis (MDR-TB) patients' attendants in North Western, Pakistan. Study Design: Cross sectional study. Place and Duration of Study: This study was conducted at Peshawar Tuberculosis Research Laboratory (PTRL), Provincial TB Control Program Hayatabad Medical Complex Peshawar, (KP) from August 2013 to March 2014. Material and Methods: A cross sectional study in which four hundred and eighty sputum samples from MDR-TB patients' attendants were processed for the detection of M.TB through Ziehl-Neelsen staining, Lowenstein-Jensen, BACTEC MGIT-960 culture and line probe assay. Results: Out of 480 samples, 06 (2.1%) were found positive for M.TB through Ziehl-Neelsen staining while 10 (2.8%) were positive through LJ and BACTEC MGIT-960 culture. The 10 positive samples were further subjected to drugs susceptibility testing and line probes assay test to find out rifampicin, isoniazid, streptomycin and ethambutol resistant and it was found that 6 M.TB isolates were resistant while 4 were sensitive to rifampicin and isoniazid. Among the 6 resistant M.TB strains, 4 showed mutation in rpoB gene at 531, 516 and 526 codons. Conclusion: Majority of MDR-TB patients' attendants had drug-resistant tuberculosis and the rate of drug susceptible TB was low. (author)

  12. Acidophilus Milk Shelf-life Prolongation by the Use of Cold Sensitive Mutants of Lactobacillus acidophilus MDC 9626

    Directory of Open Access Journals (Sweden)

    Alireza Goodarzi

    2017-09-01

    Full Text Available  Background and Objective: The shelf-life of Acidophilus milk fermented by probiotic culture Lactobacillus acidophilus is limited due to acidification caused by continued organic acid formation at low temperatures. Increasing of titrable acidity in turn causes reducing of the total viable count of probiotic bacteria. To overcome acidification we suggested to use coldsensitive mutants of Lactobacillus acidophilus, with limited metabolism at low temperatures. In order to facilitate the selection of cold sensitive mutants, it was decided to use Rifampicin and Streotomycin mutations affecting thermostability of the key molecules of cell metabolism the RNA polymerase and ribosome, respectively.Material and Methods: Ultra violet mutagenesis was used to enhance the yield and diversity of rifampicin and streptomycin resistant mutants of Lactobacillus acidophilus. To perform negative selection of cold sensitive mutants, antibiotic resistant colonies replica plated and incubated at 23ºC. The growth rate, milk fermenting rate, titratable acidity were measured.Results and Conclusion: Among tested resistant to either rifampicin or streptomycin clones with frequency mean of 1.0 %, ten mutants were isolated which have lost the ability to grow at minimal temperature. Fermented with cold-sensitive mutants of Lactobacillus acidophilus milks, during storage in the refrigerator, almost twice as long retained high amount of probiotic bacteria and low titratable acidity as compared to the parent strain. Thus, direct relationship between temperature sensitivity of the starter and shelf life of acidophilic milk was confirmed. Rifampicin and Streptomycin resistant mutations are powerful tools for selection of cold-sensitive dairy starters for preparing dairy fermented products with long shelf-life.Conflict of interest: The authors declare no conflict of interest.

  13. Feeding of waste milk to Holstein calves affects antimicrobial resistance of Escherichia coli and Pasteurella multocida isolated from fecal and nasal swabs.

    Science.gov (United States)

    Maynou, G; Bach, A; Terré, M

    2017-04-01

    The use of milk containing antimicrobial residues in calf feeding programs has been shown to select for resistant fecal Escherichia coli in dairy calves. However, information is scarce about the effects of feeding calves waste milk (WM) on the prevalence of multidrug-resistant bacteria. The objective of this study was to determine the antimicrobial resistance patterns of fecal E. coli and nasal Pasteurella multocida isolates from calves fed either milk replacer (MR) or WM in 8 commercial dairy farms (4 farms per feeding program). Fecal and nasal swabs were collected from 20 ± 5 dairy calves at 42 ± 3.2 d of age, and from 10 of these at approximately 1 yr of age in each study farm to isolate the targeted bacteria. Furthermore, resistance of E. coli isolates from calf-environment and from 5 calves at birth and their dams was also evaluated in each study farm. Resistances were tested against the following antimicrobial agents: amoxicillin-clavulanic acid, ceftiofur, colistin, doxycycline (DO), enrofloxacin (ENR), erythromycin, florfenicol, imipenem, and streptomycin. A greater number of fecal E. coli resistant to ENR, florfenicol, and streptomycin and more multidrug-resistant E. coli phenotypes were isolated in feces of calves fed WM than in those fed MR. However, the prevalence of fecal-resistant E. coli was also influenced by calf age, as it increased from birth to 6 wk of age for ENR and DO and decreased from 6 wk to 1 yr of age for DO regardless of the feeding program. From nasal samples, an increase in the prevalence of colistin-resistant P. multocida was observed in calves fed WM compared with those fed MR. The resistance patterns of E. coli isolates from calves and their dams tended to differ, whereas similar resistance profiles among E. coli isolates from farm environment and calves were observed. The findings of this study suggest that feeding calves WM fosters the presence of resistant bacteria in the lower gut and respiratory tracts of dairy calves

  14. Resistance to antimicrobials and acid and bile tolerance of Bacillus spp isolated from Bikalga, fermented seeds of Hibiscus sabdariffa

    DEFF Research Database (Denmark)

    Compaore, Clarisse S.; Jensen, Lars Bogø; Diawara, Brehima

    2013-01-01

    , determination of minimal inhibitory concentration (MIC) for 24 antimicrobials and detection of resistance by PCR using specific primers. The isolates were also examined for their resistance to pH 2.5 and their tolerance to 0.3% bile over 4 h. Results showed that most studied isolates, in particular B. subtilis......, streptomycin and trimethoprim) in this study may be intrinsic as no positive amplicon was observed for the most prevalent resistance genes investigated (catpIP501, erm(A), erm(B), erm(C), aph(3”)-I, aph(3”)-III, ant(2”)-I, blaZ, aadA, aadE, StrA, StrB, dfr(A)). Furthermore, based on their good survival in pH 2...

  15. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  16. Low resistance bakelite RPC study for high rate working capability

    International Nuclear Information System (INIS)

    Dai, T.; Han, L.; Hou, S.; Liu, M.; Li, Q.; Song, H.; Xia, L.; Zhang, Z.

    2014-01-01

    This paper presents series efforts to lower resistance of bakelite electrode plate to improve the RPC capability under high rate working condition. New bakelite material with alkali metallic ion doping has been manufactured and tested. This bakelite is found unstable under large charge flux and need further investigation. A new structure of carbon-embedded bakelite RPC has been developed, which can reduce the effective resistance of electrode by a factor of 10. The prototype of the carbon-embedded chamber could function well under gamma radiation source at event rate higher than 10 kHz/cm 2 . The preliminary tests show that this kind of new structure performs as efficiently as traditional RPCs

  17. Field Test Evaluation of Effect on Cone Resistance Caused by Change in Penetration Rate

    DEFF Research Database (Denmark)

    Poulsen, Rikke; Nielsen, Benjaminn Nordahl; Ibsen, Lars Bo

    2012-01-01

    in the laboratory. A change in the measured cone resistance occurs by lowering the penetration rate. This is caused by the changes in drainage conditions. Compared to the normal penetration rate of 20 mm/s, this paper illustrates that lowering the penetration rate leads to an increase in the cone resistance from 1......This paper presents how a change in cone penetration rate affects the measured cone resistance during cone penetration testing in silty soils. Regardless of soil, type the standard rate of penetration is 20 mm/s and it is generally accepted that undrained penetration occurs in clay while drained...... penetration occurs in sand. In intermediate soils such as silty soils, the standard cone penetration rate may result in drainage conditions varying from undrained to partially or fully drained conditions. Field cone penetrations tests have been conducted with different penetration rates on a test site...

  18. Multi-clonal evolution of multi-drug-resistant/extensively drug-resistant Mycobacterium tuberculosis in a high-prevalence setting of Papua New Guinea for over three decades

    Science.gov (United States)

    Bainomugisa, Arnold; Lavu, Evelyn; Hiashiri, Stenard; Majumdar, Suman; Honjepari, Alice; Moke, Rendi; Dakulala, Paison; Hill-Cawthorne, Grant A.; Pandey, Sushil; Marais, Ben J.; Coulter, Chris; Coin, Lachlan

    2018-01-01

    An outbreak of multi-drug resistant (MDR) tuberculosis (TB) has been reported on Daru Island, Papua New Guinea. Mycobacterium tuberculosis strains driving this outbreak and the temporal accrual of drug resistance mutations have not been described. Whole genome sequencing of 100 of 165 clinical isolates referred from Daru General Hospital to the Supranational reference laboratory, Brisbane, during 2012–2015 revealed that 95 belonged to a single modern Beijing sub-lineage strain. Molecular dating suggested acquisition of streptomycin and isoniazid resistance in the 1960s, with potentially enhanced virulence mediated by an mycP1 mutation. The Beijing sub-lineage strain demonstrated a high degree of co-resistance between isoniazid and ethionamide (80/95; 84.2 %) attributed to an inhA promoter mutation combined with inhA and ndh coding mutations. Multi-drug resistance, observed in 78/95 samples, emerged with the acquisition of a typical rpoB mutation together with a compensatory rpoC mutation in the 1980s. There was independent acquisition of fluoroquinolone and aminoglycoside resistance, and evidence of local transmission of extensively drug resistant (XDR) strains from 2009. These findings underline the importance of whole genome sequencing in informing an effective public health response to MDR/XDR TB. PMID:29310751

  19. Antibiotic-Resistant Enteric Bacteria in Environmental Waters

    Directory of Open Access Journals (Sweden)

    Lisa M. Casanova

    2016-11-01

    Full Text Available Sources of antibiotic resistant organisms, including concentrated animal feeding operations (CAFOs, may lead to environmental surface and groundwater contamination with resistant enteric bacteria of public health concern. The objective of this research is to determine whether Salmonella, Escherichia coli, Yersinia enterocolitica, and enterococci resistant to clinically relevant antibiotics are present in surface and groundwater sources in two eastern North Carolina counties, Craven and Wayne. 100 surface and groundwater sites were sampled for Salmonella, E. coli, and enterococci, and the bacteria isolated from these samples were tested for susceptibility to clinically relevant antibiotics. Salmonella were detected at low levels in some surface but not groundwater. E. coli were in surface waters but not ground in both counties. Enterococci were present in surface water and a small number of groundwater sites. Yersinia was not found. Bacterial densities were similar in both counties. For Salmonella in surface water, the most frequent type of resistance was to sulfamethoxazole. There was no ciprofloxacin resistance. There were a few surface water E. coli isolates resistant to chloramphenicol, gentamicin, and ampicillin. Enterococci in surface water had very low levels of resistance to vancomycin, chloramphenicol, ampicillin, and streptomycin. E. coli and enterococci are present more frequently and at higher levels in surface water than Salmonella, but groundwater contamination with any of these organisms was rare, and low levels of resistance can be found sporadically. Resistant bacteria are relatively uncommon in these eastern N.C. surface and groundwaters, but they could pose a risk of human exposure via ingestion or primary contact recreation.

  20. Resistência antimicrobiana em Salmonella Enteritidis isoladas de amostras clínicas e ambientais de frangos de corte e matrizes pesadas Antimicrobial resistance in Salmonella Enteritidis isolated from clinical and environmental broiler chickens and breeders broiler

    Directory of Open Access Journals (Sweden)

    A.R. Ribeiro

    2008-10-01

    Full Text Available The antimicrobial resistance of Salmonella Enteritidis strains isolated from clinical and environmental poultry samples in the Southern Brazil during the years of 1999, 2000 and 2001 was evaluated. Among the 79 isolated samples, 64 (81% were resistant to at least one of the antimicrobial agents tested, showing 22 different resistance patterns. Tetracycline showed the highest percentage (64,5% of resistance among the antimicrobial agents used. Resistance to drugs at different levels was found as the following: ampicillin (1.2%, kanamycin (1.2%, ciprofloxacin (2.5%, enrofloxacin (8.8%, gentamicin (21.5%, streptomycin (20.2%, nitrofurantoin (26.6%, and nalidixic acid (30.4%. None of the S. Enteritidis strains were resistant to chloramphenicol, norfloxacin, and polimycin B. Among the 64 S. Enteritidis strains that showed resistance, 43 (67.2% were resistant to two or more antimicrobial agents. Twenty-one (32.8% strains were resistant to only one of the antimicrobial agents, 14 to tetracycline, three to nalidixic acid, three to nitrofurantoin, and one to gentamycin. These antimicrobial resistance levels suggest a high occurrence of tetracycline resistant S. Enteritidis strains and resistance to two or more antimicrobial agents.

  1. The Escherichia coli K-12 gntP gene allows E. coli F-18 to occupy a distinct nutritional niche in the streptomycin-treated mouse large intestine

    DEFF Research Database (Denmark)

    Sweeney, N.J.; Klemm, Per; McCormick, Beth A.

    1996-01-01

    Escherichia coli F-18 is a human fecal isolate that makes type 1 fimbriae, encoded by the fim gene cluster, and is an excellent colonizer of the streptomycin-treated mouse intestine. E. coli F-18 fimA::tet, lacking type 1 fimbriae, was constructed by bacteriophage P1 transduction of the fim regio...

  2. Changing patterns of drug-resistant Shigella isolates in egypt.

    Science.gov (United States)

    Abd-Elmeged, Ghada M; Khairy, Rasha M; Abo-Eloyoon, Sahar M; Abdelwahab, Sayed F

    2015-06-01

    The emergence of multidrug resistance (MDR) is a serious problem in treating shigellosis. There are limited existing data examining the change in the antimicrobial resistance profile of Shigella in Egypt. We previously reported that 58% of the Shigella isolates in Egypt were resistant to at least one member of the three different antimicrobial groups. This study was performed to determine the antimicrobial resistance profile of Shigella, determine their possible mechanisms of resistance, and compare their resistance profile to those reported 20 years ago. Stool samples were collected from 500 subjects and processed for the isolation and identification of Shigella. The susceptibility of the isolates to 11 different antimicrobials was determined using the disc diffusion method. Of 500 stool cultures, 24 (4.8%) samples were positive for Shigella. There was a high percentage of resistance to ampicillin (88%), tetracycline (83%), and sulfamethoxazole-trimethoprim (75%). Also, there was a moderate percentage of resistance to chloramphenicol (46%), streptomycin (42%), ceftazidime (33%), and cefotaxime (25%). A lower percentage of resistance was recorded for amikacin, nalidixic acid (17% each), and ofloxacin (7%), while no resistance was found to ciprofloxacin (0%). Twenty-one of the isolates (88%) were resistant to at least three different antimicrobial groups (indicating MDR). The average number of antimicrobial agents to which the Shigella isolates were resistant was 4.3±1.4, while it was 3.4±1.5 in the same locality in 1994. These data demonstrate that there is a marked increase in MDR and change in the resistance patterns of Shigella over the past 20 years.

  3. Identificazione e caratterizzazione dei determinanti genetici di antibiotico-resistenza in ceppi di Salmonella enterica di origine animale

    Directory of Open Access Journals (Sweden)

    Cristina Pezzella

    2004-12-01

    Full Text Available Tetracyclines are broad-spectrum agents, exhibiting activity against a wide range of gram-positive and gram-negative bacteria and are currently used for therapy and prophylaxis of human infections and for the prevention and control of bacterial infections in veterinary medicine. Streptomycin has only limited current usage in clinical medicine, but this antibiotic remains important for therapeutic and growth promotion in animals and for the bacterial disease control in plants.The increasing incidence of resistance to streptomycin and tetracyclines has been reported worldwide in Salmonella spp. of human and animal origin. Fifty-eight multidrug-resistant Salmonella enterica strains of twenty different serotypes, were chosen among the collection of multidrug-resistant strains isolated from animals and food of animal origin at the Istituto Zooprofilattico Sperimentale delle Venezie and at the Istituto Zooprofilattico Sperimentale dell’Abruzzo e Molise, during their routine surveillance activity in the 2000 and 2001 period.All strains showed resistance to at least three different antimicrobials: tetracycline and streptomycin resistances represent the 98% and 95% of the strains, respectively. Salmonella isolates were analyzed for the presence of genetic determinants conferring streptomycin and tetracyclines resistance by PCR for the tet(A and strA-strB genes, respectively.The strA-strB genes were highly prevalent in Salmonella strains of our collection, being detected in the 83% of the streptomycin resistant strains; the 68% of the tetracycline resistant strains were tet(A gene positive, indicating that this gene is widely diffused in Salmonella strains circulating in animals in Italy. Two prevalent repN- and repI1-resistance plasmids were identified in Salmonella isolates of our collection. In many strains, the strA-strB genes were linked to a particular Tn5393-derivative transposon, characterized by the presence of the insertion sequence IS1133

  4. Comparative efficacies of candidate antibiotics against Yersinia pestis in an in vitro pharmacodynamic model.

    Science.gov (United States)

    Louie, Arnold; Vanscoy, Brian; Liu, Weiguo; Kulawy, Robert; Brown, David; Heine, Henry S; Drusano, George L

    2011-06-01

    Yersinia pestis, the bacterium that causes plague, is a potential agent of bioterrorism. Streptomycin is the "gold standard" for the treatment of plague infections in humans, but the drug is not available in many countries, and resistance to this antibiotic occurs naturally and has been generated in the laboratory. Other antibiotics have been shown to be active against Y. pestis in vitro and in vivo. However, the relative efficacies of clinically prescribed regimens of these antibiotics with streptomycin and with each other for the killing of Yersinia pestis are unknown. The efficacies of simulated pharmacokinetic profiles for human 10-day clinical regimens of ampicillin, meropenem, moxifloxacin, ciprofloxacin, and gentamicin were compared with the gold standard, streptomycin, for killing of Yersinia pestis in an in vitro pharmacodynamic model. Resistance amplification with therapy was also assessed. Streptomycin killed the microbe in one trial but failed due to resistance amplification in the second trial. In two trials, the other antibiotics consistently reduced the bacterial densities within the pharmacodynamic systems from 10⁸ CFU/ml to undetectable levels (pestis and deserve further evaluation.

  5. Antimicrobial resistance of Campylobacter jejuni and Campylobacter coli from poultry in Italy.

    Science.gov (United States)

    Giacomelli, Martina; Salata, Cristiano; Martini, Marco; Montesissa, Clara; Piccirillo, Alessandra

    2014-04-01

    This study was aimed at assessing the antimicrobial resistance (AMR) of Campylobacter isolates from broilers and turkeys reared in industrial farms in Northern Italy, given the public health concern represented by resistant campylobacters in food-producing animals and the paucity of data about this topic in our country. Thirty-six Campylobacter jejuni and 24 Campylobacter coli isolated from broilers and 68 C. jejuni and 32 C. coli from turkeys were tested by disk diffusion for their susceptibility to apramycin, gentamicin, streptomycin, cephalothin, cefotaxime, ceftiofur, cefuroxime, ampicillin, amoxicillin+clavulanic acid, nalidixic acid, flumequine, enrofloxacin, ciprofloxacin, erythromycin, tilmicosin, tylosin, tiamulin, clindamycin, tetracycline, sulfamethoxazole+trimethoprim, chloramphenicol. Depending on the drug, breakpoints provided by Comité de l'antibiogramme de la Société Française de Microbiologie, Clinical and Laboratory Standards Institute, and the manufacturer were followed. All broiler strains and 92% turkey strains were multidrug resistant. Very high resistance rates were detected for quinolones, tetracycline, and sulfamethoxazole+trimethoprim, ranging from 65% to 100% in broilers and from 74% to 96% in turkeys. Prevalence of resistance was observed also against ampicillin (97% in broilers, 88% in turkeys) and at least three cephalosporins (93-100% in broilers, 100% in turkeys). Conversely, no isolates showed resistance to chloramphenicol and tiamulin. Susceptibility prevailed for amoxicillin+clavulanic acid and aminoglycosides in both poultry species, and for macrolides and clindamycin among turkey strains and among C. jejuni from broilers, whereas most C. coli strains from broilers (87.5%) were resistant. Other differences between C. jejuni and C. coli were observed markedly in broiler isolates, with the overall predominance of resistance in C. coli compared to C. jejuni. This study provides updates and novel data on the AMR of broiler and

  6. Study on enhancement protease-producing of Bacillus subtilis by combining ribosome engineering and gamma irradiation

    International Nuclear Information System (INIS)

    Tran Bang Diep; Nguyen Thi Thom; Hoang Dang Sang; Nguyen Van Binh; Tran Xuan An; Hoang Phuong Thao; Pham Duy Duong; Tran Minh Quynh; Ta Bich Thuan; Vo Thi Thuong Lan

    2017-01-01

    Bacillus subtilis B5, Bacillus subtilis H12 and Bacillus subtilis VI are high protease-producing bacteria selected from various domestic laboratories. The suspensions in logarithmic growth phase and nutrient agar plates inoculated these bacteria were irradiated at dose ranging 0-3000 Gy under gamma Cobalt-60 source at Hanoi Irradiation Center. In both cases of irradiation treatment, the viability of Bacillus subtilis strains was much affected by gamma radiation and the survival rate of bacteria decreases with the increasing dose. The rate of high protease-producing mutation in three kinds of Bacillus strains seems to be greater at the dose range of 700-1500 Gy, at which the survival cells of bacteria was reduced by 3-4 log unit. In this study, the effect of gamma irradiation at different doses to mutation frequency of antibiotic resistance (rifampicin 0.2 µg/ml and streptomycin 20 µg/ml) of Bacillus subtilis strains is also investigated. The results show that the mutation frequency of antibiotic resistance was improved significantly by radiation treatment. The frequency of rifampicin-resistance reached the highest value at dose of 2000 Gy, 0.93-5.46x10 3 times higher than the frequency of spontaneous mutation. On the other hand, the highest streptomycin mutation frequency was obtained by irradiation at 1000 Gy. After the first screening, 82 potential 0.2 µg/ml rifampicin-resistant and 25 potential 20 µg/ml streptomycin-resistant colonies with higher production of protease than original strain were selected from the irradiated Bacillus subtilis B5 and H12. In the subsequent screening, some mutants having 2-2.5 times higher of protease activity than that of parent strain were obtained by using the culture medium containing incrementally higher antibiotic concentrations. The results of PCR, cloning and sequencing techniques proved that the antibiotic-resistance of Bacillus subtilis due to mutate in rpoB gene involved in these bacteria’s protease synthesis

  7. Plasmid Mediated Antibiotic and Heavy Metal Resistance in Bacillus Strains Isolated From Soils in Rize, Turkey

    Directory of Open Access Journals (Sweden)

    Elif SEVİM

    2015-09-01

    Full Text Available Fifteen Bacillus strains which were isolated from soil samples were examined for resistance to 17 different antibiotics (ampicillin, methicillin, erythromycin, norfloxacin, cephalotine, gentamycin, ciprofloxacin, streptomycin, tobramycin, chloramphenicol, trimethoprim-sulfamethoxazole, tetracycline, vancomycin, oxacilin, neomycin, kanamycin and, novabiocin and to 10 different heavy metals (copper, lead, cobalt, chrome, iron, mercury, zinc, nickel, manganese and, cadmium and for the presence of plasmid DNA. A total of eleven strains (67% were resistant to at least one antibiotic. The most common resistance was observed against methicillin and oxacillin. The most resistance strains were found as Bacillus sp. B3 and Bacillus sp. B11. High heavy metal resistance against copper, chromium, zinc, iron and nickel was detected, but mercury and cobalt resistance was not detected, except for 3 strains (B3, B11, and B12 which showed mercury resistance. It has been determined that seven Bacillus strains have plasmids. The isolated plasmids were transformed into the Bacillus subtilis W168 and it was shown that heavy metal and antibiotic resistance determinants were carried on these plasmids. These results showed that there was a correlation between plasmid content and resistance for both antibiotic and heavy metal resistance

  8. Load and resistance factor rating (LRFR) in New York State : volume II.

    Science.gov (United States)

    2011-09-01

    This report develops a Load and Resistance Factor Rating (NYS-LRFR) methodology : for New York bridges. The methodology is applicable for the rating of existing : bridges, the posting of under-strength bridges, and checking Permit trucks. The : propo...

  9. Load and resistance factor rating (LRFR) in New York State : volume I.

    Science.gov (United States)

    2011-09-01

    This report develops a Load and Resistance Factor Rating (NYS-LRFR) methodology : for New York bridges. The methodology is applicable for the rating of existing : bridges, the posting of under-strength bridges, and checking Permit trucks. The : propo...

  10. Antibiotics: Pharmacokinetics, toxicity, resistance and multidrug efflux pumps.

    Science.gov (United States)

    Yılmaz, Çiğdem; Özcengiz, Gülay

    2017-06-01

    The discovery of penicillin followed by streptomycin, tetracycline, cephalosporins and other natural, semi-synthetic and synthetic antimicrobials completely revolutionized medicine by reducing human morbidity and mortality from most of the common infections. However, shortly after they were introduced to clinical practice, the development of resistance was emerged. The decreasing interest from antibiotic industry in spite of rapid global emergence of antibiotic resistance is a tough dilemma from the pointview of public health. The efficiency of antimicrobial treatment is determined by both pharmacokinetics and pharmacodynamics. In spite of their selective toxicity, antibiotics still cause severe, life-threatening adverse reactions in host body mostly due to defective drug metabolism or excessive dosing regimen. The present article aims at updating current knowledge on pharmacokinetics/pharmacodynamics concepts and models, toxicity of antibiotics as well as antibiotic resistance mechanisms, resistome analyses and search for novel antibiotic resistance determinants with special emphasis given to the-state-of-the-art regarding multidrug efflux pumps and their additional physiological functions in stress adaptation and virulence of bacteria. All these issues are highly linked to each other and not only important for most efficient and prolonged use of current antibiotics, but also for discovery and development of new antibiotics and novel inhibitors of antibiotic resistance determinants of pathogens. Copyright © 2016 Elsevier Inc. All rights reserved.

  11. Streptomycin-lidocaine injections for the treatment of postherpetic neuralgia: Report of three cases with literature review.

    Science.gov (United States)

    Waghray, Shefali; Asif, Shaik Mohammed; Duddu, Mahesh Kumar; Arakeri, Gururaj

    2013-09-01

    The sudden, stabbing, paroxysmal pain of neuralgia is the fiercest agony that a patient may experience in his life. Many varied medical treatments and surgical procedures have been suggested in the literature for neuralgic pain. Most of the patients fail to respond to medical treatments or succumb to complications of total anesthesia owing to surgical procedures. Herein, we tried a new treatment modality in patients suffering from postherpetic neuralgia with appreciable success in all the three cases that are presented in this paper. Streptomycin sulfate dissolved in 2% lidocaine solution was deposited at the peripheral branches on the involved nerves targeting the trigger zones, given weekly once for a maximum of 6 week period and continued once in 2 weeks if symptoms persisted. All patients were followed-up for 1 year and there was a marked improvement on follow-up.

  12. Reduced Susceptibility to Rifampicin and Resistance to Multiple Antimicrobial Agents among Brucella abortus Isolates from Cattle in Brazil.

    Science.gov (United States)

    Barbosa Pauletti, Rebeca; Reinato Stynen, Ana Paula; Pinto da Silva Mol, Juliana; Seles Dorneles, Elaine Maria; Alves, Telma Maria; de Sousa Moura Souto, Monalisa; Minharro, Silvia; Heinemann, Marcos Bryan; Lage, Andrey Pereira

    2015-01-01

    This study aimed to determine the susceptibility profile of Brazilian Brucella abortus isolates from cattle to eight antimicrobial agents that are recommended for the treatment of human brucellosis and to correlate the susceptibility patterns with origin, biotype and MLVA16-genotype of the strains. Screening of 147 B. abortus strains showed 100% sensitivity to doxycycline and ofloxacin, one (0.68%) strain resistant to ciprofloxacin, two strains (1.36%) resistant to streptomycin, two strains (1.36%) resistant to trimethoprim-sulfamethoxazole and five strains (3.40%) resistant to gentamicin. For rifampicin, three strains (2.04%) were resistant and 54 strains (36.73%) showed reduced sensitivity. Two strains were considered multidrug resistant. In conclusion, the majority of B. abortus strains isolated from cattle in Brazil were sensitive to the antimicrobials commonly used for the treatment of human brucellosis; however, a considerable proportion of strains showed reduced susceptibility to rifampicin and two strains were considered multidrug resistant. Moreover, there was no correlation among the drug susceptibility pattern, origin, biotype and MLVA16-genotypes of these strains.

  13. Antimicrobial resistance and antimicrobial resistance genes in marine bacteria from salmon aquaculture and non-aquaculture sites.

    Science.gov (United States)

    Shah, Syed Q A; Cabello, Felipe C; L'abée-Lund, Trine M; Tomova, Alexandra; Godfrey, Henry P; Buschmann, Alejandro H; Sørum, Henning

    2014-05-01

    Antimicrobial resistance (AR) detected by disc diffusion and antimicrobial resistance genes detected by DNA hybridization and polymerase chain reaction with amplicon sequencing were studied in 124 marine bacterial isolates from a Chilean salmon aquaculture site and 76 from a site without aquaculture 8 km distant. Resistance to one or more antimicrobials was present in 81% of the isolates regardless of site. Resistance to tetracycline was most commonly encoded by tetA and tetG; to trimethoprim, by dfrA1, dfrA5 and dfrA12; to sulfamethizole, by sul1 and sul2; to amoxicillin, by blaTEM ; and to streptomycin, by strA-strB. Integron integrase intl1 was detected in 14 sul1-positive isolates, associated with aad9 gene cassettes in two from the aquaculture site. intl2 Integrase was only detected in three dfrA1-positive isolates from the aquaculture site and was not associated with gene cassettes in any. Of nine isolates tested for conjugation, two from the aquaculture site transferred AR determinants to Escherichia coli. High levels of AR in marine sediments from aquaculture and non-aquaculture sites suggest that dispersion of the large amounts of antimicrobials used in Chilean salmon aquaculture has created selective pressure in areas of the marine environment far removed from the initial site of use of these agents. © 2014 Society for Applied Microbiology and John Wiley & Sons Ltd.

  14. The Endemic Infectious Diseases of Somalia

    Science.gov (United States)

    1993-01-01

    Iliolfiman-LaRoche, Nutleyý. N.1) three tablets at once on the last day of quinine Although ongoing research may ultimately yield an effec- a1diniiistiation...resistance ’Tuberculosis to isoniazid , rilanipin, streptomycin, and ethambutol; 78% of these isolates were resistant to at least two of the four drugs...administration of streptomycin, isoniazid , The leishmanial diseases of humans are commonly divided and thiacetazone followed by a 9-month maintenance regi

  15. Staphylococcus aureus carriage rates and antibiotic resistance patterns in patients with acne vulgaris.

    Science.gov (United States)

    Delost, Gregory R; Delost, Maria E; Armile, James; Lloyd, Jenifer

    2016-04-01

    Overuse of antibiotics has led to the development of antibiotic-resistant strains of Staphylococcus aureus, which are occurring more frequently within the community. We sought to determine whether long-term antibiotic therapy for acne alter the carriage rate and antibiotic resistance profiles of S aureus. This was a prospective, cross-sectional, quasiexperimental study. Samples of anterior nares were obtained from dermatology patients given a diagnosis of acne vulgaris (n = 263) who were treated with antibiotics (n = 142) or who were not treated with antibiotics (n = 121). Specimens were tested for the presence of S aureus by growth on mannitol salt agar and then isolated on 5% sheep blood agar. Identification was confirmed based on colonial morphology, Gram stain, catalase, and coagulase testing. Antibiotic susceptibility testing was performed using the VITEK 2 system (bioMerieux, Marcy-l'Étoile, France). The S aureus carriage rate was significantly lower in patients with acne treated with antibiotics (6.3%) compared with those not treated with antibiotics (15.7%; P = .016). The percentage of S aureus isolates resistant to 1 or more antibiotics did not significantly differ between the 2 groups (P = .434). Cross-sectional study, patient compliance, and effects of prior acne treatments are limitations. Treatment of patients with acne using antibiotics decreases the S aureus carriage rate but does not significantly alter the antibiotic resistance rates. Copyright © 2015 American Academy of Dermatology, Inc. Published by Elsevier Inc. All rights reserved.

  16. Antibiotic resistance of Clostridium perfringens isolates from broiler chickens in Egypt.

    Science.gov (United States)

    Osman, K M; Elhariri, M

    2013-12-01

    The use of antibiotic feed additives in broiler chickens results in a high prevalence of resistance among their enteric bacteria, with a consequent emergence of antibiotic resistance in zoonotic enteropathogens. Despite growing concerns about the emergence of antibiotic-resistant strains, which show varying prevalences in different geographic regions, little work has been done to investigate this issue in the Middle East. This study provides insight into one of the world's most common and financially crippling poultry diseases, necrotic enteritis caused by Clostridium perfringens. The study was designed to determine the prevalence of antibiotic resistance in C. perfringens isolates from clinical cases of necrotic enteritis in broiler chickens in Egypt. A total of 125 isolates were obtained from broiler flocks in 35 chicken coops on 17 farms and were tested using the disc diffusion method. All 125 isolates were resistant to gentamicin, streptomycin, oxolinic acid, lincomycin, erythromycin and spiramycin. The prevalence of resistance to other antibiotics was also high: rifampicin (34%), chloramphenicol (46%), spectinomycin (50%), tylosin-fosfomycin (52%), ciprofloxacin (58%), norfloxacin (67%), oxytetracycline (71%), flumequine (78%), enrofloxacin (82%), neomycin (93%), colistin (94%), pefloxacin (94%), doxycycline (98%) and trimethoprim-sulfamethoxazole (98%). It is recommended that C. perfringens infections in Egypt should be treated with antibiotics for which resistant isolates are rare at present; namely, amoxicillin, ampicillin, cephradine, fosfomycin and florfenicol.

  17. Metal and antibiotic resistance of bacteria isolated from the Baltic Sea.

    Science.gov (United States)

    Moskot, Marta; Kotlarska, Ewa; Jakóbkiewicz-Banecka, Joanna; Gabig-Cimińska, Magdalena; Fari, Karolina; Wegrzyn, Grzegorz; Wróbel, Borys

    2012-09-01

    The resistance of 49 strains of bacteria isolated from surface Baltic Sea waters to 11 antibiotics was analyzed and the resistance of selected strains to three metal ions (Ni2+, Mn2+, Zn2+) was tested. Most isolates belonged to Gammaproteobacteria (78%), while Alphaproteobacteria (8%), Actinobacteria (10%), and Bacteroidetes (4%) were less abundant. Even though previous reports suggested relationships between resistance and the presence of plasmids or the ability to produce pigments, no compelling evidence for such relationships was obtained for the strains isolated in this work. In particular, strains resistant to multiple antibiotics did not carry plasmids more frequently than sensitive strains. A relation between resistance and the four aminoglycosides tested (gentamycin, kanamycin, neomycin, and streptomycin), but not to spectinomycin, was demonstrated. This observation is of interest given that spectinomycin is not always classified as an aminoglycoside because it lacks a traditional sugar moiety. Statistical analysis indicated relationships between resistance to some antibiotics (ampicillin and erythromycin, chloramphenicol and erythromycin, chloramphenicol and tetracycline, erythromycin and tetracycline), suggesting the linkage of resistance genes for antibiotics belonging to different classes. The effects of NiSO4, ZnCl2 and MnCl2 on various media suggested that the composition of Marine Broth might result in low concentrations of Mn2+ due to chemical interactions that potentially lead to precipitation.

  18. Transfer of the pheromone-inducible plasmid pCF10 among Enterococcus faecalis microorganisms colonizing the intestine of mini-pigs

    DEFF Research Database (Denmark)

    Licht, Tine Rask; Laugesen, D.; Jensen, Lars Bogø

    2002-01-01

    A new animal model, the streptomycin-treated mini-pig, was developed in order to allow colonization of defined strains of Enterococcus faecalis in numbers sufficient to study plasmid transfer. Transfer of the pheromone-inducible pCF10 plasmid between streptomycin-resistant strains of E. faecalis OG...

  19. Risk factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil

    Directory of Open Access Journals (Sweden)

    Geisa Fregona

    Full Text Available ABSTRACT OBJECTIVE To analyze the prevalence and factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil. METHODS This is a cross-sectional study of cases of tuberculosis tested for first-line drugs (isoniazid, rifampicin, pyrazinamide, ethambutol, and streptomycin in Espírito Santo between 2002 and 2012. We have used laboratory data and registration of cases of tuberculosis – from the Sistema Nacional de Agravos de Notificação and Sistema para Tratamentos Especiais de Tuberculose. Individuals have been classified as resistant and non-resistant and compared in relation to the sociodemographic, clinical, and epidemiological variables. Some variables have been included in a logistic regression model to establish the factors associated with resistance. RESULTS In the study period, 1,669 individuals underwent anti-tuberculosis drug susceptibility testing. Of these individuals, 10.6% showed resistance to any anti-tuberculosis drug. The rate of multidrug resistance observed, that is, to rifampicin and isoniazid, has been 5%. After multiple analysis, we have identified as independent factors associated with resistant tuberculosis: history of previous treatment of tuberculosis [recurrence (OR = 7.72; 95%CI 4.24–14.05 and re-entry after abandonment (OR = 3.91; 95%CI 1.81–8.43], smoking (OR = 3.93; 95%CI 1.98–7.79, and positive culture for Mycobacterium tuberculosis at the time of notification of the case (OR = 3.22; 95%CI 1.15–8.99. CONCLUSIONS The partnership between tuberculosis control programs and health teams working in the network of Primary Health Care needs to be strengthened. This would allow the identification and monitoring of individuals with a history of previous treatment of tuberculosis and smoking. Moreover, the expansion of the offer of the culture of tuberculosis and anti-tuberculosis drug susceptibility testing would provide greater diagnostic capacity for the resistant types in Espírito Santo.

  20. High Rate of Resistance to Quinupristin-Dalfopristin in Enterococcus faecium Clinical Isolates from Korea

    Science.gov (United States)

    Oh, Won Sup; Ko, Kwan Soo; Song, Jae-Hoon; Lee, Mi Young; Park, Sulhee; Peck, Kyong Ran; Lee, Nam Yong; Kim, Choon-Kwan; Lee, Hyuck; Kim, Shin-Woo; Chang, Hyun-Ha; Kim, Yeon-Sook; Jung, Sook-In; Son, Jun Seong; Yeom, Joon-Sup; Ki, Hyun Kyun; Woo, Gun-Jo

    2005-01-01

    We tested the in vitro susceptibilities of 603 enterococcal isolates from eight tertiary-care hospitals in Korea. The quinupristin-dalfopristin resistance rate in Enterococcus faecium was very high (25 isolates, 10.0%). It was suggested that both clonal spread and the sporadic emergence of quinupristin-dalfopristin-resistant isolates may explain the high prevalence of quinupristin-dalfopristin resistance in Korea. PMID:16304198

  1. Isoniazid-resistant Mycobacterium kansasii in an HIV-positive patient, and possible development of immune reconstitution inflammatory syndrome after initiation of highly active antiretroviral therapy: case report

    Directory of Open Access Journals (Sweden)

    A. Despotovic

    2016-01-01

    Full Text Available Non-tuberculous mycobacteria are rare but important causes of infection in HIV-positive individuals. A 28-year-old HIV-positive male presented with a high fever, non-productive cough, right subcostal pain, splenomegaly, a very low CD4 count, elevated C-reactive protein and erythrocyte sedimentation rate, and a normal white blood cell count. The suspicion of tuberculosis (TB was very high, and sputum samples were positive for acid-fast bacilli. Standard quadruple anti-TB therapy was initiated, but once culture of the sample revealed Mycobacterium kansasii, pyrazinamide was withdrawn. Highly active antiretroviral therapy (HAART was initiated soon after, consisting of abacavir/lamivudine and efavirenz. The patient's general condition deteriorated 2 weeks after HAART initiation, which could have been due to the development of immune reconstitution inflammatory syndrome (IRIS. The patient recovered and was discharged in good condition. However, the results of resistance testing of the isolated organism arrived after discharge, and showed isoniazid and streptomycin resistance. This is the first case report of M. kansasii infection from Serbia and shows the difficulties encountered during the course of treatment.

  2. Multi drug resistance tuberculosis: pattern seen in last 13 years

    International Nuclear Information System (INIS)

    Iqbal, R.; Shabbir, I.; Munir, K.; Tabassum, M.N.; Khan, S.U.; Khan, M.Z.U.

    2011-01-01

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  3. Multi drug resistance tuberculosis: pattern seen in last 13 years

    Energy Technology Data Exchange (ETDEWEB)

    Iqbal, R; Shabbir, I; Munir, K [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Research Centre; Tabassum, M N; Khan, S U; Khan, M Z.U. [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Chest Medicine

    2011-01-15

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  4. Strength training improves fatigue resistance and self-rated health in workers with chronic pain

    DEFF Research Database (Denmark)

    Sundstrup, Emil; Jakobsen, Markus Due; Brandt, Mikkel

    2016-01-01

    of a randomized controlled trial investigates the effect of strength training on muscular fatigue resistance and self-rated health among workers with chronic pain. Sixty-six slaughterhouse workers with chronic upper limb pain and work disability were randomly allocated to 10 weeks of strength training or usual...... (Spearman's rho = -0.40; P = 0.01). In conclusion, specific strength training improves muscular fatigue resistance and self-rated health and reduces pain of the hand/wrist in manual workers with chronic upper limb pain. This trial is registered with ClinicalTrials.gov NCT01671267.......-rated health and pain. Time to fatigue, muscle strength, hand/wrist pain, and self-rated health improved significantly more following strength training than usual care (all P

  5. Parasitism rate of Myzus persicae (Sulzer by Diaeretiella rapae (McIntosh in the presence of an alternative, resistant host

    Directory of Open Access Journals (Sweden)

    Samira Evangelista Ferreira

    Full Text Available ABSTRACT The aphids Lipaphis pseudobrassicae (Davis and Myzus persicae (Sulzer (Hemiptera: Aphididae are important Brassicaceae pests, occurring worldwide and causing significant damage to crops. Interspecific variations in the resistance to natural enemies can potentially impact the interaction among aphid populations. Here we evaluated the hypothesis of associational resistance by determining if the presence of resistant aphids (L. pseudobrassicae reduces the rate of parasitism by Diaeretiella rapae (McIntosh on non-resistant aphids (M. persicae. The experiment was conducted using collard green plants infested with M. persicae and L. pseudobrassicae either resistant or susceptible to D. rapae. The percentage of parasitism by D. rapae was greater on L. pseudobrassicae in the susceptible than in the resistant treatment, but parasitism rates on M. persicae did not differ between the treatments. There was no difference in average growth rate between M. persicae and susceptible L. pseudobrassicae populations, but resistant L. pseudobrassicae had greater growth rate than M. persicae. These results suggest that over a short period of time the presence of resistant L. pseudobrassicae does not affect the rate of parasitism by D. rapae on M. persicae.

  6. Survival and reproductive rate of mites in relation to resistance of their barn swallow hosts.

    Science.gov (United States)

    Møller, A P

    2000-08-01

    Parasite resistance may act via a number of different mechanisms that regulate or control the survival and the reproductive rate of parasites. Observations and experiments were used to test for effects of host resistance on parasite survival and rate of reproduction. Natural levels of infestation of barn swallow Hirundo rustica nests by the tropical fowl mite Ornithonyssus bursa were positively related to brood size, inversely related to the length of the outermost tail feathers of male nest owners (a secondary sexual character) and affected by time of reproduction by the host. A mite inoculation experiment, in which 50 adult mites were introduced into nests during the laying period of the host, was used to test for differential survival and reproduction of mites as a function of host resistance. The relationship between survival and reproduction of parasites, male tail length and host resistance was investigated. There was a negative relationship between mite numbers per nest after fledging of nestlings and male tail length. This relationship was mainly caused by a reduction in the number of mites in the first and second nymph stage with increasing tail length of male hosts, implying a reduction in rate of reproduction of mites. The proportion of mites that had recently fed was inversely related to tail length of male hosts. The proportion of nymph stages was positively related to the proportion of mites that had recently had a blood meal. Parasite resistance of barn swallows to the tropical fowl mite thus appeared to act through increased mortality rate of adult and nymph stages of mites, and through reduced reproductive rates of mites on resistant hosts. This is the first study demonstating a direct relationship between fitness components of a parasite and the expression of a secondary sexual character of a host.

  7. Clinical Characteristics of Pulmonary Tuberculosis Patients from a Southern Taiwan Hospital-based Survey

    Directory of Open Access Journals (Sweden)

    Chuan-Sheng Wang

    2008-01-01

    Full Text Available This study aimed to identify the clinical characteristics of culture-positive pulmonary tuberculosis (TB patients from a southern Taiwan hospital-based survey between August 1, 2003 and July 31, 2006. Demographics, symptoms, susceptibility patterns, sputum acid-fast bacilli (AFB stain status and treatment outcomes were recorded. The medical records of 154 patients who presented to the Kaohsiung Municipal Hsiao-Kang Hospital were analyzed retrospectively. The mean age of patients was 59.5 years; 115 patients were male and 39 were female. Diabetes mellitus (48/154; 31.2% was the most frequent risk factor for pulmonary TB infection. Nearly all patients (139/154; 90.3% had a cough. Fever was only seen in 27.9% and hemoptysis in 14.9% of patients. The combined resistance rates of Mycobacterium tuberculosis to the tested first-line agents were as follows: isoniazid, 3.2%; rifampin, 7.8%; ethambutol, 5.8%; and streptomycin, 2.6%. The combined resistance rate to any one of four first-line drugs was 12.3%. The combined resistance rate to ofloxacin was 3.9%. The combined resistance rate of multidrug resistant-TB was 1.9%. Sputum AFB stains were positive in 68.2% of cases. Analysis of treatment outcomes showed overall treatment success at 76.6%. The proportions of patients who died, defaulted treatment or in whom treatment failed were 16.2%, 3.9% and 0.0%, respectively. In conclusion, our study showed: (1 a higher frequency of pulmonary TB in male subjects than in other areas of Taiwan; (2 a higher frequency of cough and lower frequency of fever and hemoptysis than previous studies; (3 that the combined resistance rates to isoniazid and streptomycin were lower than both average levels in Taiwan and the global combined drug resistance rate; and (4 a higher proportion of patients responding to treatment and lower proportions of patients suffering mortality, defaulting treatment or not responding to treatment compared with other areas of Taiwan. With regard

  8. Molecular characterization of antibiotic resistance in enterococci recovered from seagulls (Larus cachinnans) representing an environmental health problem.

    Science.gov (United States)

    Radhouani, Hajer; Igrejas, Gilberto; Pinto, Luís; Gonçalves, Alexandre; Coelho, Céline; Rodrigues, Jorge; Poeta, Patrícia

    2011-08-01

    Antimicrobial resistance and the mechanisms implicated were studied in 54 enterococci recovered from 57 seagull fecal samples. Almost 78% of the recovered enterococci showed resistance against one or more antibiotics and these isolates were identified to the species level. E. faecium was the most prevalent species (52.4%). High percentages of erythromycin and tetracycline resistances were found among our isolates (95.2%), and lower percentages were identified to other antibiotics. Most of the tetracycline-resistant strains carried the tet(M) and/or tet(L) genes. Genes associated with Tn916/Tn1545 and/or Tn5397 transposons were detected in 45% of tetracycline-resistant isolates. The erm(B) gene was detected in 65% of erythromycin-resistant isolates. The vat(D) and vat(E) genes were present in 5.9% and 11.8% of quinupristin/dalfopristin-resistant isolates, respectively. The ant(6)-Ia gene was identified in 57.1% of streptomycin-resistant isolates. All nine kanamycin-resistant isolates carried the aph(3)'-IIIa gene. The cat(A) gene was found in two chloramphenicol-resistant isolates. Seagulls should be considered a risk species for spreading in the environment antimicrobial resistant enterococci and can serve as a sentinel for antibiotic pressure from the surrounding farm and urban setting.

  9. Antibiotic resistance profiling and phenotyping of Aeromonas species isolated from aquatic sources

    Directory of Open Access Journals (Sweden)

    Olumide A. Odeyemi

    2017-01-01

    Full Text Available This study aimed to investigate antibiotics resistance pattern and phenotyping of Aeromonas species isolated from different aquatic sources in Melaka, Malaysia. A total of 53 Aeromonas species were isolated from the following sources: sediment (n = 13, bivalve (n = 10, sea cucumber (n = 16 and sea water (n = 14 and resistance to 12 antibiotics – Tetracycline (30 μg, Kanamycin (30 μg, Oxytetracycline (30 μg, Ampicillin (10 μg, Streptomycin (10 μg, Gentamicin (10 μg, Sulphamethoxazole (25 μg, Nalixidic acid (30 μg, Trimethoprim (1.25 μg, Novobiocin (5 μg, Penicilin (10 μg and Chloramphenicol (10 μg was tested. The results obtained from this study reveal multi drug resistance pattern among the isolates. All the isolates were completely resistant to Ampicillin, Novobiocin, Sulphamethoxazole and Trimethoprim, respectively but susceptible to Tetracycline (100%, Kanamycin (5.7%, Gentamicin (5.7% and Oxytetracycline (24.5%. Antibiotics phenotyping of the bacteria revealed 21 different phenotypes among the isolates.

  10. Low methicillin-resistant Staphylococcus aureus carriage rate among Italian dental students

    NARCIS (Netherlands)

    Petti, S.; Kakisina, N.; Volgenant, C.M.C.; Messano, G.A.; Barbato, E.; Passariello, C.; de Soet, J.J.

    2015-01-01

    We assessed methicillin-resistant Staphylococcus aureus (MRSA) carriage rate among dental students from an Italian university. A total of 157 subjects participated (67 preclinical students and 90 clinical students); samples were collected from the nose, mouth, and skin. Five preclinical students and

  11. Pathogenicity determinants and antibiotic resistance profiles of enterococci from foods of animal origin in Turkey.

    Science.gov (United States)

    Elal Mus, Tulay; Cetinkaya, Figen; Cibik, Recep; Soyutemiz, Gul Ece; Simsek, Husniye; Coplu, Nilay

    2017-12-01

    In this study, the presence of genes responsible for the pathogenicity and antibiotic resistance profile of enterococci isolated from various foodstuffs of animal origin was investigated. The percentage prevalence of enterococci was 54.1% (203/375) and the average count was found to be 3.81 log cfu/ml-g. Species-specific primers revealed Enterococcus faecalis as the predominant species carrying one or more virulence-associated traits of efa, gelE, ace, esp and agg genetic markers. Only one E. faecium isolate (from milk) was positive for the esp gene. Regarding antibiotic resistance, the highest frequency of resistance was observed for tetracycline (21.7%), followed by quinupristin/dalfopristin (13.3%), ciprofloxacin (2.0%), penicillin (2.0%), linezolid (1.0%), ampicillin (1.0%), streptomycin (1.0%), and gentamicin (0.5%). Enterococcus faecalis showed a higher prevalence of antibiotic resistance than other enterococci. The percentage of multidrug resistance among the isolates was 3.4%. Twenty-nine E. faecalis isolates (26.6%) carrying one of the virulence-associated traits were at the same time resistant to at least one antibiotic. Our results show that foods of animal origin, including ready-to-eat products, may be reservoirs of antibiotic-resistant and potentially virulent enterococci.

  12. Antimicrobial Resistance Profiles of Bacteria Isolated from the Nasal Cavity of Camels in Samburu, Nakuru, and Isiolo Counties of Kenya

    Directory of Open Access Journals (Sweden)

    J. M. Mutua

    2017-01-01

    Full Text Available This study was designed to determine antimicrobial resistance profiles of bacteria isolated from the nasal cavity of healthy camels. A total of 255 nasal samples (swabs were collected in Isiolo, Samburu, and Nakuru counties, Kenya, from which 404 bacterial isolates belonging to various genera and species were recovered. The bacterial isolates included Bacillus (39.60%, coagulase-negative Staphylococcus (29.95%, Streptococcus species other than Streptococcus agalactiae (25.74%, coagulase-positive Staphylococcus (3.96%, and Streptococcus agalactiae (0.74%. Isolates were most susceptible to Gentamicin (95.8%, followed by Tetracycline (90.5%, Kanamycin and Chloramphenicol (each at 85.3%, Sulphamethoxazole (84.2%, Co-trimoxazole (82.1%, Ampicillin (78.9%, and finally Streptomycin (76.8%. This translated to low resistance levels. Multidrug resistance was also reported in 30.5% of the isolates tested. Even though the antibiotic resistance demonstrated in this study is low, the observation is significant, since the few resistant normal flora could be harboring resistance genes which can be transferred to pathogenic bacteria within the animal, to other animals’ bacteria and, most seriously, to human pathogens.

  13. High-Rate Glass Resistive Plate Chambers For LHC Muon Detectors Upgrade

    CERN Document Server

    Laktineh, I; Cauwenbergh, S; Combret, C; Crotty, I; Haddad, Y; Grenier, G; Guida, R; Kieffer, R; Lumb, N; Mirabito, L; Schirra, F; Seguin, N; Tytgat, M; Van der Donckt, M; Wang, Y; Zaganidis, N

    2012-01-01

    The limitation of the detection rate of standard bakelite resistive plate chambers (RPC) used as muon detector in LHC experiments is behind the absence of such detectors in the high TJ regions in both CMS and ATLAS detectors. RPCs made with low resistivity glass plates (10ID O.cm) could be an adequate solution to equip the high TJ regions extending thus both the trigger efficiency and the physics performance. Different beam tests with single and multi-gap configurations using the new glass have shown that such detectors can operate at few thousands Hzlcm2 with high efficiency( > 90%).

  14. Occurrence of antibiotic and metal resistance in bacteria from organs of river fish

    International Nuclear Information System (INIS)

    Pathak, S.P.; Gopal, K.

    2005-01-01

    Bacterial populations in some organs, viz., liver, spleen, kidney, gill, and arborescent organ of the catfish Clarias batrachus were enumerated followed by determination of resistance for antibiotics and metals. The total viable counts in these organs, observed, were 2.24x10 4 , 2.08x10 4 , 1.44x10 4 , 1.23x10 4 , and 6.40x10 3 colony-forming units/mL, respectively. The random bacterial isolates from these fish organs showed resistance in decreasing order for colistin (98%), ampicillin (82%), gentamycin (34%), carbenicillin (28%), tetracyline (20%), streptomycin (12%), and ciprofloxacin (02%). Most of the isolates exhibited an increasing order of tolerance for the metals (μg/mL) copper (100), lead (200), manganese (400), cadmium (200), and chromium (50), with minimum inhibitory concentration (MIC) ranging from <50 to 1600 μg/mL. These observations indicate that the significant occurrence of bacterial population in organs of fish with high incidence of resistance for antibiotics and metals may pose risk to fish fauna and public health

  15. Association of Antibiotic Resistance in Agricultural Escherichia coli Isolates with Attachment to Quartz▿

    Science.gov (United States)

    Liu, Ping; Soupir, Michelle L.; Zwonitzer, Martha; Huss, Bridgette; Jarboe, Laura R.

    2011-01-01

    Surface water can be contaminated by bacteria from various sources, including manure from agricultural facilities. Attachment of these bacteria to soil and organic particles contributes to their transport through the environment, though the mechanism of attachment is unknown. As bacterial attachment to human tissues is known to be correlated with antibiotic resistance, we have investigated here the relationship between bacterial attachment to environmental particles and antibiotic resistance in agricultural isolates. We evaluated 203 Escherichia coli isolates collected from swine facilities for attachment to quartz, resistance to 13 antibiotics, and the presence of genes encoding 13 attachment factors. The genes encoding type I, EcpA, P pili, and Ag43 were detected, though none was significantly related to attachment. Quartz attachment was positively and significantly (P amoxicillin/streptomycin/tetracycline/sulfamethazine/tylosin/chlortetracycline and negatively and significantly (P < 0.0038) related to combined resistance to nalidixic acid/kanamycin/neomycin. These results provide clear evidence for a link between antibiotic resistance and attachment to quartz in agricultural isolates. We propose that this may be due to encoding by the responsible genes on a mobile genetic element. Further exploration of the relationship between antibiotic resistance and attachment to environmental particles will improve the understanding and modeling of environmental transport processes, with the goal of preventing human exposure to antibiotic-resistant or virulent microorganisms. PMID:21821756

  16. Association of antibiotic resistance in agricultural Escherichia coli isolates with attachment to quartz.

    Science.gov (United States)

    Liu, Ping; Soupir, Michelle L; Zwonitzer, Martha; Huss, Bridgette; Jarboe, Laura R

    2011-10-01

    Surface water can be contaminated by bacteria from various sources, including manure from agricultural facilities. Attachment of these bacteria to soil and organic particles contributes to their transport through the environment, though the mechanism of attachment is unknown. As bacterial attachment to human tissues is known to be correlated with antibiotic resistance, we have investigated here the relationship between bacterial attachment to environmental particles and antibiotic resistance in agricultural isolates. We evaluated 203 Escherichia coli isolates collected from swine facilities for attachment to quartz, resistance to 13 antibiotics, and the presence of genes encoding 13 attachment factors. The genes encoding type I, EcpA, P pili, and Ag43 were detected, though none was significantly related to attachment. Quartz attachment was positively and significantly (P amoxicillin/streptomycin/tetracycline/sulfamethazine/tylosin/chlortetracycline and negatively and significantly (P < 0.0038) related to combined resistance to nalidixic acid/kanamycin/neomycin. These results provide clear evidence for a link between antibiotic resistance and attachment to quartz in agricultural isolates. We propose that this may be due to encoding by the responsible genes on a mobile genetic element. Further exploration of the relationship between antibiotic resistance and attachment to environmental particles will improve the understanding and modeling of environmental transport processes, with the goal of preventing human exposure to antibiotic-resistant or virulent microorganisms.

  17. Prevalence and molecular characterization of pyrazinamide resistance among multidrug-resistant Mycobacterium tuberculosis isolates from Southern China.

    Science.gov (United States)

    Pang, Yu; Zhu, Damian; Zheng, Huiwen; Shen, Jing; Hu, Yan; Liu, Jie; Zhao, Yanlin

    2017-11-06

    Pyrazinamide (PZA) plays a unique role in the treatment for multidrug-resistant tuberculosis (MDR-TB) in both first- and second-line regimens. The aim of this study was to investigate the prevalence and molecular characterization of PZA resistance among MDR-TB isolates collected in Chongqing municipality. A total of 133 MDR-TB isolates were collected from the smear-positive tuberculosis patients who were registered at local TB dispensaries of Chongqing. PZA susceptibility testing was determined with a Bactec MGIT 960 system. In addition, the genes conferring for PZA resistance were screened by DNA sequencing. Of these 133 MDR-TB isolates, 83 (62.4%) were determined as PZA-resistant by MGIT 960. In addition, streptomycin- (83.1% vs. 56.0%, P < 0.01), ofloxacin- (51.8% vs. 18.0%, P < 0.01), kanamycin- (22.9% vs. 2.0%, P < 0.01), amikacin- (18.1% vs. 2.0%, P = 0.01), capromycin-resistance (12.0% vs. 2.0%, P = 0.05), were more frequently observed among PZA-resistant isolates compared with PZA-susceptible isolates. Sequence analysis revealed that 73 out of 83 (88.0%) MDR strains harbored a mutation located in the pncA gene, including 55 (75.3%, 55/73) of single nucleotide substitutions and 18 (24.7%, 18/73) of frameshift mutation, while no genetic mutation associated with PZA resistance was found in the rpsA gene. The pncA expression of strains harboring substitution from A to G at position -11 in the promoter region of pncA was significantly lower than that of H37Rv (P < 0.01). In conclusion, our data have demonstrated that the analysis of the pncA gene rather than rpsA gene provides rapid and accurate information regarding PZA susceptibility for MDR-TB isolates in Chongqing. In addition, loss of pncA expression caused by promoter mutation confers PZA resistance in MDR-TB isolates.

  18. Changing patterns and trends of multidrug-resistant tuberculosis at referral centre in Northern India: A 4-year experience

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2013-01-01

    Full Text Available Purpose: India has a high burden of drug-resistant tuberculosis (TB, although there is little data on multidrug-resistant tuberculosis (MDR-TB. Although MDR-TB has existed for long time in India, very few diagnostic laboratories are well-equipped to test drug sensitivity. The objectives of this study were to determine the prevalence of MDR-TB, first-line drug resistance patterns and its changing trends in northern India in the 4 years. Materials and Methods: This was a prospective study from July 2007 to December 2010. Microscopy, culture by Bactec460 and p-nitro-α-acetylamino-β-hydroxypropiophenone (NAP test was performed to isolate and identify Mycobacterium tuberculosis (M. tb complex (MTBC. Drug sensitivity testing (DST was performed by 1% proportional method (Bactec460 for four drugs: Rifampicin, isoniazid, ethambutol and streptomycin. Various clinical and demographical profiles were evaluated to analyse risk factors for development of drug resistance. Results: We found the overall prevalence rate of MDR-TB to be 38.8%, increasing from 36.4% in 2007 to 40.8% in 2010. we found that the prevalence of MDR-TB in new and previously treated cases was 29.1% and 43.3% ( P < 0.05; CI 95%. The increasing trend of MDR-TB was more likely in pulmonary TB when compared with extra-pulmonary TB ( P < 0.05; CI 95%. Conclusions: we found a high prevalence (38.8% of MDR-TB both in new cases (29.1% and previously treated cases (43.3%.This study strongly highlights the need to make strategies for testing, surveillance, monitoring and management of such drug-resistant cases.

  19. Characterization of Antibiotic Resistance Genes from Lactobacillus Isolated from Traditional Dairy Products.

    Science.gov (United States)

    Guo, Huiling; Pan, Lin; Li, Lina; Lu, Jie; Kwok, Laiyu; Menghe, Bilige; Zhang, Heping; Zhang, Wenyi

    2017-03-01

    Lactobacilli are widely used as starter cultures or probiotics in yoghurt, cheese, beer, wine, pickles, preserved food, and silage. They are generally recognized as safe (GRAS). However, recent studies have shown that some lactic acid bacteria (LAB) strains carry antibiotic resistance genes and are resistant to antibiotics. Some of them may even transfer their intrinsic antibiotic resistance genes to other LAB or pathogens via horizontal gene transfer, thus threatening human health. A total of 33 Lactobacillus strains was isolated from fermented milk collected from different areas of China. We analyzed (1) their levels of antibiotic resistance using a standardized dilution method, (2) their antibiotic resistance gene profiles by polymerase chain reaction (PCR) using gene-specific primers, and (3) the transferability of some of the detected resistance markers by a filter mating assay. All Lactobacillus strains were found to be resistant to vancomycin, but susceptible to gentamicin, linezolid, neomycin, erythromycin, and clindamycin. Their susceptibilities to tetracycline, kanamycin, ciprofloxacin, streptomycin, quinupristin/dalfopristin, trimethoprim, ampicillin, rifampicin, and chloramphenicol was different. Results from our PCR analysis revealed 19 vancomycin, 10 ciprofloxacin, and 1 tetracycline-resistant bacteria that carried the van(X), van(E), gyr(A), and tet(M) genes, respectively. Finally, no transferal of the monitored antibiotic resistance genes was observed in the filter mating assay. Taken together, our study generated the antibiotic resistance profiles of some milk-originated lactobacilli isolates and preliminarily assessed their risk of transferring antibiotic gene to other bacteria. The study may provide important data concerning the safe use of LAB. © 2017 Institute of Food Technologists®.

  20. Marine echinoderms as reservoirs of antimicrobial resistant bacteria

    Directory of Open Access Journals (Sweden)

    Catarina Marinho

    2014-06-01

    (Marinho et al., 2013. Erythromycin and tetracycline are widely used in human therapy, and this may have contributed to the increasing resistance to these antibiotics (Hummel et al., 2007, Barros et al., 2011. It also highlights the lack of antibiotic efficiency in medicine, doing with resistance dissemination. Also, several genes associated with antimicrobial resistance were already found in antimicrobial-resistant enterococci from echinoderms: tet(M/tet(L, erm(A/erm(B, vat(D/vat(E, aac(6’-aph(2'' and aph(3'-IIIa genes were detected in tetracycline, erythromycin, quinupristin-dalfopristin, gentamicin and kanamycin-resistant isolates, respectively (Marinho et al., 2013. Despite of the small number of Escherichia coli isolates from echinoderms samples, they displayed an antibiotic-resistant phenotype to: tetracycline, streptomycin, tobramycin and amoxicillin + clavulanic acid. E. coli isolates from echinoderms tetracycline-resistant had tet(A and tet(B genes present in their genome. Besides, the aadA gene was detected in all E. coli streptomycin-resistant strains isolated from echinoderms (Marinho et al., 2013. According to some results, enterococci and E. coli displaying phenotype and resistance genes to some antibiotics that were already documented in marine animals, humans, wastewaters and numerous wildlife animals (Marinho et al., 2013, Barros et al., 2011, Sousa et al., 2011, Foti et al., 2009. Echinoderms are coastal animals and, consequently, it is possible that sewage discharges at the ocean may represent the main source of antibiotic-resistant bacteria deriving from several habitats. Indirect effects in micro-systems from the antibiotic disturbance are largely unknown; however, it is expected that such disorder might have significant long-term effects on the rate and stability of the ecosystem. Nevertheless, the consumption of exotic food is an example of a potential pathway for human contact with marine echinoderms microbiota, which may contain antibiotic-resistant

  1. Hand-hygiene compliance does not predict rates of resistant infections in critically ill surgical patients.

    Science.gov (United States)

    Jayaraman, Sudha P; Klompas, Michael; Bascom, Molli; Liu, Xiaoxia; Piszcz, Regina; Rogers, Selwyn O; Askari, Reza

    2014-10-01

    Our institution had a major outbreak of multi-drug-resistant Acinetobacter (MDRA) in its general surgical and trauma intensive care units (ICUs) in 2011, requiring implementation of an aggressive infection-control response. We hypothesized that poor hand-hygiene compliance (HHC) may have contributed to the outbreak of MDRA. A response to the outbreak including aggressive environmental cleaning, cohorting, and increased hand hygiene compliance monitoring may have led to an increase in HHC after the outbreak and to a consequent decrease in the rates of infection by the nosocomial pathogens methicillin-resistant Staphylococcus aureus (MRSA), vancomycin-resistant enterococci (VRE), and Clostridium difficile. Hand-hygiene compliance, tracked in monthly audits by trained and anonymous observers, was abstracted from an infection control database. The incidences of nosocomial MRSA, VRE, and C. difficile were calculated from a separate prospectively collected data base for 6 mo before and 12 mo after the 2011 outbreak of MDRA in the institution's general surgical and trauma ICUs, and data collected prospectively from two unaffected ICUs (the thoracic surgical ICU and medical intensive care unit [MICU]). We created a composite endpoint of "any resistant pathogen," defined as MRSA, VRE, or C. difficile, and compared incidence rates over time, using the Wilcoxon signed rank test and Pearson product-moment correlation coefficient to measure the correlations among these rates. Rates of HHC before and after the outbreak of MDRA were consistently high in both the general surgical (median rates: 100% before and 97.6% after the outbreak, p=0.93) and trauma ICUs (median rates: 90% before and 96.75% after the outbreak, p=0.14). In none of the ICUs included in the study did the rates of HHC increase in response to the outbreak of MDRA. The incidence of "any resistant pathogen" decreased in the general surgical ICU after the outbreak (from 6.7/1,000 patient-days before the outbreak to 2

  2. TRP channels in brown and white adipogenesis from human progenitors: new therapeutic targets and the caveats associated with the common antibiotic, streptomycin.

    Science.gov (United States)

    Goralczyk, Anna; van Vijven, Marc; Koch, Mathilde; Badowski, Cedric; Yassin, M Shabeer; Toh, Sue-Anne; Shabbir, Asim; Franco-Obregón, Alfredo; Raghunath, Michael

    2017-08-01

    Transient receptor potential (TRP) channels are polymodal cell sensors responding to diverse stimuli and widely implicated in the developmental programs of numerous tissues. The evidence for an involvement of TRP family members in adipogenesis, however, is scant. We present the first comprehensive expression profile of all known 27 human TRP genes in mesenchymal progenitors cells during white or brown adipogenesis. Using positive trilineage differentiation as an exclusion criterion, TRP polycystic (P)3, and TPR melastatin (M)8 were found to be uniquely adipospecific. Knockdown of TRPP3 repressed the expression of the brown fat signature genes uncoupling protein (UCP)-1 and peroxisome proliferator-activated receptor γ coactivator (PGC)-1α as well as attenuated forskolin-stimulated uncoupled respiration. However, indices of generalized adipogenesis, such as lipid droplet morphology and fatty acid binding protein (FAPB)-4 expression, were not affected, indicating a principal mitochondrial role of TRPP3. Conversely, activating TRPM8 with menthol up-regulated UCP-1 expression and augmented uncoupled respiration predominantly in white adipocytes (browning), whereas streptomycin antagonized TRPM8-mediated calcium entry, downregulated UCP-1 expression, and mitigated uncoupled respiration; menthol was less capable of augmenting uncoupled respiration (thermogenesis) in brown adipocytes. TRPP3 and TRPM8 hence appear to be involved in the priming of mitochondria to perform uncoupled respiration downstream of adenylate cyclase. Our results also underscore the developmental caveats of using antibiotics in adipogenic studies.-Goralczyk, A., van Vijven, M., Koch, M., Badowski, C., Yassin, M. S., Toh, S.-A., Shabbir, A., Franco-Obregón, A., Raghunath, M. TRP channels in brown and white adipogenesis from human progenitors: new therapeutic targets and the caveats associated with the common antibiotic, streptomycin. © FASEB.

  3. Early and late rate of force development: differential adaptive responses to resistance training?

    DEFF Research Database (Denmark)

    Andersen, L L; Andersen, Jesper Løvind; Zebis, M K

    2010-01-01

    The objective of this study is to investigate the potentially opposing influence of qualitative and quantitative muscular adaptations in response to high-intensity resistance training on contractile rate of force development (RFD) in the early (200 ms) of rising muscle force. Fifteen healthy young......-intensity resistance training due to differential influences of qualitative and quantitative muscular adaptations on early and later phases of rising muscle force....... males participated in a 14-week resistance training intervention for the lower body and 10 matched subjects participated as controls. Maximal muscle strength (MVC) and RFD were measured during maximal voluntary isometric contraction of the quadriceps femoris muscle. Muscle biopsies were obtained from...

  4. Quantifying Attachment and Antibiotic Resistance of from Conventional and Organic Swine Manure.

    Science.gov (United States)

    Zwonitzer, Martha R; Soupir, Michelle L; Jarboe, Laura R; Smith, Douglas R

    2016-03-01

    Broad-spectrum antibiotics are often administered to swine, contributing to the occurrence of antibiotic-resistant bacteria in their manure. During land application, the bacteria in swine manure preferentially attach to particles in the soil, affecting their transport in overland flow. However, a quantitative understanding of these attachment mechanisms is lacking, and their relationship to antibiotic resistance is unknown. The objective of this study is to examine the relationships between antibiotic resistance and attachment to very fine silica sand in collected from swine manure. A total of 556 isolates were collected from six farms, two organic and four conventional (antibiotics fed prophylactically). Antibiotic resistance was quantified using 13 antibiotics at three minimum inhibitory concentrations: resistant, intermediate, and susceptible. Of the 556 isolates used in the antibiotic resistance assays, 491 were subjected to an attachment assay. Results show that isolates from conventional systems were significantly more resistant to amoxicillin, ampicillin, chlortetracycline, erythromycin, kanamycin, neomycin, streptomycin, tetracycline, and tylosin ( < 0.001). Results also indicate that isolated from conventional systems attached to very fine silica sand at significantly higher levels than those from organic systems ( < 0.001). Statistical analysis showed that a significant relationship did not exist between antibiotic resistance levels and attachment in from conventional systems but did for organic systems ( < 0.001). Better quantification of these relationships is critical to understanding the behavior of in the environment and preventing exposure of human populations to antibiotic-resistant bacteria. Copyright © by the American Society of Agronomy, Crop Science Society of America, and Soil Science Society of America, Inc.

  5. Occurrence of antimicrobial resistance in bacteria from diagnostic samples from dogs.

    Science.gov (United States)

    Pedersen, Karl; Pedersen, Kristina; Jensen, Helene; Finster, Kai; Jensen, Vibeke F; Heuer, Ole E

    2007-10-01

    To study the occurrence of antimicrobial resistance among common bacterial pathogens from dogs and relate resistance patterns to data on consumption of antimicrobials. The antimicrobial susceptibility patterns of 201 Staphylococcus intermedius, 37 Streptococcus canis, 39 Pseudomonas aeruginosa, 25 Pasteurella multocida, 29 Proteus spp. and 449 Escherichia coli isolates from clinical submissions from dogs were determined by a broth-dilution method for determination of minimal inhibitory concentration. Data for consumption of antimicrobials were retrieved from VetStat, a national database for reporting antimicrobial prescriptions. The majority of the antimicrobials prescribed for dogs were broad-spectrum compounds, and extended-spectrum penicillins, cephalosporins and sulphonamides + trimethoprim together accounted for 81% of the total amount used for companion animals. Resistance to cephalosporins and amoxicillin with clavulanic acid was very low for all bacterial species examined, except for P. aeruginosa, and resistance to sulphonamides and trimethoprim was low for most species. Among the S. intermedius isolates, 60.2% were resistant to penicillin, 30.2% to fusidic acid and 27.9% to macrolides. Among E. coli isolates, the highest level of resistance was recorded for ampicillin, sulphonamides, trimethoprim, tetracyclines and streptomycin. Certain differences in resistance patterns between isolates from different sites or organs were noticed for E. coli, S. intermedius and Proteus isolates. This investigation provided data on occurrence of antimicrobial resistance in important pathogenic bacteria from dogs, which may be useful for the small animal practitioner. Resistance was low to the compounds that were most often used, but unfortunately, these compounds were broad-spectrum. Data on resistance and usage may form a background for the establishment of a set of recommendations for prudent use of antimicrobials for companion animals.

  6. Antimicrobial resistance in commensal Escherichia coli isolated from animals at slaughter

    Science.gov (United States)

    Wasyl, Dariusz; Hoszowski, Andrzej; Zając, Magdalena; Szulowski, Krzysztof

    2013-01-01

    Monitoring of antimicrobial resistance in commensal Escherichia coli (N = 3430) isolated from slaughtered broilers, laying hens, turkeys, swine, and cattle in Poland has been run between 2009 and 2012. Based on minimal inhibitory concentration (MIC) microbiological resistance to each of 14 tested antimicrobials was found reaching the highest values for tetracycline (43.3%), ampicillin (42.3%), and ciprofloxacin (39.0%) whereas the lowest for colistin (0.9%), cephalosporins (3.6 ÷ 3.8%), and florfenicol (3.8%). The highest prevalence of resistance was noted in broiler and turkey isolates, whereas it was rare in cattle. That finding along with resistance patterns specific to isolation source might reflect antimicrobial consumption, usage preferences or management practices in specific animals. Regression analysis has identified changes in prevalence of microbiological resistance and shifts of MIC values. Critically important fluoroquinolone resistance was worrisome in poultry isolates, but did not change over the study period. The difference (4.7%) between resistance to ciprofloxacin and nalidixic acid indicated the scale of plasmid-mediated quinolone resistance. Cephalosporin resistance were found in less than 3.8% of the isolates but an increasing trends were observed in poultry and MIC shift in the ones from cattle. Gentamycin resistance was also increasing in E. coli of turkey and cattle origin although prevalence of streptomycin resistance in laying hens decreased considerably. Simultaneously, decreasing MIC for phenicols observed in cattle and layers isolates as well as tetracycline values in E. coli from laying hens prove that antimicrobial resistance is multivariable phenomenon not only directly related to antimicrobial usage. Further studies should elucidate the scope of commensal E. coli as reservoirs of resistance genes, their spread and possible threats for human and animal health. PMID:23935596

  7. Antimicrobial resistance in commensal Escherichia coli isolated from animals at slaughter

    Directory of Open Access Journals (Sweden)

    Dariusz eWasyl

    2013-08-01

    Full Text Available Monitoring of antimicrobial resistance in commensal Escherichia coli (N = 3430 isolated from slaughtered broilers, laying hens, turkeys, swine, and cattle in Poland has been run between 2009 and 2012. Based on minimal inhibitory concentration (MIC microbiological resistance to each of 14 tested antimicrobials was found reaching the highest values for tetracycline (43.3%, ampicillin (42.3%, and ciprofloxacin (39.0% whereas the lowest for colistin (0.9%, cephalosporins (3.6 ÷ 3.8%, and florfenicol (3.8%. The highest prevalence of resistance was noted in broiler and turkey isolates, whereas it was rare in cattle. That finding along with resistance patterns specific to isolation source might reflect antimicrobial consumption, usage preferences or management practices in specific animals. Regression analysis has identified changes in prevalence of microbiological resistance and shifts of MIC values. Critically important fluoroquinolone resistance was worrisome in poultry isolates, but did not change over the study period. The difference (4.7% between resistance to ciprofloxacin and nalidixic acid indicated the scale of plasmid-mediated quinolone resistance. Cephalosporin resistance were found in less than 3.8% of the isolates but an increasing trends were observed in poultry and MIC shift in the ones from cattle. Gentamycin resistance was also increasing in E. coli of turkey and cattle origin although prevalence of streptomycin resistance in laying hens decreased considerably. Simultaneously, decreasing MIC for phenicols observed in cattle and layers isolates as well as tetracycline values in E. coli from laying hens prove that antimicrobial resistance is multivariable phenomenon not only directly related to antimicrobial usage. Further studies should elucidate the scope of commensal E. coli as reservoirs of resistance genes, their spread and possible threats for human and animal health.

  8. Trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of extrapulmonary tuberculosis cases in referral hospitals in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2012-01-01

    Full Text Available Background: Drug-resistant tuberculosis is one of major current challenges to global public health. The transmission of resistant strains is increasing as a burden of multidrug-resistant tuberculosis (MDR-TB patients in extra pulmonary tuberculosis (EPTB cases in India. Aim and Objectives: The aim was to study trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of EPTB in referral hospitals in northern India. Study Design and Setting: A prospectively observational study and referral medical institutions in northern India. Materials and Methods: All EPTB specimens were processed for Ziehl Neelsen staining, BACTEC culture and BACTEC NAP test for Mycobacterium tuberculosis complex. All M. tuberculosis complex isolates were performed for radiometric-based drug susceptibility pattern against streptomycin, isoniazid, rifampicin and ethambutol using the 1% proportion method. Results: We found that 165/756 (20.5% isolates were identified as M. tuberculosis complex by the NAP test. We observed that 39.9% were resistant to first-line antitubercular drugs. The resistance rate was higher in previously treated patients: H (30.3%, R (16.3%, E (15.7% and S (16.3%. MDR-TB was observed in 13.4%, but, in new cases, this was 11.4% and 19.1% of the previously treated patients (P<0.05. Conclusion: MDR-TB is gradually increased in EPTB cases and predominant resistance to previous treated cases of EPTB. The molecular drug sensitivity test (DST method can be an early decision for chemotherapy in MDR-TB patients. The International Standards of TB Care need to be used by the RNTCP and professional medical associations as a tool to improve TB care in the country.

  9. Campylobacter coli in Organic and Conventional Pig Production in France and Sweden: Prevalence and Antimicrobial Resistance

    Directory of Open Access Journals (Sweden)

    Isabelle Kempf

    2017-05-01

    Full Text Available The purpose of the study was to evaluate and compare the prevalence and antimicrobial resistance of Campylobacter coli in conventional and organic pigs from France and Sweden. Fecal or colon samples were collected at farms or at slaughterhouses and cultured for Campylobacter. The minimum inhibitory concentrations of ciprofloxacin, nalidixic acid, streptomycin, tetracycline, erythromycin, and gentamicin were determined by microdilution for a total of 263 French strains from 114 pigs from 50 different farms and 82 Swedish strains from 144 pigs from 54 different farms. Erythromycin resistant isolates were examined for presence of the emerging rRNA methylase erm(B gene. The study showed that within the colon samples obtained in each country there was no significant difference in prevalence of Campylobacter between pigs in organic and conventional productions [France: conventional: 43/58 (74%; organic: 43/56 (77% and Sweden: conventional: 24/36 (67%; organic: 20/36 (56%]. In France, but not in Sweden, significant differences of percentages of resistant isolates were associated with production type (tetracycline, erythromycin and the number of resistances was significantly higher for isolates from conventional pigs. In Sweden, the number of resistances of fecal isolates was significantly higher compared to colon isolates. The erm(B gene was not detected in the 87 erythromycin resistant strains tested.

  10. The change of macrolide resistance rates in group A Streptococcus isolates from children between 2002 and 2013 in Asahikawa city.

    Science.gov (United States)

    Sakata, Hiroshi

    2015-05-01

    This study targeted patients in the Department of Pediatrics, Asahikawa Kosei Hospital, between January 2002 and December 2013. In patients suspected of having hemolytic streptococcal infection, Group A Streptococcus (GAS) strains isolated from a throat swab were examined for antimicrobial susceptibility testing. The MICs were measured by the broth microdilution method. The annual number of GAS strains examined for antimicrobial susceptibility testing ranged from 28 to 65 strains, for a total of 574 strains. Some of the isolates obtained from 2006 to 2009 and from 2011 to 2013 were analyzed to determine their emm types. An erythromycin (EM) resistant strain was not detected until 2004, but one EM-resistant strain appeared in 2005. Subsequently, EM-resistant strains rapidly increased, and 48 of 65 strains (73.8%) examined in 2009 were resistant. In 2010, the number of EM-resistant strains decreased to 12 of 36 strains (33.3%). However, it gradually increased afterwards, and 37 of 60 strains (61.7%) were resistant in 2013. Out of 574 strains examined, 184 exhibited EM-resistance, and the overall resistance rate was 31.9%. Partitioning the 124 strains examined between 2006 and 2008 according to emm types, only emm28 strains, which exhibited a high resistance rate, and emm12 strains demonstrated resistance. For the 142 strains examined between 2011 and 2013, the resistance rate of emm28 strains was similarly high; the resistance of emm12 strains significantly increased, and emm1 strains exhibited a high resistance rate. The number of emm types associated with the resistant strains increased. Copyright © 2015 Japanese Society of Chemotherapy and The Japanese Association for Infectious Diseases. Published by Elsevier Ltd. All rights reserved.

  11. Molecular characterization of multidrug-resistant Shigella spp. of food origin.

    Science.gov (United States)

    Ahmed, Ashraf M; Shimamoto, Tadashi

    2015-02-02

    Shigella spp. are the causative agents of food-borne shigellosis, an acute enteric infection. The emergence of multidrug-resistant clinical isolates of Shigella presents an increasing challenge for clinicians in the treatment of shigellosis. Several studies worldwide have characterized the molecular basis of antibiotic resistance in clinical Shigella isolates of human origin, however, to date, no such characterization has been reported for Shigella spp. of food origin. In this study, we characterized the genetic basis of multidrug resistance in Shigella spp. isolated from 1600 food samples (800 meat products and 800 dairy products) collected from different street venders, butchers, retail markets, and slaughterhouses in Egypt. Twenty-four out of 27 Shigella isolates (88.9%) showed multidrug resistance phenotypes to at least three classes of antimicrobials. The multidrug-resistant Shigella spp. were as follows: Shigella flexneri (66.7%), Shigella sonnei (18.5%), and Shigella dysenteriae (3.7%). The highest resistance was to streptomycin (100.0%), then to kanamycin (95.8%), nalidixic acid (95.8%), tetracycline (95.8%), spectinomycin (93.6%), ampicillin (87.5%), and sulfamethoxazole/trimethoprim (87.5%). PCR and DNA sequencing were used to screen and characterize integrons and antibiotic resistance genes. Our results indicated that 11.1% and 74.1% of isolates were positive for class 1 and class 2 integrons, respectively. Beta-lactamase-encoding genes were identified in 77.8% of isolates, and plasmid-mediated quinolone resistance genes were identified in 44.4% of isolates. These data provide useful information to better understand the molecular basis of antimicrobial resistance in Shigella spp. To the best of our knowledge, this is the first report of the molecular characterization of antibiotic resistance in Shigella spp. isolated from food. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Drug Partitioning in Micellar Media and Its Implications in Rational Drug Design: Insights with Streptomycin.

    Science.gov (United States)

    Judy, Eva; Pagariya, Darshna; Kishore, Nand

    2018-03-20

    Oral bioavailability of a drug molecule requires its effective delivery to the target site. In general, majority of synthetically developed molecular entities have high hydrophobic nature as well as low bioavailability, therefore the need for suitable delivery vehicles arises. Self-assembled structures such as micelles, niosomes, and liposomes have been used as effective delivery vehicles and studied extensively. However, the information available in literature is mostly qualitative in nature. We have quantitatively investigated the partitioning of antibiotic drug streptomycin into cationic, nonionic, and a mixture of cationic and nonionic surfactant micelles and its interaction with the transport protein serum albumin upon subsequent delivery. A combination of calorimetry and spectroscopy has been used to obtain the thermodynamic signatures associated with partitioning and interaction with the protein and the resulting conformational changes in the latter. The results have been correlated with other class of drugs of different nature to understand the role of molecular features in the partitioning process. These studies are oriented toward understanding the physical chemistry of partitioning of a variety of drug molecules into suitable delivery vehicles and hence establishing structure-property-energetics relationships. Such studies provide general guidelines toward a broader goal of rational drug design.

  13. [Contribution of microbiologists of Kirov City to development of penicillin and streptomycin production processes (70 years since development of technology for submerged production of first domestic antibiotics)].

    Science.gov (United States)

    Bakulin, M K; Tumanov, A S; Bakulin, V M; Kalininskiĭ, V B

    2014-01-01

    The publication is concerned with development of the technological processes for submered production of the first domestic antibiotics 70 years age. The literature data on the contribution of the microbiologists of the Kirov City and mainly the workers of the Red Army Research Institute of Epidemiology and Hygiene (nowadays Central Research Institute No. 48 of the Ministry of Defense of the Russian Federation, Kirov), to development of the manufacture processes for production of penicillin and streptomycin are reviewed.

  14. Quadruple-first line drug resistance in Mycobacterium tuberculosis in Vietnam: What can we learn from genes?

    Science.gov (United States)

    Nguyen, Huy Quang; Nguyen, Nhung Viet; Contamin, Lucie; Tran, Thanh Hoa Thi; Vu, Thuong Thi; Nguyen, Hung Van; Nguyen, Ngoc Lan Thi; Nguyen, Son Thai; Dang, Anh Duc; Bañuls, Anne-Laure; Nguyen, Van Anh Thi

    2017-06-01

    In Vietnam, a country with high tuberculosis (137/100.000 population) and multidrug-resistant (MDR)-TB burdens (7.8/100.000 population), little is known about the molecular signatures of drug resistance in general and more particularly of second line drug (SLD) resistance. This study is specifically focused on Mycobacterium tuberculosis isolates resistant to four first-line drugs (FLDs) that make TB much more difficult to treat. The aim is to determine the proportion of SLD resistance in these quadruple drug resistant isolates and the genetic determinants linked to drug resistance to better understand the genetic processes leading to quadruple and extremely drug resistance (XDR). 91 quadruple (rifampicin, isoniazid, ethambutol and streptomycin) FLD resistant and 55 susceptible isolates were included. Spoligotyping and 24-locus MIRU-VNTR techniques were performed and 9 genes and promoters linked to FLD and SLD resistance were sequenced. SLD susceptibility testing was carried out on a subsample of isolates. High proportion of quadruple-FLD resistant isolates was resistant to fluoroquinolones (27%) and second-line injectable drugs (30.2%) by drug susceptibility testing. The sequencing revealed high mutation diversity with prevailing mutations at positions katG315, inhA-15, rpoB531, embB306, rrs1401, rpsL43 and gyrA94. The sensitivity and specificity were high for most drug resistances (>86%), but the sensitivity was lower for injectable drug resistances (resistance. Nevertheless, particular mutation patterns linked to high-level resistance and low fitness costs seem to be favored. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Prevalence of antibiotic resistance genes in the bacterial flora of integrated fish farming environments of Pakistan and Tanzania.

    Science.gov (United States)

    Shah, Syed Q A; Colquhoun, Duncan J; Nikuli, Hamisi L; Sørum, Henning

    2012-08-21

    The use of a wide variety of antimicrobials in human and veterinary medicine, including aquaculture, has led to the emergence of antibiotic resistant pathogens. In the present study, bacteria from water, sediments, and fish were collected from fish farms in Pakistan and Tanzania with no recorded history of antibiotic use. The isolates were screened for the presence of resistance genes against various antimicrobials used in aquaculture and animal husbandry. Resistant isolates selected by disk diffusion and genotyped by Southern hybridization were further screened by polymerase chain reaction (PCR) and amplicon sequencing. The prominent resistance genes identified encoded tetracycline [tetA(A) and tetA(G)], trimethoprim [dfrA1, dfrA5, dfrA7, dfrA12, and dfrA15], amoxicillin [bla(TEM)], streptomycin [strA-strB], chloramphenicol [cat-1], and erythromycin resistance [mefA]. The int1 gene was found in more than 30% of the bacterial isolates in association with gene cassettes. MAR indices ranged from 0.2 to 1. The bla(NDM-1) gene was not identified in ertapenem resistant isolates. It is hypothesized that integrated fish farming practices utilizing domestic farm and poultry waste along with antibiotic residues from animal husbandry may have contributed to a pool of resistance genes in the aquaculture systems studied.

  16. Comparative Genomics of Two ST 195 Carbapenem-Resistant Acinetobacter baumannii with Different Susceptibility to Polymyxin Revealed Underlying Resistance Mechanism

    Science.gov (United States)

    Lean, Soo-Sum; Yeo, Chew Chieng; Suhaili, Zarizal; Thong, Kwai-Lin

    2016-01-01

    Acinetobacter baumannii is a Gram-negative nosocomial pathogen of importance due to its uncanny ability to acquire resistance to most antimicrobials. These include carbapenems, which are the drugs of choice for treating A. baumannii infections, and polymyxins, the drugs of last resort. Whole genome sequencing was performed on two clinical carbapenem-resistant A. baumannii AC29 and AC30 strains which had an indistinguishable ApaI pulsotype but different susceptibilities to polymyxin. Both genomes consisted of an approximately 3.8 Mbp circular chromosome each and several plasmids. AC29 (susceptible to polymyxin) and AC30 (resistant to polymyxin) belonged to the ST195 lineage and are phylogenetically clustered under the International Clone II (IC-II) group. An AbaR4-type resistance island (RI) interrupted the comM gene in the chromosomes of both strains and contained the blaOXA−23 carbapenemase gene and determinants for tetracycline and streptomycin resistance. AC29 harbored another copy of blaOXA−23 in a large (~74 kb) conjugative plasmid, pAC29b, but this gene was absent in a similar plasmid (pAC30c) found in AC30. A 7 kb Tn1548::armA RI which encodes determinants for aminoglycoside and macrolide resistance, is chromosomally-located in AC29 but found in a 16 kb plasmid in AC30, pAC30b. Analysis of known determinants for polymyxin resistance in AC30 showed mutations in the pmrA gene encoding the response regulator of the two-component pmrAB signal transduction system as well as in the lpxD, lpxC, and lpsB genes that encode enzymes involved in the biosynthesis of lipopolysaccharide (LPS). Experimental evidence indicated that impairment of LPS along with overexpression of pmrAB may have contributed to the development of polymyxin resistance in AC30. Cloning of a novel variant of the blaAmpC gene from AC29 and AC30, and its subsequent expression in E. coli also indicated its likely function as an extended-spectrum cephalosporinase. PMID:26779129

  17. Prevalence and antimicrobial resistance pattern of Salmonella in animal feed produced in Namibia.

    Science.gov (United States)

    Shilangale, Renatus P; Di Giannatale, Elisabetta; Chimwamurombe, Percy M; Kaaya, Godwin P

    2012-01-01

    The occurrence of Salmonella is a global challenge in the public health and food production sectors. Our study investigated the prevalence, serovar and antimicrobial susceptibility of strains of Salmonella serovars isolated from animal feed (meat-and-bone and blood meal) samples from two commercial abattoirs in Namibia. A total of 650 samples (n=650) were examined for the presence of Salmonella. Results showed that 10.9% (n=71) were positive for Salmonella. Of the Salmonella serovars isolated, S. Chester was the most commonly isolated serovar (19.7%), followed by S. Schwarzengrund at 12.7%. From the Salmonella isolates, 19.7% (n=14) were resistant to one or more of the antimicrobials (nalidixic acid, trimethoprim-sulfamethoxazole, sulfisoxazole, streptomycin and/or tetracycline), whereas 80.3% (n=57) were susceptible to all 16 antimicrobials tested. Resistance to sulfisoxazole and the trimethroprimsuflamethoxazole combination were the most common. The resistant isolates belonged to ten different Salmonella serovars. The susceptibility of most of the Salmonella isolated to the antimicrobials tested indicates that anti-microbial resistance is not as common and extensive in Namibia as has been reported in many other countries. It also appears that there is a range of antimicrobials available that are effective in managing Salmonella infections in Namibia. However, there is some evidence that resistance is developing and this will need further monitoring to ensure it does not become a problem.

  18. Distribution of resistance genetic determinants among Vibrio cholerae isolates of 2012 and 2013 outbreaks in IR Iran.

    Science.gov (United States)

    Rezaie, Niloofar; Bakhshi, Bita; Najar-Peerayeh, Shahin

    2017-03-01

    The objective of this study was to characterize antimicrobial resistance determinants in relation to antimicrobial susceptibility and genotyping profile in 20 clinical isolates of Vibrio cholerae. All of the isolates were resistant to streptomycin. The second most prevalent resistance was observed to trimethoprim (75%), co-trimoxazole (60%), tetracycline (50%), and minocycline (45%). About 50% of the isolates fulfilled the criteria of Multi Drug Resistance (MDR) phenotype. None of the isolates carried tet A, B, C, and, D determinants. This finding shows that tetracycline resistance determinants recognized so far, does not satisfactorily describe the 50% tetracycline resistance phenotype in this study, suggesting the possible contribution of other not yet characterized resistance mechanisms involved. Class 1 integron, widely distributed among enteric bacteria, was not detected among V. cholerae strains under study. Conversely, 100% of the isolates harbored SXT constin (int) , among which 70% were positive for dfrA1, strA, and strB genes. The sul1gene was present in 60% of the isolates while none of them contained floR gene. All the isolates uniformly appeared to be identical in fingerprinting profiles expected from outbreak strains. In conclusion, SXT element with its mosaic structure was the exclusive antimicrobial resistance determinant of clonal V. cholerae isolates taken from outbreaks of 2012 and 2013 in Iran. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Parallel Evolution of High-Level Aminoglycoside Resistance in Escherichia coli Under Low and High Mutation Supply Rates

    Directory of Open Access Journals (Sweden)

    Claudia Ibacache-Quiroga

    2018-03-01

    Full Text Available Antibiotic resistance is a major concern in public health worldwide, thus there is much interest in characterizing the mutational pathways through which susceptible bacteria evolve resistance. Here we use experimental evolution to explore the mutational pathways toward aminoglycoside resistance, using gentamicin as a model, under low and high mutation supply rates. Our results show that both normo and hypermutable strains of Escherichia coli are able to develop resistance to drug dosages > 1,000-fold higher than the minimal inhibitory concentration for their ancestors. Interestingly, such level of resistance was often associated with changes in susceptibility to other antibiotics, most prominently with increased resistance to fosfomycin. Whole-genome sequencing revealed that all resistant derivatives presented diverse mutations in five common genetic elements: fhuA, fusA and the atpIBEFHAGDC, cyoABCDE, and potABCD operons. Despite the large number of mutations acquired, hypermutable strains did not pay, apparently, fitness cost. In contrast to recent studies, we found that the mutation supply rate mainly affected the speed (tempo but not the pattern (mode of evolution: both backgrounds acquired the mutations in the same order, although the hypermutator strain did it faster. This observation is compatible with the adaptive landscape for high-level gentamicin resistance being relatively smooth, with few local maxima; which might be a common feature among antibiotics for which resistance involves multiple loci.

  20. Resistance training intensity and volume affect changes in rate of force development in resistance-trained men.

    Science.gov (United States)

    Mangine, Gerald T; Hoffman, Jay R; Wang, Ran; Gonzalez, Adam M; Townsend, Jeremy R; Wells, Adam J; Jajtner, Adam R; Beyer, Kyle S; Boone, Carleigh H; Miramonti, Amelia A; LaMonica, Michael B; Fukuda, David H; Ratamess, Nicholas A; Stout, Jeffrey R

    2016-12-01

    To compare the effects of two different resistance training programs, high intensity (INT) and high volume (VOL), on changes in isometric force (FRC), rate of force development (RFD), and barbell velocity during dynamic strength testing. Twenty-nine resistance-trained men were randomly assigned to either the INT (n = 15, 3-5 RM, 3-min rest interval) or VOL (n = 14, 10-12 RM, 1-min rest interval) training group for 8 weeks. All participants completed a 2-week preparatory phase prior to randomization. Measures of barbell velocity, FRC, and RFD were performed before (PRE) and following (POST) the 8-week training program. Barbell velocity was determined during one-repetition maximum (1RM) testing of the squat (SQ) and bench press (BP) exercises. The isometric mid-thigh pull was used to assess FRC and RFD at specific time bands ranging from 0 to 30, 50, 90, 100, 150, 200, and 250 ms. Analysis of covariance revealed significant (p velocity. Results indicate that INT is more advantageous than VOL for improving FRC and RFD, while changes in barbell velocity during dynamic strength testing are similarly improved by both protocols in resistance-trained men.

  1. Control of fire blight (Erwinia amylovora on apple trees with trunk-injected plant resistance inducers and antibiotics and assessment of induction of pathogenesis-related protein genes

    Directory of Open Access Journals (Sweden)

    Srđan G. Aćimović

    2015-02-01

    Full Text Available Management of fire blight is complicated by limitations on use of antibiotics in agriculture, antibiotic resistance development, and limited efficacy of alternative control agents. Even though successful in control, preventive antibiotic sprays also affect non-target bacteria, aiding the selection for resistance which could ultimately be transferred to the pathogen Erwinia amylovora. Trunk injection is a target-precise pesticide delivery method that utilizes tree xylem to distribute injected compounds. Trunk injection could decrease antibiotic usage in the open environment and increase the effectiveness of compounds in fire blight control. In field experiments, after 1-2 apple tree injections of either streptomycin, potassium phosphites (PH or acibenzolar-S-methyl (ASM, significant reduction of blossom and shoot blight symptoms was observed compared to water- or non-injected control trees. Overall disease suppression with streptomycin was lower than typically observed following spray applications to flowers. Trunk injection of oxytetracycline resulted in excellent control of shoot blight severity, suggesting that injection is a superior delivery method for this antibiotic. Injection of both ASM and PH resulted in the significant induction of PR-1, PR-2 and PR-8 protein genes in apple leaves indicating induction of systemic acquired resistance (SAR under field conditions. The time separating SAR induction and fire blight symptom suppression indicated that various defensive compounds within the SAR response were synthesized and accumulated in the canopy. ASM and PH suppressed fire blight even after cessation of induced gene expression. With the development of injectable formulations and optimization of doses and injection schedules, the injection of protective compounds could serve as an effective option for fire blight control.

  2. Laboratory-Based Surveillance of Extensively Drug-Resistant Tuberculosis in Eastern China.

    Science.gov (United States)

    Huang, Yu; Wu, Qingqing; Xu, Shuiyang; Zhong, Jieming; Chen, Songhua; Xu, Jinghang; Zhu, Liping; He, Haibo; Wang, Xiaomeng

    2017-03-01

    With 25% of the global burden, China has the highest incidence of drug-resistant tuberculosis (TB) in the world. However, surveillance data on extensively drug-resistant TB (XDR-TB) from China are scant. To estimate the prevalence of XDR-TB in Zhejiang, Eastern China, 30 of 90 TB treatment centers in Zhejiang were recruited. Patients with suspected TB who reported to the clinics for diagnosis were requested to undergo a smear sputum test. Positive sputum samples were tested for drug susceptibility. Data on anti-TB drug resistance from 1999 to 2008 were also collected to assess drug resistance trends. A total of 931 cases were recruited for drug susceptibility testing (DST). Among these, 23.6% (95% confidence interval [CI], 18.8-24.4) were resistant to any of the following drugs: isoniazid, rifampin, streptomycin, and ethambutol. Multidrug resistant (MDR) strains were identified in 5.1% of all cases (95% CI, 3.61-6.49). Among MDR-TB cases, 6.4% were XDR (95% CI, 1.7-18.6) and 8.9% (95% CI, 7.0-10.8) of all cases were resistant to either isoniazid or rifampin (but not both). Among MDR-TB cases, 23.4% (95% CI, 12.8-38.4) were resistant to either fluoroquinolones or a second-line anti-TB injectable drug, but not both. From 1999 to 2014, the percentage of MDR cases decreased significantly, from 8.6% to 5.1% (p = 0.00). The Global Fund to Fight TB program showed signs of success in Eastern China. However, drug-resistant TB, MDR-TB, and XDR-TB still pose a challenge for TB control in Eastern China. High-quality directly observed treatment, short-course, and universal DST for TB cases to determine appropriate treatment regimens are urgently needed to prevent acquired drug resistance.

  3. High prevalence and resistance rates to antibiotics in anaerobic bacteria in specimens from patients with chronic balanitis.

    Science.gov (United States)

    Boyanova, Lyudmila; Mitev, Angel; Gergova, Galina; Mateev, Grisha; Mitov, Ivan

    2012-08-01

    Aim of the study was to assess both prevalence and antibiotic resistance in anaerobic bacteria from glans penis skin of 70 adults. Strain susceptibility was determined by breakpoint susceptibility test or E test. In 9 asymptomatic, 48 untreated and 13 treated symptomatic patients, anaerobes were found in 22.2%, 70.8% and 53.3%, respectively. Gram-positive strains (GPAs) were 2.2-fold more common than Gram-negative ones. Prevalent Gram-negative (GNAs) and GPAs were Prevotella spp. and anaerobic cocci, respectively. Clostridium difficile strain was found in an untreated patient. In GNAs, resistance rates to amoxicillin, metronidazole, clindamycin, tetracycline, levofloxacin, and amoxicillin/clavulanate were 42.1, 0, 52.6, 53.3, 86.7 and 5.2%, respectively. In GPAs, the resistance rates to metronidazole, clindamycin, tetracycline, levofloxacin and amoxicillin/clavulanate were 18.2, 34.1, 52.6, 36.8 and 0%, respectively. In conclusion, anaerobes were 1.6-fold more frequent in untreated symptomatic patients compared with other patients, suggesting their participation in development of chronic balanitis. GPAs were more common than GNAs. The resistance rates to amoxicillin, clindamycin, tetracycline, and levofloxacin were high. Most active agents were metronidazole and amoxicillin/clavulanate. Resistance in anaerobes varies according to sites of specimens and years of study. Copyright © 2012 Elsevier Ltd. All rights reserved.

  4. Detection of Class I and II integrons for the assessment of antibiotic and multidrug resistance among Escherichia coli isolates from agricultural irrigation waters in Bulacan, Philippines.

    Science.gov (United States)

    Paraoan, Cielo Emar M; Rivera, Windell L; Vital, Pierangeli G

    2017-05-04

    Contaminated irrigation water may greatly affect not only the quality of produce but also the people exposed to it. In this study, agricultural irrigation waters in Bulacan, Philippines were assessed and found to be contaminated with Escherichia coli (E. coli) ranging from 0.58 to 4.51 log 10 CFU/mL. A total of 79 isolates of E. coli were confirmed through polymerase chain reaction (PCR) amplifying the uidA gene and were tested for phenotypic resistance using 10 antimicrobials through the Kirby-Bauer disc diffusion method. Forty-six isolates (58.22%) were noted to be multidrug resistant (MDR) with high resistance rate to cephalothin, tetracycline, streptomycin, ampicillin, trimethoprim, nalidixic acid, and chloramphenicol. Moreover, this study also examined the prevalence of Class I and II integrons accounting to 67.39% and 17.39%, respectively, of the MDR E. coli strains using multiplex PCR. The results imply that the agricultural water used in Bulacan is contaminated with the fecal material of man or other animals present in the area, and the presence of MDR bacteria, which pose a potential threat to individuals in these areas, is alarming. In addition, detection of integrons could be a good marker for the identification of MDR isolates. Lastly, this study could develop strategies for the proper management of farming sites leading to the detection of food-borne pathogens and prevention of infectious diseases.

  5. Incidence and transferability of antibiotic resistance in the enteric bacteria isolated from hospital wastewater

    Directory of Open Access Journals (Sweden)

    Mohammad Zubair Alam

    2013-09-01

    Full Text Available This study reports the occurrence of antibiotic resistance and production of β-lactamases including extended spectrum beta-lactamases (ESβL in enteric bacteria isolated from hospital wastewater. Among sixty-nine isolates, tested for antibiotic sensitivity, 73.9% strains were resistant to ampicillin followed by nalidixic acid (72.5%, penicillin (63.8%, co-trimoxazole (55.1%, norfloxacin (53.6%, methicillin (52.7%, cefuroxime (39.1%, cefotaxime (23.2% and cefixime (20.3%. Resistance to streptomycin, chloramphenicol, nitrofurantoin, tetracycline, and doxycycline was recorded in less than 13% of the strains. The minimum inhibitory concentration (MIC showed a high level of resistance (800-1600 µg/mL to one or more antibiotics. Sixty three (91% isolates produced β-lactamases as determined by rapid iodometric test. Multiple antibiotic resistances were noted in both among ESβL and non-ESβL producers. The β-lactamases hydrolyzed multiple substrates including penicillin (78.8% isolates, ampicillin (62.3%, cefodroxil (52.2%, cefotoxime (21.7% and cefuroxime (18.8%. Fifteen isolates producing ESβLs were found multidrug resistant. Four ESβL producing isolates could transfer their R-plasmid to the recipient strain E. coli K-12 with conjugation frequency ranging from 7.0 x 10-3 to 8.8 x 10-4. The findings indicated that ESβL producing enteric bacteria are common in the waste water. Such isolates may disseminate the multiple antibiotic resistance traits among bacterial community through genetic exchange mechanisms and thus requires immediate attention.

  6. Incidence and transferability of antibiotic resistance in the enteric bacteria isolated from hospital wastewater

    Science.gov (United States)

    Alam, Mohammad Zubair; Aqil, Farrukh; Ahmad, Iqbal; Ahmad, Shamim

    2013-01-01

    This study reports the occurrence of antibiotic resistance and production of β-lactamases including extended spectrum beta-lactamases (ESβL) in enteric bacteria isolated from hospital wastewater. Among sixty-nine isolates, tested for antibiotic sensitivity, 73.9% strains were resistant to ampicillin followed by nalidixic acid (72.5%), penicillin (63.8%), co-trimoxazole (55.1%), norfloxacin (53.6%), methicillin (52.7%), cefuroxime (39.1%), cefotaxime (23.2%) and cefixime (20.3%). Resistance to streptomycin, chloramphenicol, nitrofurantoin, tetracycline, and doxycycline was recorded in less than 13% of the strains. The minimum inhibitory concentration (MIC) showed a high level of resistance (800–1600 μg/mL) to one or more antibiotics. Sixty three (91%) isolates produced β-lactamases as determined by rapid iodometric test. Multiple antibiotic resistances were noted in both among ESβL and non-ESβL producers. The β-lactamases hydrolyzed multiple substrates including penicillin (78.8% isolates), ampicillin (62.3%), cefodroxil (52.2%), cefotoxime (21.7%) and cefuroxime (18.8%). Fifteen isolates producing ESβLs were found multidrug resistant. Four ESβL producing isolates could transfer their R-plasmid to the recipient strain E. coli K-12 with conjugation frequency ranging from 7.0 × 10−3 to 8.8 × 10−4. The findings indicated that ESβL producing enteric bacteria are common in the waste water. Such isolates may disseminate the multiple antibiotic resistance traits among bacterial community through genetic exchange mechanisms and thus requires immediate attention. PMID:24516448

  7. Prevalence of antimicrobial resistance of non-typhoidal Salmonella serovars in retail aquaculture products.

    Science.gov (United States)

    Zhang, Jianmin; Yang, Xiaowei; Kuang, Dai; Shi, Xianming; Xiao, Wenjia; Zhang, Jing; Gu, Zhen; Xu, Xuebin; Meng, Jianghong

    2015-10-01

    Aquaculture products can become sources of Salmonella by exposure to contaminated water or through processing practices, thus representing a public health hazard. A study was conducted on Salmonella contamination in aquaculture products sampled from marketplaces and retailers in Shanghai, China. A total of 730 samples (including fish, shellfish, bullfrog, clam, shrimp and others) were obtained from 2006 to 2011. Among them, 217 (29.7%) were positive for Salmonella. Thirty-eight serovars were identified in the 217 Salmonella isolates. The most prevalent were Salmonella Aberdeen (18.4%), S. Wandsworth (12.0%), S. Thompson (9.2%), S. Singapore (5.5%), S. Stanley (4.6%), S. Schwarzengrund (4.6%), S. Hvittingfoss (4.1%) and S. Typhimurium (4.1%). Many resistant isolates were detected, with 69.6% resistant to at least one antimicrobial drug. We observed high resistance to sulfonamides (56.5%), tetracycline (34.1%), streptomycin (28.6%), ampicillin (23.5%) and nalidixic acid (21.2%). Lower levels of resistance were found for gentamicin (3.2%), ciprofloxacin (2.3%), ceftiofur (1.3%), cefotaxime (0.9%), ceftazidime (0.5%) and cefepime (0.5%). A total of 43.3% of the Salmonella isolates were multidrug-resistant and 44 different resistance patterns were found. This study provided data on the prevalence, serovars and antimicrobial resistance of Salmonella from retail aquaculture products in Shanghai, and indicated the need for monitoring programs for microbiologic safety in such projects and for more prudent drug use in aquaculture production in order to reduce the risk of development and spread of antimicrobial resistance. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Anthropogenic antibiotic resistance genes mobilization to the polar regions.

    Science.gov (United States)

    Hernández, Jorge; González-Acuña, Daniel

    2016-01-01

    Anthropogenic influences in the southern polar region have been rare, but lately microorganisms associated with humans have reached Antarctica, possibly from military bases, fishing boats, scientific expeditions, and/or ship-borne tourism. Studies of seawater in areas of human intervention and proximal to fresh penguin feces revealed the presence of Escherichia coli strains least resistant to antibiotics in penguins, whereas E. coli from seawater elsewhere showed resistance to one or more of the following antibiotics: ampicillin, tetracycline, streptomycin, and trim-sulfa. In seawater samples, bacteria were found carrying extended-spectrum β-lactamase (ESBL)-type CTX-M genes in which multilocus sequencing typing (MLST) showed different sequence types (STs), previously reported in humans. In the Arctic, on the contrary, people have been present for a long time, and the presence of antibiotic resistance genes (ARGs) appears to be much more wide-spread than was previously reported. Studies of E coli from Arctic birds (Bering Strait) revealed reduced susceptibility to antibiotics, but one globally spreading clone of E. coli genotype O25b-ST131, carrying genes of ESBL-type CTX-M, was identified. In the few years between sample collections in the same area, differences in resistance pattern were observed, with E. coli from birds showing resistance to a maximum of five different antibiotics. Presence of resistance-type ESBLs (TEM, SHV, and CTX-M) in E. coli and Klebsiella pneumoniae was also confirmed by specified PCR methods. MLST revealed that those bacteria carried STs that connect them to previously described strains in humans. In conclusion, bacteria previously related to humans could be found in relatively pristine environments, and presently human-associated, antibiotic-resistant bacteria have reached a high global level of distribution that they are now found even in the polar regions.

  9. Resistance to antibiotics in Lacid acid bacteria - strain Lactococcus

    Directory of Open Access Journals (Sweden)

    Filipić Brankica

    2015-01-01

    Full Text Available Lactic acid bacteria (LAB are widely used in the food industry, especially in the production of fermented dairy products and meat. The most studied species among Lis Lactococcus lactis. L. lactis strains are of great importance in the production of fermented dairy products such as yogurt, butter, fresh cheese and some kind of semi-hard cheese. Although L. lactis acquired the „Generally Regarded As Safe“ (GRAS status, many investigations indicated that lactococci may act as reservoirs of antibiotic resistance genes, which could be transferred to other bacterial species in human gastrointestinal tract includ­ing pathogens. The genome analysis of L. lactis indicated the presence of at least 40 putative drug transporter genes, and only four multidrug resistance (MDR transporters are functionally characterized: LmrA, LmrP, LmrCD i CmbT. LmrA is the first described MDR transporter in prokaryotes. LmrCD is responsible for resistance to cholate, which is an integral part of human bile and LmrCD is important for intestinal survival of lactococci that are used as probiotics. Secondary multidrug transporter LmrP confers resistance to lincosamides, macrolides, streptogramins and tetracyclines. CmbT protein has an effect on the host cell resistance to lincomycin, sulfadiazine, streptomycin, rifampicin, puromycin and sulfametox­azole. Since the food chain is an important way of transmitting resistance genes in human and animal population, it is of great importance to study the mechanisms of resistance in lactococci and other LAB, intended for the food industry. [Projekat Ministarstva nauke Republike Srbije, br. 173019: Izučavanje gena i molekularnih mehanizama u osnovi probiotičke aktivnosti bakterija mlečne kiseline izolovanih sa područja Zapadnog Balkana

  10. Dogs leaving the ICU carry a very large multi-drug resistant enterococcal population with capacity for biofilm formation and horizontal gene transfer.

    Directory of Open Access Journals (Sweden)

    Anuradha Ghosh

    Full Text Available The enterococcal community from feces of seven dogs treated with antibiotics for 2-9 days in the veterinary intensive care unit (ICU was characterized. Both, culture-based approach and culture-independent 16S rDNA amplicon 454 pyrosequencing, revealed an abnormally large enterococcal community: 1.4±0.8×10(8 CFU gram(-1 of feces and 48.9±11.5% of the total 16,228 sequences, respectively. The diversity of the overall microbial community was very low which likely reflects a high selective antibiotic pressure. The enterococcal diversity based on 210 isolates was also low as represented by Enterococcus faecium (54.6% and Enterococcus faecalis (45.4%. E. faecium was frequently resistant to enrofloxacin (97.3%, ampicillin (96.5%, tetracycline (84.1%, doxycycline (60.2%, erythromycin (53.1%, gentamicin (48.7%, streptomycin (42.5%, and nitrofurantoin (26.5%. In E. faecalis, resistance was common to tetracycline (59.6%, erythromycin (56.4%, doxycycline (53.2%, and enrofloxacin (31.9%. No resistance was detected to vancomycin, tigecycline, linezolid, and quinupristin/dalfopristin in either species. Many isolates carried virulence traits including gelatinase, aggregation substance, cytolysin, and enterococcal surface protein. All E. faecalis strains were biofilm formers in vitro and this phenotype correlated with the presence of gelE and/or esp. In vitro intra-species conjugation assays demonstrated that E. faecium were capable of transferring tetracycline, doxycycline, streptomycin, gentamicin, and erythromycin resistance traits to human clinical strains. Multi-locus variable number tandem repeat analysis (MLVA and pulsed-field gel electrophoresis (PFGE of E. faecium strains showed very low genotypic diversity. Interestingly, three E. faecium clones were shared among four dogs suggesting their nosocomial origin. Furthermore, multi-locus sequence typing (MLST of nine representative MLVA types revealed that six sequence types (STs originating from five

  11. Helicobacter pylori resistance rates for levofloxacin, tetracycline and rifabutin among Irish isolates at a reference centre.

    LENUS (Irish Health Repository)

    O'Connor, A

    2013-04-27

    INTRODUCTION: Helicobacter pylori eradication rates using conventional triple therapies are falling, making viable second-line and rescue regimens necessary. Levofloxacin, tetracycline and rifabutin are three efficacious antibiotics for rescue therapy. AIM: We aimed to assess the resistance rates for H. pylori against these antibiotics in an Irish cohort. METHODS: Gastric biopsies were collected from 85 patients infected with H. pylori (mean age 46 years) in the Adelaide and Meath Hospital, Dublin in 2008 and 2009. Susceptibility to antibiotics was tested using the Etest. Clinical information was obtained from endoscopy reports and chart review. RESULTS: 50.6 % of patients were females. Mean age was 47 years. Ten had prior attempts at eradication therapy with amoxicillin-clarithromycin-PPI, two had levofloxacin-based second-line therapy. 11.7 % [95 % CI (6.5-20.3 %)] (N = 10) had strains resistant to levofloxacin. There were no strains resistant to rifabutin or tetracycline. Levofloxacin resistance in the under 45 age group was 2.6 % (1\\/38) compared to 19.1 % (9\\/47) of above 45 age group (p = 0.02). DISCUSSION: The levofloxacin rates illustrated in this study are relatively low by European standards and in line with other studies from the United Kingdom and Germany, with younger patients having very low levels of resistance. Levofloxacin, tetracycline and rifabutin are all valid options for H. pylori eradication in Irish patients but the importance of compliance cannot be underestimated.

  12. Identification of the RsmG methyltransferase target as 16S rRNA nucleotide G527 and characterization of Bacillus subtilis rsmG mutants

    DEFF Research Database (Denmark)

    Nishimura, Kenji; Johansen, Shanna K; Inaoka, Takashi

    2007-01-01

    The methyltransferase RsmG methylates the N7 position of nucleotide G535 in 16S rRNA of Bacillus subtilis (corresponding to G527 in Escherichia coli). Disruption of rsmG resulted in low-level resistance to streptomycin. A growth competition assay revealed that there are no differences in fitness...... between the rsmG mutant and parent strains under the various culture conditions examined. B. subtilis rsmG mutants emerged spontaneously at a relatively high frequency, 10(-6). Importantly, in the rsmG mutant background, high-level-streptomycin-resistant rpsL (encoding ribosomal protein S12) mutants...

  13. Haemophilus paragallinarum in chickens in Indonesia: III. Antimicrobial drug sensitivity test ofHaemophilus paragallinarum from chickens suffering of coryza

    Directory of Open Access Journals (Sweden)

    Sri Poernomo

    1998-12-01

    Full Text Available An agar disc diffusion method was used to examine the sensitivity of 27 Haemophilus paragallinarum (Hpg isolates consisted of 23 local isolates, 4 standard isolates (serotype A and Escherichia coli ATCC 24922 as a control to eight antimicrobial drugs (ampicillin, erythromycin, oxytetracycline, doxycycline, neomycin, streptomycin, colistine and sulphanlethoxazole-trimethoprim . Twenty one out of 23 local isolates of Hpg were sensitive to doxycycline, 19 isolates to ampsllin, 18 isolates to oxytetracycline, 17 isolates to sulphametoxazole-trimethoprim, 16 isolates to erythromycin, and 13 isolates to neomycin, while 13 isolates were resistance to colistine and 11 isolates were also resistance to streptomycin .

  14. Antibacterial and antibiotic resistance modifying activity of the extracts from Allanblackia gabonensis, Combretum molle and Gladiolus quartinianus against Gram-negative bacteria including multi-drug resistant phenotypes.

    Science.gov (United States)

    Fankam, Aimé G; Kuiate, Jules R; Kuete, Victor

    2015-06-30

    Bacterial resistance to antibiotics is becoming a serious problem worldwide. The discovery of new and effective antimicrobials and/or resistance modulators is necessary to tackle the spread of resistance or to reverse the multi-drug resistance. We investigated the antibacterial and antibiotic-resistance modifying activities of the methanol extracts from Allanblackia gabonensis, Gladiolus quartinianus and Combretum molle against 29 Gram-negative bacteria including multi-drug resistant (MDR) phenotypes. The broth microdilution method was used to determine the minimal inhibitory concentrations (MIC) and minimal bactericidal concentrations (MBC) of the samples meanwhile the standard phytochemical methods were used for the preliminary phytochemical screening of the plant extracts. Phytochemical analysis showed the presence of alkaloids, flavonoids, phenols and tannins in all studied extracts. Other chemical classes of secondary metabolites were selectively presents. Extracts from A. gabonensis and C. molle displayed a broad spectrum of activity with MICs varying from 16 to 1024 μg/mL against about 72.41% of the tested bacteria. The extract from the fruits of A. gabonensis had the best activity, with MIC values below 100 μg/mL on 37.9% of tested bacteria. Percentages of antibiotic-modulating effects ranging from 67 to 100% were observed against tested MDR bacteria when combining the leaves extract from C. molle (at MIC/2 and MIC/4) with chloramphenicol, kanamycin, streptomycin and tetracycline. The overall results of the present study provide information for the possible use of the studied plant, especially Allanblackia gabonensis and Combretum molle in the control of Gram-negative bacterial infections including MDR species as antibacterials as well as resistance modulators.

  15. Characterization of antimicrobial resistance in Salmonella enterica strains isolated from Brazilian poultry production.

    Science.gov (United States)

    Mattiello, Samara P; Drescher, Guilherme; Barth, Valdir C; Ferreira, Carlos A S; Oliveira, Sílvia D

    2015-11-01

    Antimicrobial resistance profiles and presence of resistance determinants and integrons were evaluated in Salmonella enterica strains from Brazilian poultry. The analysis of 203 isolates showed that those from the poultry environment (88 isolates) were significantly more resistant to antimicrobials than isolates from other sources, particularly those isolated from poultry by-product meal (106 isolates). Thirty-seven isolates were resistant to at least three antimicrobial classes. Class 1 integrons were detected in 26 isolates, and the analysis of the variable region between the 5' conserved segment (CS) and 3' CS of each class 1 integron-positive isolate showed that 13 contained a typical 3' CS and 14 contained an atypical 3' CS. One Salmonella Senftenberg isolate harbored two class 1 integrons, showing both typical and atypical 3' CSs. The highest percentage of resistance was found to sulfonamides, and sul genes were detected in the majority of the resistant isolates. Aminoglycoside resistance was detected in 50 isolates, and aadA and aadB were present in 28 and 32 isolates, respectively. In addition, strA and strB were detected in 78.1 and 65.6% isolates resistant to streptomycin, respectively. Twenty-one isolates presented reduced susceptibility to β-lactams and harbored bla(TEM), bla(CMY), and/or bla(CTX-M). Forty isolates showed reduced susceptibility to tetracycline, and most presented tet genes. These results highlight the importance of the environment as a reservoir of resistant Salmonella, which may enable the persistence of resistance determinants in the poultry production chain, contributing, therefore, to the debate regarding the impacts that antimicrobial use in animal production may exert in human health.

  16. Genotypic and phenotypic characterization of antimicrobial-resistant Escherichia coli from farm-raised diarrheic sika deer in Northeastern China.

    Directory of Open Access Journals (Sweden)

    Rui Li

    Full Text Available In China, overuse and/or abuse of antimicrobials are common in stockbreeding, which possess high risks of antimicrobial-resistant contaminations. The serogroups, major virulence genes, and antimicrobial resistant patterns of the antimicrobial-resistant Escherichia coli (E. coli were investigated in the feces of diarrheic farm-raised sika deer from 50 farms in three Northeastern provinces of China. A total of 220 E. coli isolates were obtained and characterized. Twenty-eight O serogroups were identified from the obtained E. coli isolates with O2, O26, O128, O142 and O154 being dominant. Nearly all the isolates were resistant to at least four of the tested antimicrobials. More than 90% of the E. coli isolates carried at least one of the tested virulence genes. About 85% of the E. coli isolates carried one or more antimicrobial-resistant genes responsible for resistant phenotypes of sulfonamides, streptomycin/spectionomycin or tetracycline. The antimicrobial resistant level and pathogenic group occurrences of the obtained E. coli isolates were higher than that of livestock and wild animals reported in some developed countries. Thus, the fecal-carrying antimicrobial-resistant E. coli from the farm-raised sika deer is potentially a significant contamination source for freshwater systems and food chain, and may pose great health risks for human and animals in Northeastern China.

  17. The effect of streptomycin on stretch-induced electrophysiological changes of isolated acute myocardial infarcted hearts in rats.

    Science.gov (United States)

    Fu, Lu; Cao, Jun-xian; Xie, Rong-sheng; Li, Jia; Han, Ying; Zhu, Li-qun; Dai, Ying-nan

    2007-08-01

    To explore whether the stretch of ischaemic myocardium could modulate the electrophysiological characteristics, especially repolarization via mechanoelectric feedback (MEF), as well as the effect of streptomycin (SM) on these changes. Methods Thirty-six wistar rats were randomly divided into four groups: control group (n = 9), SM group (n = 9), myocardial infarction (MI) group (n = 9), and MI + SM group (n = 9). After perfused on Langendorff, the isolated hearts were stretched for 5s by a ballon inflation of 0.2mL. After being stretched, the effect of the stretch was observed for 30s, including the 20, 20-70, 70, and 90% monophasic action potential duration (MAPD), i.e. MAPD(20), MAPD(20-70), MAPD(70), and MAPD(90), respectively, premature ventricular beats (PVB), and ventricular tachycardia (VT). Results The stretch caused a decrease in MAPD(20-70) (both P 0.05, except MAPD(20-70) between the control and SM groups, P maintenance of malignant arrhythmias. SM could significantly inhibit the occurrence of arrhythmias, which may correlate with the effect on blocking stretch-activated ion channels.

  18. Eliminação de resistência a drogas por fluorquinolonas em Staphylococcus aureus de origem bovina Elimination of resistance to drugs by fluoroquinolones in bovine strains of Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Maria S.V. Pereira

    2004-03-01

    Full Text Available Cepas de Staphylococcus aureus de origem bovina foram submetidas ao tratamento com quatro fluoquinolonas na concentração subinibitória (1/2 x CMI, para avaliar a influência desses agentes sobre plasmídios. A ciprofloxacina mostrou ser a fluorquinolona mais eficiente, eliminando marcas de resistência para estreptomicina, tetraciclina, penicilina e cádmio. A norfloxacina e a pefloxacina eliminaram resistência para penicilina e tetraciclina, respectivamente; no entanto, não foi evidenciada a eliminação de plasmídio com ofloxacina. Os resultados confirmam a eficácia das fluor-quinolonas em eliminar plasmídios de resistência mostrando a importância desses estudos como contribuição para o entendimento da prevenção de linhagens multiresistentes, uma vez que as quinolonas em concentrações subinibitórias podem aumentar a sensibilidade das linhagens a outros agentes antimicrobianos.Bovine strains of Staphylococcus aureus were submitted to treatment with four fluoro-quinolones in subinhibitory concentrations (1/2 x MICs to evaluate their influence on the curing of plasmids. Ciprofloxacin showed to be the most efficient by eliminating resistance to streptomycin, tetracyclin, penicillin, and cadmium nitrate. Norfloxacin and pefloxacin eliminated penicillin- and tetracyclin-resistance respectively. Otherwise, plasmids elimination by ofloxacin was not evidenced. The results obtained in this study confirm the potential of fluoroquinolones to eliminate antibiotic-resistant plasmids, and showed to be a valuable contribution for the prevention of multi-resistant strains, and may even enhance their sensitivity to other chemotherapeutic agents.

  19. MOLECULAR-PHYLOGENETIC CHARACTERIZATION AND ANTIMICROBIAL RESISTANCE OF Escherichia coli ISOLATED FROM GOATS WITH DIARRHEA

    Directory of Open Access Journals (Sweden)

    Rodrigo Almeida Guimarães

    2015-10-01

    Full Text Available Neonatal diarrhea determines significant changes in feed conversion, causing productivity loss in caprine herds. The antimicrobial resistance in bacteria is characterized as an important public health issue; therefore, Escherichia coli may be characterized as an important pathogen due to expressing virulence mechanisms responsible for significant clinical conditions in humans and animals. The present study evaluated the presence of E. coli among 117 caprine fecal samples and analyzed the isolates for antimicrobial resistance. Suggestive colonies were submitted to biochemical screening followed by genotypic group determination and phylogenetic analysis; further, the samples were submitted to antimicrobials susceptibility test. E. coli, Salmonella spp, Shigella sonnei and Enterobacter aerogenes were identified. E. coli isolates were phylogenetically classified as B2 (9/39, D (19/39, B1 (7/39 e A (4/29 groups. The analysis of the isolates also revealed the presence of K99 (04/39 and Stx (02/39 virulence factors. Antimicrobial susceptibility test revealed sensitive isolates to Chloramphenicol, Streptomycin, Amoxicillin and Ciprofloxacin, being all resistant to Lincomycin, Vancomycin and Penicillin. The results support the need of establishing restricted protocols for antimicrobial use, a fundamental procedure for health improvement in Brazilian caprine herds.

  20. Characterization of mannitol-fermenting methicillin-resistant staphylococci isolated from pigs in Nigeria

    Directory of Open Access Journals (Sweden)

    Clifford C. Ugwu

    2015-09-01

    Full Text Available This study was conducted to determine the species distribution, antimicrobial resistance pheno- and genotypes and virulence traits of mannitol-positive methicillin-resistant staphylococci (MRS isolated from pigs in Nsukka agricultural zone, Nigeria. Twenty mannitol-positive methicillin-resistant coagulase-negative staphylococcal (MRCoNS strains harboring the mecA gene were detected among the 64 Staphylococcus isolates from 291 pigs. A total of 4 species were identified among the MRCoNS isolates, namely, Staphylococcus sciuri (10 strains, Staphylococcus lentus (6 strains, Staphylococcus cohnii (3 strains and Staphylococcus haemolyticus (one strain. All MRCoNS isolates were multidrug-resistant. In addition to β-lactams, the strains were resistant to fusidic acid (85%, tetracycline (75%, streptomycin (65%, ciprofloxacin (65%, and trimethoprim/sulphamethoxazole (60%. In addition to the mecA and blaZ genes, other antimicrobial resistance genes detected were tet(K, tet(M, tet(L, erm(B, erm(C, aacA-aphD, aphA3, str, dfrK, dfrG, catpC221, and catpC223. Thirteen isolates were found to be ciprofloxacin-resistant, and all harbored a Ser84Leu mutation within the QRDR of the GyrA protein, with 3 isolates showing 2 extra substitutions, Ser98Ile and Arg100Lys (one strain and Glu88Asp and Asp96Thr (2 strains. A phylogenetic tree of the QRDR nucleotide sequences in the gyrA gene revealed a high nucleotide diversity, with several major clusters not associated with the bacterial species. Our study highlights the possibility of transfer of mecA and other antimicrobial resistance genes from MRCoNS to pathogenic bacteria, which is a serious public health and veterinary concern.

  1. Characterization of mannitol-fermenting methicillin-resistant staphylococci isolated from pigs in Nigeria.

    Science.gov (United States)

    Ugwu, Clifford C; Gomez-Sanz, Elena; Agbo, Ifeoma C; Torres, Carmen; Chah, Kennedy F

    2015-01-01

    This study was conducted to determine the species distribution, antimicrobial resistance pheno- and genotypes and virulence traits of mannitol-positive methicillin-resistant staphylococci (MRS) isolated from pigs in Nsukka agricultural zone, Nigeria. Twenty mannitol-positive methicillin-resistant coagulase-negative staphylococcal (MRCoNS) strains harboring the mecA gene were detected among the 64 Staphylococcus isolates from 291 pigs. A total of 4 species were identified among the MRCoNS isolates, namely, Staphylococcus sciuri (10 strains), Staphylococcus lentus (6 strains), Staphylococcus cohnii (3 strains) and Staphylococcus haemolyticus (one strain). All MRCoNS isolates were multidrug-resistant. In addition to β-lactams, the strains were resistant to fusidic acid (85%), tetracycline (75%), streptomycin (65%), ciprofloxacin (65%), and trimethoprim/sulphamethoxazole (60%). In addition to the mecA and blaZ genes, other antimicrobial resistance genes detected were tet(K), tet(M), tet(L), erm(B), erm(C), aacA-aphD, aphA3, str, dfrK, dfrG, cat pC221, and cat pC223. Thirteen isolates were found to be ciprofloxacin-resistant, and all harbored a Ser84Leu mutation within the QRDR of the GyrA protein, with 3 isolates showing 2 extra substitutions, Ser98Ile and Arg100Lys (one strain) and Glu88Asp and Asp96Thr (2 strains). A phylogenetic tree of the QRDR nucleotide sequences in the gyrA gene revealed a high nucleotide diversity, with several major clusters not associated with the bacterial species. Our study highlights the possibility of transfer of mecA and other antimicrobial resistance genes from MRCoNS to pathogenic bacteria, which is a serious public health and veterinary concern.

  2. Antibiotic Resistance Pattern and Biofilm Formation Ability of Clinically Isolates of Salmonella enterica Serotype typhimurium

    Directory of Open Access Journals (Sweden)

    Hadi Ghasemmahdi

    2015-05-01

    Full Text Available Background: The emergence of antimicrobial-resistant bacteria with biofilm formation ability may be a major threat to public health and food safety and sanitation. Objectives: The aim of this study was to determine antibiotic resistance patterns and biofilm production characteristics of Salmonella typhimurium isolated from different species of birds. Materials and Methods: The antibiotic resistance patterns of 38 pre-identified isolates were screened by standard Kirby-Bauer disc-diffusion method performed on Mueller–Hinton agar to a panel of 17 antibiotics. The extent of biofilm formation was measured by Microtiter plate (MTP-based systems. Results: The highest antimicrobial resistance was detected against nalidixic acid (97%, followed by doxycycline (86%, colistin (84%, streptomycin (84% and tetracycline (84%. All isolates were sensitive to amikacin (100% and 97% and 95% of the isolates were sensitive to ceftazidime and ceftriaxone, respectively. Twenty one different antibiotic resistance patterns were observed among S. typhimurium isolates. According to the results of the microtitre plate biofilm assay, there was a wide variation in biofilm forming ability among S. typhimurium isolates. Most of the isolates (60.52% were not capable of producing biofilm, while 26.31%, 7.89%, and 5.26% isolates were weak, strong and moderate biofilm producers, respectively. Conclusions: It was concluded that nearly all S. typhimurium isolates revealed a high multiple antibiotic resistant with low biofilm forming capabilities which proposed low association between biofilm formation and antibiotic resistance of a major food important pathogen.

  3. DNA sequence analysis of plasmids from multidrug resistant Salmonella enterica serotype Heidelberg isolates.

    Directory of Open Access Journals (Sweden)

    Jing Han

    Full Text Available Salmonella enterica serovar Heidelberg is among the most detected serovars in swine and poultry, ranks among the top five serotypes associated with human salmonellosis and is disproportionately associated with invasive infections and mortality in humans. Salmonella are known to carry plasmids associated with antimicrobial resistance and virulence. To identify plasmid-associated genes in multidrug resistant S. enterica serovar Heidelberg, antimicrobial resistance plasmids from five isolates were sequenced using the 454 LifeSciences pyrosequencing technology. Four of the isolates contained incompatibility group (Inc A/C multidrug resistance plasmids harboring at least eight antimicrobial resistance genes. Each of these strains also carried a second resistance plasmid including two IncFIB, an IncHI2 and a plasmid lacking an identified Inc group. The fifth isolate contained an IncI1 plasmid, encoding resistance to gentamicin, streptomycin and sulfonamides. Some of the IncA/C plasmids lacked the full concert of transfer genes and yet were able to be conjugally transferred, likely due to the transfer genes carried on the companion plasmids in the strains. Several non-IncA/C resistance plasmids also carried putative virulence genes. When the sequences were compared to previously sequenced plasmids, it was found that while all plasmids demonstrated some similarity to other plasmids, they were unique, often due to differences in mobile genetic elements in the plasmids. Our study suggests that Salmonella Heidelberg isolates harbor plasmids that co-select for antimicrobial resistance and virulence, along with genes that can mediate the transfer of plasmids within and among other bacterial isolates. Prevalence of such plasmids can complicate efforts to control the spread of S. enterica serovar Heidelberg in food animal and human populations.

  4. Primary and secondary anti-tuberculosis drug resistance in Hitossa District of Arsi Zone, Oromia Regional State, Central Ethiopia

    Directory of Open Access Journals (Sweden)

    Shallo Daba Hamusse

    2016-07-01

    Full Text Available Abstract Background Multidrug-resistant tuberculosis (MDR-TB drugs which is resistant to the major first-line anti-TB drugs, Isoniazid and Rifampicin, has become a major global challenge in tuberculosis (TB control programme. However, its burden at community level is not well known. Thus, the aim of study was to assess the prevalence of primary and secondary resistance to any first line anti-TB drugs and MDR TB in Hitossa District of Oromia Regional State, Central Ethiopia. Methods Population based cross- sectional study was conducted on individuals aged ≥15 years. Those with symptoms suggestive of TB were interviewed and two sputum specimens were collected from each and examined using Lowenstein-Jensen (LJ culture medium. Further, the isolates were confirmed by the Ziehl-Neelsen microscopic examination method. Drug susceptibility test (DST was also conducted on LJ medium using a simplified indirect proportion method. The resistance strains were then determined by percentage of colonies that grew on the critical concentration of Isoniazid, Streptomycin, Rifampicin and Ethambutol. Results The overall resistance of all forms of TB to any first-line anti-TB drug was 21.7 %. Of the total new and previously treated culture positive TB cases, 15.3 and 48.8 % respectively were found to be a resistant to any of the first-line anti-TB drugs. Further, of all forms of TB, the overall resistance of MDR-TB was 4.7 %. However, of the total new TB cases, 2.4 % had primary while 14.3 % had secondary MDR-TB. Resistance to any of the first-line anti-TB drugs (adjusted odd ratio (AOR, 8.1; 95 % CI: 2.26–29.30 and MDR-TB (AOR, 7.1; 95 % CI: 2.6–43.8 was found to be linked with previous history of anti-TB treatment. Conclusions The study has identified a high rate of primary and secondary resistance to any of the first-line anti-TB drugs and MDR-TB in the study area. The resistance may have resulted from sub-optimal performance of directly observed

  5. Impact of restricted amoxicillin/clavulanic acid use on Escherichia coli resistance--antibiotic DU90% profiles with bacterial resistance rates: a visual presentation.

    Science.gov (United States)

    Mimica Matanovic, Suzana; Bergman, Ulf; Vukovic, Dubravka; Wettermark, Björn; Vlahovic-Palcevski, Vera

    2010-10-01

    High use of amoxicillin/clavulanic acid (AMC) at the University Hospital Osijek (Croatia) contributed to high rates of resistance in Enterobacteriaceae, in particular Escherichia coli (50%). Thus, in order to decrease bacterial resistance, AMC use was restricted. We present results of the restriction on resistance amongst antibiotics accounting for 90% of antibiotic use [drug utilisation 90% (DU90%)]. Data were analysed on antibiotic use and microbiological susceptibility of E. coli during two 9-month periods, before and after the restriction of AMC use. Drug use was presented as numbers of defined daily doses (DDDs) and DDDs/100 bed-days. Resistance of E. coli to antibiotics was presented as percentages of isolated strains in the DU90% segment. Use of AMC was 16 DDDs/100 bed-days or 30% of all antibiotics before the intervention. Use of AMC fell to 2 DDDs/100 bed-days or 4% after the intervention, and resistance of E. coli fell from 37% to 11%. In conclusion, restricted use of AMC resulted in a significant decrease of E. coli resistance. DU90% resistance profiles are simple and useful tools in highlighting problems in antibiotic use and resistance but may also be useful in long-term follow-up of antibiotic policy. Copyright 2010 Elsevier B.V. and the International Society of Chemotherapy. All rights reserved.

  6. Effect of an organic acids based feed additive and enrofloxacin on the prevalence of antibiotic-resistant E. coli in cecum of broilers.

    Science.gov (United States)

    Roth, Nataliya; Mayrhofer, Sigrid; Gierus, Martin; Weingut, Christine; Schwarz, Christiane; Doupovec, Barbara; Berrios, Roger; Domig, Konrad J

    2017-09-01

    Increasing antibiotic resistance is a major public health concern. Fluoroquinolones are used to treat and prevent poultry diseases worldwide. Fluoroquinolone resistance rates are high in their countries of use. The aim of this study was to evaluate the effect of an acids-based feed additive, as well as fluoroquinolone antibiotics, on the prevalence of antibiotic-resistant E. coli. A total of 480 broiler chickens (Ross 308) were randomly assigned to 3 treatments: a control group receiving a basal diet; a group receiving a feed additive (FA) based on formic acid, acetic acid and propionic acid; and an antibiotic enrofloxacin (AB) group given the same diet, but supplemented with enrofloxacin in water. A pooled fecal sample of one-day-old chicks was collected upon arrival at the experimental farm. On d 17 and d 38 of the trial, cecal samples from each of the 8 pens were taken, and the count of E. coli and antibiotic-resistant E. coli was determined.The results of the present study show a high prevalence of antibiotic-resistant E. coli in one-day-old chicks. Supplementation of the diet with FA and treatment of broilers with AB did not have a significant influence on the total number of E. coli in the cecal content on d 17 and d 38 of the trial. Supplementation with FA contributed to better growth performance and to a significant decrease (P ≤ 0.05) in E. coli resistant to ampicillin and tetracycline compared to the control and AB groups, as well as to a decrease (P ≤ 0.05) in sulfamethoxazole and ciprofloxacin-resistant E. coli compared to the AB group. Treatment with AB increased (P ≤ 0.05) the average daily weight compared to the control group and increased (P ≤ 0.05) the number of E. coli resistant to ciprofloxacin, streptomycin, sulfamethoxazole and tetracycline; it also decreased (P ≤ 0.05) the number of E. coli resistant to cefotaxime and extended spectrum beta-lactamase- (ESBL-) producing E. coli in the ceca of broilers. © 2017 Poultry Science

  7. Antimicrobial resistances do not affect colonization parameters of intestinal E. coli in a small piglet group

    Directory of Open Access Journals (Sweden)

    Schierack Peter

    2009-10-01

    Full Text Available Abstract Background Although antimicrobial resistance and persistence of resistant bacteria in humans and animals are major health concerns worldwide, the impact of antimicrobial resistance on bacterial intestinal colonization in healthy domestic animals has only been rarely studied. We carried out a retrospective analysis of the antimicrobial susceptibility status and the presence of resistance genes in intestinal commensal E. coli clones from clinically healthy pigs from one production unit with particular focus on effects of pheno- and/or genotypic resistance on different nominal and numerical intestinal colonization parameters. In addition, we compared the occurrence of antimicrobial resistance phenotypes and genotypes with the occurrence of virulence associated genes typical for extraintestinal pathogenic E. coli. Results In general, up to 72.1% of all E. coli clones were resistant to ampicillin, chloramphenicol, kanamycin, streptomycin, sulfamethoxazole or tetracycline with a variety of different resistance genes involved. There was no significant correlation between one of the nominal or numerical colonization parameters and the absence or presence of antimicrobial resistance properties or resistance genes. However, there were several statistically significant associations between the occurrence of single resistance genes and single virulence associated genes. Conclusion The demonstrated resistance to the tested antibiotics might not play a dominant role for an intestinal colonization success in pigs in the absence of antimicrobial drugs, or cross-selection of other colonization factors e.g. virulence associated genes might compensate "the cost of antibiotic resistance". Nevertheless, resistant strains are not outcompeted by susceptible bacteria in the porcine intestine. Trial Registration The study was approved by the local animal welfare committee of the "Landesamt für Arbeitsschutz, Gesundheitsschutz und technische Sicherheit" Berlin

  8. Antibiotic resistance in bacteria Staphylococcus spp. isolated from samples of raw sheep's milk

    Directory of Open Access Journals (Sweden)

    Milan Vasiľ

    2016-12-01

    Full Text Available From samples of raw sheep's milk were determined results of bacteriological examination from two herds in region of Eastern Slovakia in three years lasting study. The occurrence of Staphylococcus spp. 41.6% (124 was determined from 298 samples. The seven species of staphylococci were on a regular basis isolated: S. epidermidis (34, S. chromogenes (26, S. aureus (16. Alternately have been recorded S. warneri (16, S. schleiferi (15, S. haemolyticus (9 and S. xylosus (8. All isolated pathogens were tested by in vitro test on Mueller-Hinton agar by disc methods on resistance to 10 types of antibiotics.  Highest value of resistance was determined to Penicilin 21.0%, Neomycin 10.5% and Novobiocin 9.7%. Lower resistance was in to Oxacilin 7.2% and Amoxicilin 6.5%. Minimal resistance was founded to Cefoxitin 0.8%, Linkomycin 2.4%, Erytromycin, and Streptomycin 3.2%. Was founded total resistance (21.0% to all antibiotics in S. epidermidis (34 during the three years, S. chromogenes (26 showed resistance to 8 types of antibiotics (12.9%, S. aureus (16 to 6 antibiotics (10.5% and S. warneri (16 to 4 antibiotics (5.6%. It was confirmed that sheep's milk remains a major source of staphylococci. Bacteria in comparison with isolates from cows' raw milk, showed lower values of resistance, but were resistant to more than two antibiotics. Recorded occurrence of resistance in staphylococci may be connected with a minimum use of antibiotics in the treatment of mastitis and other diseases in sheep herds. Reported resistance to the tested antibiotics became the basis for the recommendation to use preparations to treat mastitis in sheep principally by the detection of resistance to antibiotics contained.

  9. Resistance to antimicrobial agents among Salmonella isolates recovered from layer farms and eggs in the Caribbean region.

    Science.gov (United States)

    Adesiyun, Abiodun; Webb, Lloyd; Musai, Lisa; Louison, Bowen; Joseph, George; Stewart-Johnson, Alva; Samlal, Sannandan; Rodrigo, Shelly

    2014-12-01

    This investigation determined the frequency of resistance of 84 isolates of Salmonella comprising 14 serotypes recovered from layer farms in three Caribbean countries (Trinidad and Tobago, Grenada, and St. Lucia) to eight antimicrobial agents, using the disc diffusion method. Resistance among isolates of Salmonella was related to the country of recovery, type of sample, size of layer farms, and isolate serotype. Overall, all (100.0%) of the isolates exhibited resistance to one or more of seven antimicrobial agents tested, and all were susceptible to chloramphenicol. The resistance detected ranged from 11.9% to sulphamethoxazole-trimethoprim (SXT) to 100.0% to erythromycin. The difference was, however, not statistically significant (P = 0.23). Across countries, for types of samples that yielded Salmonella, significant differences in frequency of resistance were detected only to SXT (P = 0.002) in Trinidad and Tobago and to gentamycin (P = 0.027) in St. Lucia. For the three countries, the frequency of resistance to antimicrobial agents was significantly different for ampicillin (P = 0.001) and SXT (P = 0.032). A total of 83 (98.8%) of the 84 isolates exhibited 39 multidrug resistance patterns. Farm size significantly (P = 0.032) affected the frequency of resistance to kanamycin across the countries. Overall, among the 14 serotypes of Salmonella tested, significant (P resistance were detected to kanamycin, ampicillin, and SXT. Results suggest that the relatively high frequency of resistance to six of the antimicrobial agents (erythromycin, streptomycin, gentamycin, kanamycin, ampicillin, and tetracycline) tested and the multidrug resistance detected may pose prophylactic and therapeutic concerns for chicken layer farms in the three countries studied.

  10. Presence and potential for horizontal transfer of antibiotic resistance in oxidase-positive bacteria populating raw salad vegetables.

    Science.gov (United States)

    Bezanson, G S; MacInnis, R; Potter, G; Hughes, T

    2008-09-30

    To assess whether domestically grown fresh salad vegetables constitute a possible reservoir of antibiotic resistance for Canadian consumers, aerobic bacteria capable of forming colonies at 30 degrees C on nutrient-limited media were recovered from a single sampling of Romaine lettuce, Savoy spinach and alfalfa sprouts, then examined for their susceptibility to ten antibiotics and the carriage of potentially mobile R-plasmids and integrons. Of the 140 isolates resistant to one or more antibiotic, 93.5 and 90.0% were resistant to ampicillin and cephalothin; 35.7% to chloramphenicol, 10.0% to streptomycin, 4.2% to nalidixic acid, 4.2% to kanamycin, and 2.8% to gentamicin. Gram-positive isolates accounted for less than 4% of the antibiotic resistant strains. A small portion (23.1%) of the predominant oxidase-positive, gram-negative isolates was resistant to two or more antimicrobials. Members of the Pseudomonas fluorescens/putida complex were most prevalent among the 34 resistant strains identified. Sphingobacterium spp. and Acinetobacter baumanni also were detected. Ten of 52 resistant strains carried plasmids, 3 of which were self-transmissible and bore resistance to ampicillin and kanamycin. Eighteen of 48 gave PCR evidence for integron DNA. Class 2 type integrons were the most prevalent, followed by class 1. We conclude that the foods examined here carry antibiotic resistant bacteria at the retail level. Further, our determination that resistant strains contain integron-specific DNA sequences and self-transmissible R-plasmids indicates their potential to influence the pool of antibiotic resistance in humans via lateral gene transfer subsequent to ingestion.

  11. Enterococcus spp. Resistant to Multiple Antimicrobial Drugs and Determination of Fecal Contamination Levels in Mangrove Oysters (Crassostrea rhizophorae

    Directory of Open Access Journals (Sweden)

    Cynthia Annes Rubião

    2017-08-01

    Full Text Available ABSTRACT The aim of this study was to determine and compare the Most Probable Number (MPN of Total Coliforms (TC, Escherichia coli and Enterococcus spp. and to characterize the antimicrobial resistance profiles of Enterococcus spp. isolated from oysters collected in the Barra de Guaratiba Mangrove, Rio de Janeiro, Brazil. The enumeration of E. coli has been used to indicate fecal contamination and hygienic-sanitary conditions of bivalve molluscs. Enterococci are capable to transfer several antimicrobial resistance genes to pathogenic bacteria, including those from Gram-negative group. The oysters were bought from local fishermen and a total of 123 individuals were analyzed. The TC, E. coli and Enterococcus spp. MPN mean were 26,300/100 g, 3,260/100 g and 2,820/100 g, respectively. The only correlation found was between TC and E. coli. Two strains of Enterococcus spp. were resistant to three different antimicrobial categories, including a high level resistance to streptomycin. One strain presented intermediate resistance to vancomycin. The E. coli levels exceeded the limits established by international legislation. This microbiological contamination in oysters reflects the water pollution and indicates a probable contamination of other seafood species from this mangrove, which can represent a risk for consumers and a threat to the environment and public health.

  12. High rates of multidrug resistance among uropathogenic Escherichia coli in children and analyses of ESBL producers from Nepal

    Directory of Open Access Journals (Sweden)

    Narayan Prasad Parajuli

    2017-01-01

    Full Text Available Abstract Background Emergence of Extended-spectrum beta-lactamase producing Escherichia coli causing urinary tract infections (UTI among pediatric patients is an increasing problem worldwide. However, very little is known about pediatric urinary tract infections and antimicrobial resistance trend from Nepal. This study was conducted to assess the current antibiotic resistance rate and ESBL production among uropathogenic Escherichia coli in pediatric patients of a tertiary care teaching hospital of Nepal. Methods A total of 5,484 urinary tract specimens from children suspected with UTI attending a teaching hospital of Nepal over a period of one year were processed for the isolation of bacterial pathogens and their antimicrobial susceptibility testing. Escherichia coli (n = 739, the predominant isolate in pediatric UTI, was further selected for the detection of ESBL-production by phenotypic combination disk diffusion test. Results Incidence of urinary tract infection among pediatric patients was found to be 19.68% and E coli (68.4% was leading pathogen involved. Out of 739 E coli isolates, 64.9% were multidrug resistant (MDR and 5% were extensively drug resistant (XDR. Extended spectrum beta lactamase (ESBL was detected in 288 (38.9% of the E coli isolates. Conclusion Alarming rate of drug resistance among pediatric uropathogens and high rate of ESBL-producing E. coli was observed. It is extremely necessary to routinely investigate the drug resistance among all isolates and formulate strict antibiotics prescription policy in our country.

  13. Characterization and Antimicrobial Resistance of Salmonella Typhimurium Isolates from Clinically Diseased Pigs in Korea.

    Science.gov (United States)

    Oh, Sang-Ik; Kim, Jong Wan; Chae, Myeongju; Jung, Ji-A; So, Byungjae; Kim, Bumseok; Kim, Ha-Young

    2016-11-01

    This study investigated the prevalence of Salmonella enterica serovar and antimicrobial resistance in Salmonella Typhimurium isolates from clinically diseased pigs collected from 2008 to 2014 in Korea. Isolates were also characterized according to the presence of antimicrobial resistance genes and pulsed-field gel electrophoresis patterns. Among 94 Salmonella isolates, 81 (86.2%) were identified as being of the Salmonella Typhimurium serotype, followed by Salmonella Derby (6 of 94, 6.4%), Salmonella 4,[5],12:i:- (4 of 94, 4.3%), Salmonella Enteritidis (2 of 94, 2.1%), and Salmonella Brandenburg (1 of 94, 1.1%). The majority of Salmonella Typhimurium isolates were resistant to tetracycline (92.6%), followed by streptomycin (88.9%) and ampicillin (80.2%). Overall, 96.3% of Salmonella Typhimurium isolates showed multidrug-resistant phenotypes and commonly harbored the resistance genes bla TEM (64.9%), flo (32.8%), aadA (55.3%), strA (58.5%), strB (58.5%), sulII (53.2%), and tetA (61.7%). The pulsed-field gel electrophoresis analysis of 45 Salmonella Typhimurium isolates from individual farms revealed 27 distinct patterns that formed one major and two minor clusters in the dendrogram analysis, suggesting that most of the isolates (91.1%) from diseased pigs were genetically related. These findings can assist veterinarians in the selection of appropriate antimicrobial agents to combat Salmonella Typhimurium infections in pigs. Furthermore, they highlight the importance of continuous surveillance of antimicrobial resistance and genetic status in Salmonella Typhimurium for the detection of emerging resistance trends.

  14. Penicillin-susceptible Staphylococcus aureus: susceptibility testing, resistance rates and outcome of infection.

    Science.gov (United States)

    Hagstrand Aldman, Malin; Skovby, Annette; I Påhlman, Lisa

    2017-06-01

    Staphylococcus aureus (SA) is an important human pathogen that causes both superficial and invasive infections. Penicillin is now rarely used in the treatment of SA infections due to widespread resistance and a concern about the accuracy of existing methods for penicillin susceptibility testing. The aims of the present study were to determine the frequency of penicillin-susceptible SA isolates from blood and wound cultures in Lund, Sweden, and to evaluate methods for penicillin testing in SA. We also wanted to investigate if penicillin-susceptible isolates are associated with higher mortality. Hundred blood culture isolates collected 2008/2009, 140 blood culture isolates from 2014/2015, and 141 superficial wound culture strains from 2015 were examined. Penicillin susceptibility was tested with disk diffusion according to EUCAST guidelines, and results were confirmed with a cloverleaf assay and PCR amplification of the BlaZ gene. Patient data for all bacteraemia cases were extracted from medical records. The disk diffusion method with assessment of both zone size and zone edge appearance had high accuracy in our study. About 57% of bacteraemia isolates from 2008/2009 were sensitive to penicillin compared to 29% in 2014/2015 (p penicillin susceptible. There was no difference in co-morbidity or mortality rates between patients with penicillin resistant and penicillin sensitive SA bacteraemia. Disk-diffusion is a simple and reliable method to detect penicillin resistance in SA, and susceptibility rates are significant. Penicillin has many theoretical advantages and should be considered in the treatment of SA bacteraemia when susceptible.

  15. Antimicrobial susceptibility patterns of thermophilic Campylobacter spp. from humans, pigs, cattle, and broilers in Denmark

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Nielsen, E. M.; Madsen, Mogens

    1997-01-01

    isolates from broilers (18%) and humans (14%), Twenty-four percent of C, coli isolates from pigs were resistant to enrofloxacin, whereas 29% of C, coli isolates from humans and none from broilers were resistant, More resistance to streptomycin was observed among C, coil isolates from swine (48%) than among...

  16. Influence of mass transfer resistance on overall nitrate removal rate in upflow sludge bed reactors.

    Science.gov (United States)

    Ting, Wen-Huei; Huang, Ju-Sheng

    2006-09-01

    A kinetic model with intrinsic reaction kinetics and a simplified model with apparent reaction kinetics for denitrification in upflow sludge bed (USB) reactors were proposed. USB-reactor performance data with and without sludge wasting were also obtained for model verification. An independent batch study showed that the apparent kinetic constants k' did not differ from the intrinsic k but the apparent Ks' was significantly larger than the intrinsic Ks suggesting that the intra-granule mass transfer resistance can be modeled by changes in Ks. Calculations of the overall effectiveness factor, Thiele modulus, and Biot number combined with parametric sensitivity analysis showed that the influence of internal mass transfer resistance on the overall nitrate removal rate in USB reactors is more significant than the external mass transfer resistance. The simulated residual nitrate concentrations using the simplified model were in good agreement with the experimental data; the simulated results using the simplified model were also close to those using the kinetic model. Accordingly, the simplified model adequately described the overall nitrate removal rate and can be used for process design.

  17. Nasal Carriage Rate of Methicillin Resistant Staphylococcus aureus among Health Care Workers at a Tertiary Care Hospital in Kathmandu, Nepal.

    Science.gov (United States)

    Khatri, S; Pant, N D; Bhandari, R; Shrestha, K L; Shrestha, C D; Adhikari, N; Poudel, A

    2017-01-01

    Methicillin-resistant Staphylococcus aureus is one of the most common causes of nosocomial infections. Due to its multidrug resistant nature; infections due to Methicillin-resistant Staphylococcus aureus are often very difficult to treat. Colonized health care workers are the important sources of Methicillin-resistant Staphylococcus aureus. The objectives of this study were to determine the nasal carriage rate of Methicillin-resistant Staphylococcus aureus among health care workers at Kathmandu Medical College and Teaching Hospital, Nepal and to assess their antimicrobial susceptibility patterns. A cross sectional study was conducted among 252 health care workers from July to November 2013. Mannitol salt agar was used to culture the nasal swabs. Antimicrobial susceptibility testing was performed by Kirby-Bauer disc diffusion technique following Clinical and Laboratory Standards Institute guidelines. Methicillin-resistant Staphylococcus aureus strains were confirmed by using cefoxitin disc and by determining the minimum inhibitory concentration of oxacillin by agar dilution method. Of 252 healthcare workers, 46(18.3%) were positive for Staphylococcus aureus among which 19(41.3%) were Methicillin-resistant Staphylococcus aureus carriers. Overall rate of nasal carriage of Methicillin-resistant Staphylococcus aureus was 7.5% (19/252).The higher percentages of lab personnel were nasal carriers of S. aureus (31.6%) and Methicillin-resistant Staphylococcus aureus (10.5%).The percentages of nasal carriage of S. aureus (35.7%) and Methicillin-resistant Staphylococcus aureus (14.3%) were highest in the health care workers from post operative department. Higher percentage of Methicillin-resistant Staphylococcus aureus were susceptible toward amikacin (100%) and vancomycin (100%) followed by cotrimoxazole (84.2%). High rates of nasal carriage of S. aureus and Methicillin-resistant Staphylococcus aureus were observed among the healthcare workers, which indicate the need of

  18. Antibiotic resistance rates and physician antibiotic prescription patterns of uncomplicated urinary tract infections in southern Chinese primary care

    OpenAIRE

    Wong, Carmen Ka Man; Kung, Kenny; Au-Doung, Philip Lung Wai; Ip, Margaret; Lee, Nelson; Fung, Alice; Wong, Samuel Yeung Shan

    2017-01-01

    Uncomplicated urinary tract infections (UTI) are common in primary care. Whilst primary care physicians are called to be antimicrobial stewards, there is limited primary care antibiotic resistance surveillance and physician antibiotic prescription data available in southern Chinese primary care. The study aimed to investigate the antibiotic resistance rate and antibiotic prescription patterns in female patients with uncomplicated UTI. Factors associated with antibiotic resistance and prescrip...

  19. Isolation and identification of Staphylococcus aureus from milk and milk products and their drug resistance patterns in Anand, Gujarat

    Directory of Open Access Journals (Sweden)

    M. N. Brahmbhatt

    2013-02-01

    Full Text Available Aim: The study was carried out with aim to isolate Staphylococcus aureus from milk and milk products (pedha and curd and determine antibiogram pattern of S. aureus isolates. Materials and Methods: During 9 months duration of study a total of 160 milk and milk product samples (pedha and curd were collected from different places in and around Anand city such as milk collection centre of Co-operative milk dairies, cattle farms, individual household, milk vendors and sweet shops. The samples were collected under aseptic precautions and were enriched in Peptone Water (PW followed by direct plating on selective media viz. Baird-Parker Agar. The presumptive S. aureus isolates were identified by biochemical tests. Antibiogram pattern of S. aureus to antimicrobial agents were evaluated by disk diffusion method. Results: Analysis of result revealed that out of total 160 samples of milk (100 and milk products i.e. curd (30 and pedha (30 resulted in the isolation of 10 isolates (6.25 % of S. aureus. In the present study S. aureus isolates were found variably resistant to the antibiotics tested. The S. aureus isolates showed highest sensitivity towards cephalothin (100.00 %, co-trimoxazole (100.00 %, cephalexin (100.00 % and methicillin (100.00 % followed by gentamicin (90.00 %, ciprofloxacin (80.00 %, oxacillin (70.00 %, streptomycin (60.00 % and ampicillin (60.00 %. The pattern clearly indicated that the overall high percent of S. aureus isolates were resistant to Penicillin-G (100.00 % followed by ampicillin (40.00 %, oxytetracycline and oxacillin (20.00 % and streptomycin and gentamicin (10.00 % Conclusions: Results clearly suggested a possibility of potential public health threat of S. aureus resulting from contamination of milk and milk products with pathogenic bacteria is mainly due to unhygienic processing, handling and unhygienic environment. [Vet World 2013; 6(1.000: 10-13

  20. Characterization of Vibrio parahaemolyticus isolated from oysters in Korea: Resistance to various antibiotics and prevalence of virulence genes.

    Science.gov (United States)

    Kang, Chang-Ho; Shin, YuJin; Jang, SeokCheol; Yu, HongSik; Kim, SuKyung; An, Sera; Park, Kunbawui; So, Jae-Seong

    2017-05-15

    Vibrio parahaemolyticus, found frequently in oysters, is the most prevalent gastroenteritis-causing pathogen in Korea and in several other Asian countries. This study monitored changes in the environmental parameters and occurrence of V. parahaemolyticus in oyster aquaculture sites. Of the 44 presumed V. parahaemolyticus isolates obtained, when tested against 16 antibiotics, 90.9, 86.4, and 75.0% of the 44 isolates exhibited resistance to vancomycin, ampicillin, and streptomycin, respectively. PCR analysis for the presence of the toxR gene confirmed 31 of the 44 isolates as being positive V. parahaemolyticus strains. The toxR positive isolates were tested for the presence of thermostable direct hemolysin (tdh) and tdh-related hemolysin (trh) virulence genes. Only 9.1% toxR positive isolate exhibit the trh gene and none of the isolates were tested positive for tdh. The occurrence of multi drug resistance strains in the environment could be an indication of excessive usage of antibiotics in agriculture and aquaculture fields. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. Effects of grain size on the corrosion resistance of pure magnesium by cooling rate-controlled solidification

    Science.gov (United States)

    Liu, Yichi; Liu, Debao; You, Chen; Chen, Minfang

    2015-09-01

    The aim of this study was to investigate the effect of grain size on the corrosion resistance of pure magnesium developed for biomedical applications. High-purity magnesium samples with different grain size were prepared by the cooling rate-controlled solidification. Electrochemical and immersion tests were employed to measure the corrosion resistance of pure magnesium with different grain size. The electrochemical polarization curves indicated that the corrosion susceptibility increased as the grain size decrease. However, the electrochemical impedance spectroscopy (EIS) and immersion tests indicated that the corrosion resistance of pure magnesium is improved as the grain size decreases. The improvement in the corrosion resistance is attributed to refine grain can produce more uniform and density film on the surface of sample.

  2. Antimicrobial resistance and virulence profile of enterococci isolated from poultry and cattle sources in Nigeria.

    Science.gov (United States)

    Ngbede, Emmanuel Ochefije; Raji, Mashood Abiola; Kwanashie, Clara Nna; Kwaga, Jacob Kwada Paghi

    2017-03-01

    This study investigated the occurrence, antimicrobial resistance and virulence of Enterococcus from poultry and cattle farms. Three hundred and ninety samples: cloacal/rectal swabs (n = 260) and manure (n = 130] were processed for recovery of Enterococcus species. Standard bacteriological methods were used to isolate, identify and characterize Enterococcus species for antimicrobial susceptibility and expression of virulence traits. Detection of antibiotic resistance and virulence genes was carried out by polymerase chain reaction. Enterococcus was recovered from 167 (42.8%) of the 390 samples tested with a predominance of Enterococcus faecium (27.7%). Other species detected were Enterococcus gallinarum, Enterococcus faecalis, Enterococcus hirae, Enterococcus raffinosus, Enterococcus avium, Enterococcus casseliflavus, Enterococcus mundtii and Enterococcus durans. All the isolates tested were susceptible to vancomycin, but resistance to tetracycline, erythromycin, ampicillin and gentamicin was also observed among 61.0, 61.0, 45.1 and 32.7% of the isolates, respectively. Sixty (53.1%) of the isolates were multidrug resistant presenting as 24 different resistance patterns with resistance to gentamicin-erythromycin-streptomycin-tetracycline (CN-ERY-STR-TET) being the most common (n = 11) pattern. In addition to expression of virulence traits (haemolysin, gelatinase, biofilm production), antibiotic resistance (tetK, tetL, tetM, tetO and ermB) and virulence (asa1, gelE, cylA) genes were detected among the isolates. Also, in vitro transfer of resistance determinants was observed among 75% of the isolates tested. Our data revealed poultry, cattle and manure in this area are hosts to varying Enterococcus species harbouring virulence and resistance determinants that can be transferred to other organisms and also are important for causing nosocomial infection.

  3. Antimicrobial resistance of Staphylococcus spp. from small ruminant mastitis in Brazil

    Directory of Open Access Journals (Sweden)

    Chirles A. França

    2012-08-01

    Full Text Available The study aimed to determine the antimicrobial resistance patterns and to identify molecular resistance markers in Staphylococcus spp. (n=210 isolated from small ruminant mastitis in Brazil. The antimicrobial resistance patterns were evaluated by the disk diffusion test and by detection of the presence of mecA, blaZ, ermA, ermB, ermC and msrA genes by PCR. The efflux pump test was performed using ethidium bromide and biofilm production was determined by Congo red agar test along with PCR for detection of the icaD gene. The isolates were most resistant to amoxicillin (50.0%, streptomycin (42.8%, tetracycline (40.4%, lincomycin (39.0% and erythromycin (33.8%. Pan-susceptibility to all tested drugs was observed in 71 (33.8% isolates and 41 Staphylococcus isolates were positive for the efflux pump. Although phenotypic resistance to oxacillin was observed in 12.8% of the isolates, none harbored the mecA gene. However, 45.7% of the isolates harbored blaZ indicating that beta-lactamase production was the main mechanism associated with staphylococci resistance to beta-lactams in the present study. The other determinants of resistance to antimicrobial agents ermA, ermB, ermC, and msrA were observed in 1.4%, 10.4%, 16.2%, and 0.9% of the isolates, respectively. In addition, the icaD gen was detected in 32.9% of the isolates. Seventy three isolates (54 from goats and 19 from sheep were negative for all resistance genes tested and 69 isolates presented two or more resistance genes. Association among blaZ, ermA, ermB, ermC and efflux pump were observed in 17 isolates, 14 of which originated from goats and three from sheep. The data obtained in this study show the resistance of the isolates to beta-lactamics, which may be associated with the use of antimicrobial drugs without veterinary control.

  4. Prevalence and Antimicrobial Resistance of Salmonella Isolates from Chicken Carcasses in Retail Markets in Yangon, Myanmar.

    Science.gov (United States)

    Moe, Aung Zaw; Paulsen, Peter; Pichpol, Duangporn; Fries, Reinhard; Irsigler, Herlinde; Baumann, Maximilian P O; Oo, Kyaw Naing

    2017-06-01

    A cross-sectional investigation was conducted concerning prevalence, antimicrobial resistance, multidrug resistance patterns, and serovar diversity of Salmonella in chicken meat sold at retail in Yangon, Myanmar. The 141 chicken meat samples were collected at 141 retail markets in the Yangon Region, Myanmar, 1 November 2014 to 31 March 2015. Information on hygienic practices (potential risk factors) was retrieved via checklists. Salmonella was isolated and identified according to International Organization for Standardization methods (ISO 6579:2002) with minor modifications. Twelve antimicrobial agents belonging to eight pharmacological groups were used for antimicrobial susceptibility testing (disk diffusion method). Salmonella was recovered from 138 (97.9%) of the 141 samples. The isolates were most frequently resistant to trimethoprim-sulfamethoxazole (70.3% of isolates), tetracycline (54.3%), streptomycin (49.3%), and ampicillin (47.1%). Resistance was also found to chloramphenicol (29.7%), amoxicillin-clavulanic acid (17.4%), ciprofloxacin (9.4%), tobramycin (8.7%), gentamicin (8%), cefazolin (7.2%), lincomycin-spectinomycin (5.8%), and norfloxacin (0.7%). Among the 138 Salmonella isolates, 72 (52.2%) were resistant to three or more antimicrobial agents. Twenty-four serovars were identified among the 138 Salmonella-positive samples; serovars Albany, Kentucky, Braenderup, and Indiana were found in 38, 11, 10, and 8% of samples, respectively. None of the potential risk factors were significantly related to Salmonella contamination of chicken carcasses. This study provides new information regarding prevalence and antimicrobial resistance and Salmonella serovar diversity in retail markets in Yangon, Myanmar.

  5. A population-based study of first and second-line drug-resistant tuberculosis in a high-burden area of the Mexico/United States border

    Directory of Open Access Journals (Sweden)

    Pola Becerril-Montes

    2013-04-01

    Full Text Available The resistance of 139 Mycobacterium tuberculosis (MTB isolates from the city of Monterrey, Northeast Mexico, to first and second-line anti-TB drugs was analysed. A total of 73 isolates were susceptible and 66 were resistant to anti-TB drugs. Monoresistance to streptomycin, isoniazid (INH and ethambutol was observed in 29 cases. Resistance to INH was found in 52 cases and in 29 cases INH resistance was combined with resistance to two or three drugs. A total of 24 isolates were multidrug-resistant (MDR resistant to at least INH and rifampicin and 11 MDR cases were resistant to five drugs. The proportion of MDR-TB among new TB cases in our target population was 0.72% (1/139 cases. The proportion of MDR-TB among previously treated cases was 25.18% (35/139 cases. The 13 polyresistant and 24 MDR isolates were assayed against the following seven second-line drugs: amikacin (AMK, kanamycin (KAN, capreomycin (CAP, clofazimine (CLF, ethionamide (ETH, ofloxacin (OFL and cycloserine (CLS. Resistance to CLF, OFL or CLS was not observed. Resistance was detected to ETH (10.80% and to AMK (2.70%, KAN (2.70% and CAP (2.70%. One isolate of MDR with primary resistance was also resistant to three second-line drugs. Monterrey has a high prevalence of MDR-TB among previously treated cases and extensively drug-resistant-MTB strains may soon appear.

  6. 154 ORIGINAL ARTICLE

    African Journals Online (AJOL)

    boaz

    teicoplanin, gentamicin, streptomycin, linezolid, ampicillin, ciprofloxacin, chloramphenicol, doxycycline, nitrofurantoin, erythromycin and rifampin. More than 50% of the isolates were resistant to erythromycin, rifampin and doxycycline. E-test. M.I.C confirmed 12 out of 34 strains to be intermediately resistant to vancomycin.

  7. Antibiotic resistance determinants and genetic analysis of Salmonella enterica isolated from food in Morocco.

    Science.gov (United States)

    Murgia, Manuela; Bouchrif, Brahim; Timinouni, Mohammed; Al-Qahtani, Ahmed; Al-Ahdal, Mohammed N; Cappuccinelli, Pietro; Rubino, Salvatore; Paglietti, Bianca

    2015-12-23

    Antimicrobial-resistant non-typhoidal Salmonella (NTS) are an important cause of infection in Africa, but there is a lack of information on their molecular mechanisms of resistance and epidemiology. This study contributes to fill this gap through the characterization by pulsed-field gel electrophoresis (PFGE), multilocus sequence typing (MLST), plasmid profiling and analysis of antibiotic-resistance determinants of 94 Salmonella enterica strains isolated from food in Morocco. PFGE revealed considerable heterogeneity among the strains, showing 32 pulsotypes. MLST of strains representative of the different serovars evidenced 13 sequence types (STs), three of which were newly identified (ST1694, ST1768 and ST1818) and nine not previously reported in Morocco. Thirty-four strains harbored from one to four plasmids, of IncI1 group in S. Mbandaka, IncFIIA in S. Typhimurium, IncL/M in S. Hadar and S. Blockley. For the first time in Morocco an intact Salmonella Genomic Island 1 (SGI1) carrying the resistance genes aadA2, floR, tetG, blaPSE-1 and sul1 was detected in S. Typhimurium DT104. In serovar Hadar resistance to ampicillin, tetracycline and streptomycin was associated to blaTEM-1, tetA and strA genes respectively, whereas one mutation in gyrA (Asp87Asn) and one in parC (Thr54Ser) genes conferred resistance to nalidixic acid. These findings improve the information on foodborne Salmonella in Morocco, evidencing the presence of MDR strains potentially dangerous to humans, and provide useful data for future studies. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece

    Directory of Open Access Journals (Sweden)

    T. Lazou

    2017-09-01

    Full Text Available The study was conducted to determine the prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece. Faecal specimens were collected from 181 dogs and 132 cats. Culture methods were applied to detect Campylobacter spp. and a multiplex PCR assay to identify the isolates. The prevalence of Campylobacter spp. was 3.8% in dogs and 12.1% in cats. The most frequently identified Campylobacter species in dogs was C. jejuni (57.1% followed by C. coli (42.9%. All feline isolates were identified as C. jejuni apart from one isolate that was characterised as Campylobacter-like organism. Gender, age, breed, life style, diarrhoea and type of diet of dogs and cats did not significantly correlate (P>0.05 with Campylobacter isolation. Possible predictors regarding Campylobacter presence in dogs and cats were assessed by binary logistic regression. A tendency towards higher risk for Campylobacter contamination was observed in dogs consuming a homemade diet and in outdoor cats. Disk diffusion method revealed that all Campylobacter isolates exhibited susceptibility to erythromycin, gentamicin and streptomycin. Contrariwise, 66.7% of canine isolates were resistant concurrently to tetracycline and quinolones and 59.0%, 13.6% and 4.5% of feline isolates were resistant to quinolones, quinolones along with tetracycline and tetracycline alone, respectively

  9. Phylogenetic groups among Klebsiella pneumoniae isolates from Brazil: relationship with antimicrobial resistance and origin.

    Science.gov (United States)

    de Melo, Maíra Espíndola Silva; Cabral, Adriane Borges; Maciel, Maria Amélia Vieira; da Silveira, Vera Magalhães; de Souza Lopes, Ana Catarina

    2011-05-01

    The objectives of this study were to determine the distribution of phylogenetic groups among Klebsiella pneumoniae isolates from Recife, Brazil and to assess the relationship between the groups and the isolation sites and resistance profile. Ninety four isolates of K. pneumoniae from hospital or community infections and from normal microbiota were analyzed by gyrA PCR-RFLP, antibiotic susceptibility, and adonitol fermentation. The results revealed the distinction of three phylogenetic groups, as it has also been reported in Europe, showing that these clusters are highly conserved within K. pneumoniae. Group KpI was dominantly represented by hospital and community isolates while groups KpII and KpIII displayed mainly normal microbiota isolates. The resistance to third generation cephalosporins, aztreonam, imipenem, amoxicillin/clavulanic acid, and streptomycin was only observed in KpI. The percentage of resistance was higher in KpI, followed by KpII and KpIII. The differences in the distribution of K. pneumoniae phylogenetic groups observed in this study suggest distinctive clinical and epidemiological characteristics among the three groups, which is important to understand the epidemiology of infections caused by this organism. This is the first study in Brazil on K. pneumoniae isolates from normal microbiota and community infections regarding the distribution of phylogenetic groups based on the gyrA gene.

  10. Antibiotic Resistance in Escherichia coli from Pigs in Organic and Conventional Farming in Four European Countries.

    Science.gov (United States)

    Österberg, Julia; Wingstrand, Anne; Nygaard Jensen, Annette; Kerouanton, Annaelle; Cibin, Veronica; Barco, Lisa; Denis, Martine; Aabo, Sören; Bengtsson, Björn

    2016-01-01

    Organic pig production differs in many ways from conventional production of pigs, e.g., in antibiotic use, herd structure, feeding regimes, access to outdoor areas and space allowance per pig. This study investigated if these differences result in a lower occurrence of antibiotic resistance in organic slaughter pigs in Denmark, France, Italy and Sweden. Samples were taken from the colon content and/or faeces and minimum inhibitory concentrations (MIC) of ten antibiotics were determined in isolates of Escherichia coli. In addition, the proportion of tetracycline (TET) resistant E. coli in colon content and/or faeces from individual pigs was determined. In all four countries the percentage resistance to ampicillin, streptomycin, sulphonamides or trimethoprim was significantly lower in E. coli from organic pigs. In France and Italy, the percentage of isolates resistant to chloramphenicol, ciprofloxacin, nalidixic acid or gentamicin was also significantly lower in the E. coli from organic pigs. Resistance to cefotaxime, was not found in any country. The percentage of E. coli isolates resistant to TET as well as the proportion of TET-resistant E. coli was significantly lower in organic than in conventional pigs, except in Sweden where TET-resistance was equally low in both production types. There were also differences between countries within production type in the percentage resistance to individual antibiotics as well as the proportion of TET-resistant E. coli with lower median proportions in Sweden and Denmark compared to France and Italy. The study shows that in each of the four countries resistance in intestinal E. coli was less common in organic than in conventional pigs, but that there were also large differences in resistance between countries within each production type, indicating that both country- and production-specific factors influence the occurrence of resistance.

  11. Occurrence and antimicrobial resistance of Salmonella spp. isolated from food other than meat in Poland

    Directory of Open Access Journals (Sweden)

    Łukasz Mąka

    2015-09-01

    Full Text Available Introduction and objectives. Antimicrobial resistance of pathogenic bacteria can result in therapy failure, increased hospitalization, and increased risk of death. In Poland, [i]Salmonella[/i] spp. is a major bacterial agent of food poisoning. The majority of studies on antimicrobial resistance in [i]Salmonella[/i] spp. isolates from food have focused on meat products as the source of this pathogen. In comparison, this study examines the antimicrobial susceptibility of [i]Salmonella[/i] spp. isolated from retail food products other than meat in Poland. Materials and Methods. A collection of 122 [i]Salmonella[/i] spp. isolates were isolated in Poland in 2008–2012 from foods other than meat: confectionery products, eggs, fruits, vegetables, spices and others. The resistance of these isolates to 19 antimicrobial agents was tested using the disc diffusion method. Results. [i]Salmonella[/i] Enteritidis was the most frequently identified serotype (84.4% of all tested isolates. In total, 42.6% of the [i]Salmonella[/i] spp. isolates were resistant to antibiotics. The highest frequencies of resistance were observed in isolates from 2009 (60.0% and 2012 (59.5%. Antibiotic resistance was most prevalent among [i]Salmonella[/i] spp. isolated from egg-containing food samples (68.0%. Resistance to nalidixic acid was most common and was observed in 35.2% of all tested isolates. The isolates were less frequently resistant to sulphonamides (6.6%, ampicillin (4.9%, amoxicillin/clavulanic acid (2.5% and to streptomycin, cefoxitin, gentamicin and tetracycline (1.6%. Only one isolate showed resistance to chloramphenicol. Four isolates displayed multiresistance. Conclusions. Although, the level of resistance and multiresistance of [i]Salmonella[/i] spp. isolates from non-meat foods was lower than in those from meat products, the presence of these resistant bacteria poses a real threat to the health of consumers.

  12. [Identification of lactic acid bacteria in commercial yogurt and their antibiotic resistance].

    Science.gov (United States)

    Qin, Yuxuan; Li, Jing; Wang, Qiuya; Gao, Kexin; Zhu, Baoli; Lv, Na

    2013-08-04

    To identify lactic acid bacteria (LAB) in commercial yogurts and investigate their antibiotic resistance. LABs were cultured from 5 yogurt brands and the isolates were identified at the species level by 16S rRNA sequence. Genotyping was performed by repetitive extragenic palindromic PCR (rep-PCR). The sensitivity to 7 antibiotics was tested for all LAB isolates by Kirby-Bauer paper diffusion (K-B method). Meanwhile, 9 antibiotic resistance genes (ARGs), including erythromycin resistance genes (ermA and ermB) and tetracycline resistance genes (tetM, tetK, tetS, tetQ, tetO, tetL and tetW), were detected by PCR amplification in the identified LAB isolates. The PCR products were confirmed by sequencing. Total 100 LABs were isolated, including 23 Lactobacillus delbrueckii ssp. bulgaricus, 26 Lactobacillus casei, 30 Streptococcus thermophilus, 5 Lactobacillus acidophilus, 6 Lactobacillus plantarum, and 10 Lactobacillus paracasei. The drug susceptibility test shows that all 100 isolates were resistant to gentamicin and streptomycin, 42 isolates were resistant to vancomycin, and on the contrary all were sensitive to cefalexin, erythromycin, tetracycline and oxytetracycline. Moreover, 5 ARGs were found in the 28 sequencing confirmed isolates, ermB gene was detected in 8 isolates, tet K in 4 isolates, tetL in 2 isolates, tetM in 4 isolates, tetO in 2 isolates. erm A, tet S, tet Q and tet W genes were not detected in the isolates. Antibiotic resistance genes were found in 53.57% (15/28) sequenced isolates, 2 -3 antibiotic resistance genes were detected in 4 isolates of L. delbrueckii ssp. bulgaricus. Some LABs were not labeled in commercial yogurt products. Antibiotic resistance genes tend to be found in the starter culture of L. delbrueckii ssp. Bulgaricus and S. thermophilus. All the LAB isolates were sensitive to erythromycin and tetracycline, even though some carried erythromycin and/or tetracycline resistance genes. We proved again that LAB could carry antibiotic

  13. Degradation rates and mechanisms of acid-resistant coatings in copper-leaching tanks

    DEFF Research Database (Denmark)

    Møller, Victor Buhl

    coating where the lifetime was estimated to 1:6 ± 0:2 and 1:4 ± 0:1 years, respectively. Part IV A series of newly designed and constructed diffusion cells were used to measure sulfuric acid diffusion rates through the coatings. A mathematical model was developed to simulate the experimental data...... potential in the mineral industry has not yet been thoroughly investigated. This particular industry poses unique challenges, with high operational temperatures (around 75 °C) and combined acidicerosive environments. The use of organic coatings to protect tanks, pipes, and secondary exposure areas, may....... Part I An in-depth literature study was performed to uncover and review uses and limitations ofacid-resistant coatings in the chemical industry, with a comparison to alternative resistant materialsbased on metals and ceramics. In addition, coating degradation phenomena caused by acid exposure, were...

  14. Tick resistance and heat tolerance characteristics in cattle. III. Sweating rate

    Directory of Open Access Journals (Sweden)

    Cecília José Veríssimo

    2012-12-01

    Full Text Available Cattle in a sustainable tropical livestock should be heat tolerant and resistant to ticks. The relationship between Rhipicephalus (Boophilus microplus infestation and sweating rate, an important heat tolerance characteristic, was studied in six Nellore and four Holstein steers of seven-month-old. They were artificial infested (a.i. with 10,000 (Holstein and 20,000 (Nellore larvae in 16/Apr/2011. In days 20, 23 and 24 after the infestation, the 10 bigger females ticks found in whole animal were weighed and put in a chamber (27 oC and 80% RH, weighing the egg mass of each female tick fourteen days after. The sweating rate (SRskin, measured by Scheleger and Turner, 1963, method, in a shaved area of shoulder skin was evaluated in 14/Apr (2 days before the a.i. and in 05/May (19 days after a.i.. In 14/Apr the Scheleger and Turner, 1963, method was done on the coat not shaved (SRcoat. The sweating rate was measured in the afternoon (from 2 P.M., after 30 minutes of direct sunlight, on April. On May, the animals remained 60 minutes in direct sunlight because this day was colder. The experimental design was a non-probability sample restricted to the 10 available animals. Data from the steers’ sweating rate were analyzed using the General linear models of the SPSS® statistical package (version 12.0 using SRskin as dependent variable and breed and sampling date as independent variables. For SRcoat breed was the independent variable. Nellore, a tropical cattle breed, had higher SRskin (1,000.82 ± 64.59 g m-2 h-1, P< 0.001 than Holstein (620.45 ± 79.10 g m-2 h-1. SRskin was higher on May (1,187.33 ± 71.49 g m-2 h-1, P< 0.001 than on April (433.93 ± 71.49 g m-2 h-1. The correlation between the two different measurements of SR was positive and significant (r= 0,545, P<0,01, Pearson correlation. But in SRcoat the breed effect disappeared because the Holstein SRcoat increased (Holstein: 884.95 ± 472.12 g m-2 h-1 and Nellore: 1,060.72 ± 318.21 g m-2 h-1

  15. Antimicrobial resistance of Escherichia coli isolated in newly-hatched chickens and effect of amoxicillin treatment during their growth.

    Science.gov (United States)

    Jiménez-Belenguer, Ana; Doménech, Eva; Villagrá, Arantxa; Fenollar, Alejandro; Ferrús, Maria Antonia

    2016-08-01

    The use of antimicrobials in food animals is the major determinant for the propagation of resistant bacteria in the animal reservoir. However, other factors may also play a part, and in particular vertical spread between the generations has been suggested to be an important transmission pathway. The objective of this paper was to determine the resistance patterns of Escherichia coli isolated from newly-hatched chickens as well as to study the antibiotic pressure effect when amoxicillin was administered during their growing period. With this aim, meconium from 22 one-day-old Ross chickens was analysed. In addition, during their growth period, amoxicillin treatments at days 7, 21 and 35 were carried out. Results showed a high number of E. coli-resistant strains were isolated from the treated one-day-old chickens, and were the highest for β-lactams group, followed by quinolone and tetracyclines. After treatment with amoxicillin, the highest percentage of resistances were detected for this antibiotic compared to the others analysed, with significant differences in resistance percentages between control and treated broilers detected in relation to ampicillin, cephalothin, streptomycin, kanamycin, gentamicin, chloramphenicol and tetracycline. Differences in resistances to ciprofloxacin and nalidixic acid between control and treated animals were not observed and there was lack of resistance for amikacin and ceftriaxone. These results suggest the possibility of vertical transmission of resistant strains to newly-hatched chicks from parent flocks, and seem to indicate that the treatment with amoxicillin increased the resistance of E. coli to other antibiotics.

  16. Genetic variation for growth rate, feed conversion efficiency, and disease resistance exists within a farmed population of rainbow trout

    DEFF Research Database (Denmark)

    Henryon, Mark; Jokumsen, Alfred; Berg, Peer

    2002-01-01

    The objective of this study was to test that additive genetic (co)variation for survival, growth rate, feed conversion efficiency, and resistance to viral haemorrhagic septicaemia (VHS) exists within a farmed population of rainbow trout. Thirty sires and 30 dams were mated by a partly factorial...... the predicted breeding values for VHS resistance and the predicted breeding values for the body weights, body length, and feed conversion efficiencies. These results demonstrate that additive genetic (co)variation for growth rate, feed conversion efficiency, and VHS resistance does exist within the farmed...... mating design. Each sire was mated to two dams, and each dam was mated to two sires, producing 50 viable full-sib families (29 sires, 25 dams). The fish from these families were reared for a 215-day growout period, and were assessed for survival between days 52 and 215, growth rate (i.e., body weight...

  17. Antibiotic resistance of Vibrio parahaemolyticus isolated from coastal seawater and sediment in Malaysia

    Science.gov (United States)

    Drais, Ashraf Abbas; Usup, Gires; Ahmad, Asmat

    2016-11-01

    Vibrio parahaemolyticus is widely recognized pathogenic Vibrio species due to numerous outbreaks and its' wide occurrence in the marine environment. A total of 50 Vibrio parahaemolyticus isolates were isolated from seawater and sediments in Malaysia were tested for sensitivity to 19 antibiotics using disc diffusion method. It was found that all isolates were resistant towards ampicillin (10 μg), penicillin (10 μg), methicillin (5 μg), and novobiocin (5 μg); but exhibit sensitivity to chloramphenicol (30 μg) and gentamicin (100 μg). The low percentage of sensitivity towards antibiotics was observed with the following antibiotics; amoxicillin 10μg (98%), fluconazole 25μg (98%), erythromycin 15 μg (92%), vancomycin 30 μg (92%), bacitracin 10 μg (84%), carbenicillin 100 μg (84%), cephalothin 30 μg (52%), nitrofurantion 200 μg (52%), ciprofloxacin 5 μg (40%), tetracycline 30 μg (20%), kanamycin 30 μg (10%), nalidixic acid 30 μg (10%) and streptomycin 20 μg (6%). Multiple antibiotic resistance (MAR) index was found to be 0.42-0.78. All the isolates were multi-resistant to these antibiotics. This indicates that the isolates originate from high-risk source of contamination where antibiotics are often used. Thus, there is a need for supervised use of antibiotics and frequent surveillance of V. parahaemolyticus strains for antimicrobial resistance. The presence of V. parahaemolyticus in coastal water with a high value of multiple antibiotic resistance indexes (MARI) can increase the risk of exposure to human and regular monitoring program for this potential human pathogenic bacterium is important.

  18. Helicobacter pylori strains from a Nigerian cohort show divergent antibiotic resistance rates and a uniform pathogenicity profile.

    Directory of Open Access Journals (Sweden)

    Ute Harrison

    Full Text Available Antibiotic resistance in Helicobacter pylori is a factor preventing its successful eradication. Particularly in developing countries, resistance against commonly used antibiotics is widespread. Here, we present an epidemiological study from Nigeria with 111 isolates. We analyzed the associated disease outcome, and performed a detailed characterization of these isolated strains with respect to their antibiotic susceptibility and their virulence characteristics. Furthermore, statistical analysis was performed on microbiological data as well as patient information and the results of the gastroenterological examination. We found that the variability concerning the production of virulence factors between strains was minimal, with 96.4% of isolates being CagA-positive and 92.8% producing detectable VacA levels. In addition, high frequency of bacterial resistance was observed for metronidazole (99.1%, followed by amoxicillin (33.3%, clarithromycin (14.4% and tetracycline (4.5%. In conclusion, this study indicated that the infection rate of H. pylori infection within the cohort in the present study was surprisingly low (36.6%. Furthermore, an average gastric pathology was observed by histological grading and bacterial isolates showed a uniform pathogenicity profile while indicating divergent antibiotic resistance rates.

  19. Development of a real-time PCR melt curve assay for simultaneous detection of virulent and antibiotic resistant Salmonella.

    Science.gov (United States)

    Singh, Prashant; Mustapha, Azlin

    2014-12-01

    Multiple drug resistance in Salmonella is an emerging problem in the area of food safety. Depending on the virulence and antibiotic resistance characteristics of the Salmonella strain, infections of varying severity could result. In this study, a multiplex melt curve real-time PCR assay for the detection of virulent and antibiotic resistance strains of Salmonella was developed with two primer sets. The first set targets the virulence gene, invasin (invA), and tetracycline (tetG), streptomycin (aadA2) and sulphonamide (sulI) antibiotic resistance genes, and the second set amplifies ampicillin (blaPSE,blaTEM) and chloramphenicol (floR) resistance genes. The multiplex assay was evaluated using 41 Salmonella strains and was further tested on eight different artificially inoculated food samples. The fluorescent DNA intercalating dye, SYTO9, generated high resolution melt curve peaks and, hence, was used for the development of the assay. This multiplex assay worked efficiently over a DNA concentration range of 20 ng-200 fg and showed a sensitivity of 290 CFU/mL with serially diluted broth cultures. The detection limit for un-enriched artificially inoculated food samples was 10(4) CFU/g, but an enrichment period of 6 h allowed for detection of 10 CFU/g of cells in the samples. Copyright © 2014 Elsevier Ltd. All rights reserved.

  20. The importance of integrons for development and propagation of resistance in Shigella: the case of Latin America

    Directory of Open Access Journals (Sweden)

    Kenia Barrantes

    Full Text Available Abstract In Latin America, the disease burden of shigellosis is found to coexist with the rapid and rampant spread of resistance to commonly used antibiotics. The molecular basis of antibiotic resistance lies within genetic elements such as plasmids, transposons, integrons, genomic islands, etc., which are found in the bacterial genome. Integrons are known to acquire, exchange, and express genes within gene cassettes and it is hypothesized that they play a significant role in the transmission of multidrug resistance genes in several Gram-negative bacteria including Shigella. A few studies have described antibiotic resistance genes and integrons among multidrug resistant Shigella isolates found in Latin America. For example, in Brazil, Bolivia, Chile, Costa Rica and Peru, class 1 and class 2 integrons have been detected among multidrug resistant strains of Shigella; this phenomenon is more frequently observed in S. flexneri isolates that are resistant to trimethoprim, sulfamethoxazole, streptomycin, ampicillin, chloramphenicol, and tetracycline. The gene cassette sul2, which is frequently detected in Shigella strains resistant to the sulfonamides, suggests that the sulfonamide-resistant phenotype can be explained by the presence of the sul2 genes independent of the integron class detected. It is to be noted that sul3 was negative in all isolates analyzed in these studies.The high frequency of sulfonamide (as encoded by sul2 and trimethoprim resistance is likely to be a result of the recurrent use of trimethoprim sulfamethoxazole as a popular regimen for the treatment of shigellosis. The observed resistance profiles of Shigella strains confirm that ampicillin and trimethoprim-sulfamethoxazole are ineffective as therapeutic options. In-depth information regarding antibiotic resistance mechanism in this pathogen is needed in order to develop suitable intervention strategies. There is a pressing need for regional and local antimicrobial resistance

  1. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    Science.gov (United States)

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  2. TRIMETHOPRIM-SULFAMETHOXAZOLE RESISTANCE AND FOSFOMYCIN SUSCEPTIBILITY RATES IN UNCOMPLICATED URINARY TRACT INFECTIONS: TIME TO CHANGE THE ANTIMICROBIAL PREFERENCES.

    Science.gov (United States)

    Guneysel, Ozlem; Suman, Enes; Ozturk, Tuba Cimilli

    2016-03-01

    Urinary tract infections (UTIs) are among the most common bacterial infections in adult population. They are prevalent in all age groups both in women and men. Also, UTIs are the most frequent indication for empirical antibiotic treatment in emergency department. The aim of this study was to determine the antibiotic resistance rates in the treatment of uncomplicated UTIs. Adult patients admitted to emergency department with uncomplicated UTIs were included in this cross-sectional study. Mid-stream urine samples were obtained under sterile conditions and cultured quantitatively. After 24 hours, the samples showing 10(5) colony forming unit per milliliter (CFU/mL) were tested for antibiotic susceptibility. Resistance to fosfomycin-trometamol (FT), amoxicillin-clavulanic acid (AC), ciprofloxacin (CIP), trimethoprim-sulfamethoxazole (TMP-SMX) and cefpodoxime (CEF) was tested by Kirby-Bauer disc diffusion system. Escherichia (E.) coli accounted for the vast majority (93.4%) of the organisms isolated in the study. Among the E. coli positive patients, resistance to TMP-SMX was the most common antibiotic resistance. The E. coli species detected in our study group were least resistant to FT (2.4%). The resistance rates, especially to CEF, AC and CIP, were significantly higher in patients over 50 years of age. In conclusion, in the treatment of uncomplicated UTIs, TMP-SMX should be excluded from empirical treatment, while fosfomycin could be a viable option in all age groups.

  3. A comparison of antimicrobial resistance rates in Gram-positive pathogens isolated in the UK from October 1996 to January 1997 and October 1997 to January 1998.

    Science.gov (United States)

    Andrews, J; Ashby, J; Jevons, G; Marshall, T; Lines, N; Wise, R

    2000-03-01

    Rates of resistance for two consecutive years for 28 centres (10 Teaching, nine Associate Teaching and nine District General hospitals) in the UK were compared. Combined rates of resistance for each of the hospital types of Staphylococcus aureus to methicillin revealed an increase in the rate of resistance in Teaching hospitals (12.5% year 1, 23.5% year 2), but, for Associate Teaching and District General hospitals rates fell (Associate Teaching 19.1% year 1, 11.9% year 2; District General 16.5% year 1 and 11.3% year 2). Using conventional methodology to determine MICs, no strain was considered to have reduced susceptibility to vancomycin. Among coagulase-negative staphylococci, increased resistance was observed for Staphylococcus epidermidis to rifampicin, for Staphylococcus haemolyticus to clindamycin, for Staphylococcus saprophyticus to penicillin and for Staphylococcus spp. to clindamycin, methicillin and rifampicin. For Streptococcus pneumoniae an upward trend in low-level resistance to penicillin was observed (18 of the 28 centres), however, for high-level resistance the trend was in the opposite direction (only four centres showed an increase). For Enterococcus faecalis there was a trend to a fall in levels of resistance, the only exception being an increase in high-level gentamicin resistance (10.5% year 1, 15.1% year 2, P = 0.0388). For Enterococcus faecium rates of resistance were not significantly different except for increases in resistance to nitrofurantoin and rifampicin.

  4. Whole Genome Sequencing Based Characterization of Extensively Drug-Resistant Mycobacterium tuberculosis Isolates from Pakistan

    KAUST Repository

    Ali, Asho; Hasan, Zahra; McNerney, Ruth; Mallard, Kim; Hill-Cawthorne, Grant A.; Coll, Francesc; Nair, Mridul; Pain, Arnab; Clark, Taane G.; Hasan, Rumina

    2015-01-01

    Improved molecular diagnostic methods for detection drug resistance in Mycobacterium tuberculosis (MTB) strains are required. Resistance to first- and second- line anti-tuberculous drugs has been associated with single nucleotide polymorphisms (SNPs) in particular genes. However, these SNPs can vary between MTB lineages therefore local data is required to describe different strain populations. We used whole genome sequencing (WGS) to characterize 37 extensively drug-resistant (XDR) MTB isolates from Pakistan and investigated 40 genes associated with drug resistance. Rifampicin resistance was attributable to SNPs in the rpoB hot-spot region. Isoniazid resistance was most commonly associated with the katG codon 315 (92%) mutation followed by inhA S94A (8%) however, one strain did not have SNPs in katG, inhA or oxyR-ahpC. All strains were pyrazimamide resistant but only 43% had pncA SNPs. Ethambutol resistant strains predominantly had embB codon 306 (62%) mutations, but additional SNPs at embB codons 406, 378 and 328 were also present. Fluoroquinolone resistance was associated with gyrA 91-94 codons in 81% of strains; four strains had only gyr B mutations, while others did not have SNPs in either gyrA or gyrB. Streptomycin resistant strains had mutations in ribosomal RNA genes; rpsL codon 43 (42%); rrs 500 region (16%), and gidB (34%) while six strains did not have mutations in any of these genes. Amikacin/kanamycin/capreomycin resistance was associated with SNPs in rrs at nt1401 (78%) and nt1484 (3%), except in seven (19%) strains. We estimate that if only the common hot-spot region targets of current commercial assays were used, the concordance between phenotypic and genotypic testing for these XDR strains would vary between rifampicin (100%), isoniazid (92%), flouroquinolones (81%), aminoglycoside (78%) and ethambutol (62%); while pncA sequencing would provide genotypic resistance in less than half the isolates. This work highlights the importance of expanded

  5. Whole Genome Sequencing Based Characterization of Extensively Drug-Resistant Mycobacterium tuberculosis Isolates from Pakistan

    KAUST Repository

    Ali, Asho

    2015-02-26

    Improved molecular diagnostic methods for detection drug resistance in Mycobacterium tuberculosis (MTB) strains are required. Resistance to first- and second- line anti-tuberculous drugs has been associated with single nucleotide polymorphisms (SNPs) in particular genes. However, these SNPs can vary between MTB lineages therefore local data is required to describe different strain populations. We used whole genome sequencing (WGS) to characterize 37 extensively drug-resistant (XDR) MTB isolates from Pakistan and investigated 40 genes associated with drug resistance. Rifampicin resistance was attributable to SNPs in the rpoB hot-spot region. Isoniazid resistance was most commonly associated with the katG codon 315 (92%) mutation followed by inhA S94A (8%) however, one strain did not have SNPs in katG, inhA or oxyR-ahpC. All strains were pyrazimamide resistant but only 43% had pncA SNPs. Ethambutol resistant strains predominantly had embB codon 306 (62%) mutations, but additional SNPs at embB codons 406, 378 and 328 were also present. Fluoroquinolone resistance was associated with gyrA 91-94 codons in 81% of strains; four strains had only gyr B mutations, while others did not have SNPs in either gyrA or gyrB. Streptomycin resistant strains had mutations in ribosomal RNA genes; rpsL codon 43 (42%); rrs 500 region (16%), and gidB (34%) while six strains did not have mutations in any of these genes. Amikacin/kanamycin/capreomycin resistance was associated with SNPs in rrs at nt1401 (78%) and nt1484 (3%), except in seven (19%) strains. We estimate that if only the common hot-spot region targets of current commercial assays were used, the concordance between phenotypic and genotypic testing for these XDR strains would vary between rifampicin (100%), isoniazid (92%), flouroquinolones (81%), aminoglycoside (78%) and ethambutol (62%); while pncA sequencing would provide genotypic resistance in less than half the isolates. This work highlights the importance of expanded

  6. Antimicrobial resistance, class 1 integrons, and genomic island 1 in Salmonella isolates from Vietnam.

    Directory of Open Access Journals (Sweden)

    An T T Vo

    Full Text Available BACKGROUND: The objective was to investigate the phenotypic and genotypic resistance and the horizontal transfer of resistance determinants from Salmonella isolates from humans and animals in Vietnam. METHODOLOGY/PRINCIPAL FINDINGS: The susceptibility of 297 epidemiologically unrelated non-typhoid Salmonella isolates was investigated by disk diffusion assay. The isolates were screened for the presence of class 1 integrons and Salmonella genomic island 1 by PCR. The potential for the transfer of resistance determinants was investigated by conjugation experiments. Resistance to gentamicin, kanamycin, chloramphenicol, streptomycin, trimethoprim, ampicillin, nalidixic acid, sulphonamides, and tetracycline was found in 13 to 50% of the isolates. Nine distinct integron types were detected in 28% of the isolates belonging to 11 Salmonella serovars including S. Tallahassee. Gene cassettes identified were aadA1, aadA2, aadA5, bla(PSE-1, bla(OXA-30, dfrA1, dfrA12, dfrA17, and sat, as well as open reading frames with unknown functions. Most integrons were located on conjugative plasmids, which can transfer their antimicrobial resistance determinants to Escherichia coli or Salmonella Enteritidis, or with Salmonella Genomic Island 1 or its variants. The resistance gene cluster in serovar Emek identified by PCR mapping and nucleotide sequencing contained SGI1-J3 which is integrated in SGI1 at another position than the majority of SGI1. This is the second report on the insertion of SGI1 at this position. High-level resistance to fluoroquinolones was found in 3 multiresistant S. Typhimurium isolates and was associated with mutations in the gyrA gene leading to the amino acid changes Ser83Phe and Asp87Asn. CONCLUSIONS: Resistance was common among Vietnamese Salmonella isolates from different sources. Legislation to enforce a more prudent use of antibiotics in both human and veterinary medicine should be implemented by the authorities in Vietnam.

  7. Genomic Comparison of Escherichia coli O104:H4 Isolates from 2009 and 2011 Reveals Plasmid, and Prophage Heterogeneity, Including Shiga Toxin Encoding Phage stx2

    Science.gov (United States)

    2012-11-01

    Testing Broth microdilution (SensititreH, Trek Diagnostics, Westlake, OH) was used to determine the minimum inhibitory concentra- tions (MIC) for 15...kanamycin, nalidixic acid, streptomycin, sulfisoxazole, tetracycline, and trimethoprim -sulfa- methoxazole. Resistance was defined by the Clinical and...S1. Most phenotypes of the three strains were similar. Antibiotic Resistance Antibiotic resistance profiles were determined for all three strains

  8. Operation of a RPC with low resistivity bakelite for the endcap region of CMS in a high-rate environment

    CERN Document Server

    Ahn, S H; Hong, B; Hong, S J; Kang, D H; Kim, T J; Lee, K S; Park, S; Park, W J; Ryu, M S; Shim, H H; Sim, K S; Youn, S W; Kim, Y J; Kim, Y U; Nam, S K; Jung, S R; Lee, Y L; Rhee, J T; Lee, S J; Koo, D G; Bahk, S Y

    2002-01-01

    We present the beam-test results for a real-size prototype resistive plate chamber (RPC) for the endcap region of the Compact Muon Solenoid (CMS) experiment at CERN Large Hadron Collider (LHC). The chamber built with relatively low resistivity bakelite was tested at the Gamma Irradiation Facility (GIF) at CERN under a high photon-flux environment with an effective cluster rate up to about 1.2 kHz/cm/sup 2/. The characteristics of the present chamber are compatible with the previous results for the muon detection efficiency, time resolution, mean travel time, and rate capability, which were obtained using a higher resistivity bakelite. The present beam-test results ensure that the RPC made of relatively low resistivity bakelite is also suitable for the CMS muon trigger detector. In addition, we study the position resolution of the endcap RPC of the CMS. By using the median position of the cluster strips, the position resolution can be reduced to only half of the strip width. (15 refs).

  9. Characterization of multiple antibiotic resistant clinical strains of Staphylococcus isolated from pregnant women vagina.

    Science.gov (United States)

    Hetsa, Bakwena Ashton; Kumar, Ajay; Ateba, Collins Njie

    2018-03-29

    Vagina which is one of the important reservoirs for Staphylococcus and in pregnant women pathogenic strains may infect the child during the birth or by vertical transmission. A total of 68 presumptive Staphylococcus strains isolated from human vagina were found to be gram-positive cocci, and only 32 (47%) isolates were found beta-hemolytic. Matrix-assisted laser desorption/ionization time-of-flight mass-spectrometry (MALDI-TOF MS) results confirmed 33 isolates belonged to Staphylococcus which consisting of 6 species, i.e., S. aureus (14), S. vitulinus (7), S. epidermidis (4), S cohnii (3), S. equorum (3), and S. succinus (2). Further, the result of antibiotic susceptibility tests showed that large proportions (76%-100%) of the isolates were resistant to multiple antibiotics and more often resistant to penicillin (100%), ampicillin (100%), oxacillin (97%), oxytetracycline (97%), vancomycin (97%), rifampin (85%), erythromycin (82%), and streptomycin (76%). In the present study, only the sec enterotoxin gene was detected in four S. aureus strains. DNA fingerprints of the 33 isolates that were generated using random amplified polymorphic DNA (RAPD) and enterobacterial repetitive intergenic consensus (ERIC) PCR analysis revealed great genetic relatedness of isolates. High prevalence of vaginal colonization with multiple antibiotic-resistant staphylococci among pregnant women was observed which were emerged from the single respective species clones that underwent evolution. The vertical transmission of these multiple antibiotic-resistant Staphylococcus species to the infant is possible; therefore, the findings of this study emphasize the need for regular surveillance of antibiotic-resistant bacterial strains in pregnant women in this area.

  10. 75 FR 16102 - Kasugamycin; Receipt of Application for Emergency Exemption, Solicitation of Public Comment

    Science.gov (United States)

    2010-03-31

    ... pesticide manufacturer. Potentially affected entities may include, but are not limited to: Crop production... control streptomycin-resistant strains of Erwinia amylovora, the causal pathogen of fire blight, due to...

  11. 77 FR 791 - Kasugamycin; Receipt of Application for Emergency Exemption for Use on Apples in Michigan...

    Science.gov (United States)

    2012-01-06

    ..., or pesticide manufacturer. Potentially affected entities may include, but are not limited to: Crop... kasugamycin is needed to control streptomycin-resistant strains of Erwinia amylovora, the causal pathogen of...

  12. Recombination Rate Heterogeneity within Arabidopsis Disease Resistance Genes.

    Science.gov (United States)

    Choi, Kyuha; Reinhard, Carsten; Serra, Heïdi; Ziolkowski, Piotr A; Underwood, Charles J; Zhao, Xiaohui; Hardcastle, Thomas J; Yelina, Nataliya E; Griffin, Catherine; Jackson, Matthew; Mézard, Christine; McVean, Gil; Copenhaver, Gregory P; Henderson, Ian R

    2016-07-01

    Meiotic crossover frequency varies extensively along chromosomes and is typically concentrated in hotspots. As recombination increases genetic diversity, hotspots are predicted to occur at immunity genes, where variation may be beneficial. A major component of plant immunity is recognition of pathogen Avirulence (Avr) effectors by resistance (R) genes that encode NBS-LRR domain proteins. Therefore, we sought to test whether NBS-LRR genes would overlap with meiotic crossover hotspots using experimental genetics in Arabidopsis thaliana. NBS-LRR genes tend to physically cluster in plant genomes; for example, in Arabidopsis most are located in large clusters on the south arms of chromosomes 1 and 5. We experimentally mapped 1,439 crossovers within these clusters and observed NBS-LRR gene associated hotspots, which were also detected as historical hotspots via analysis of linkage disequilibrium. However, we also observed NBS-LRR gene coldspots, which in some cases correlate with structural heterozygosity. To study recombination at the fine-scale we used high-throughput sequencing to analyze ~1,000 crossovers within the RESISTANCE TO ALBUGO CANDIDA1 (RAC1) R gene hotspot. This revealed elevated intragenic crossovers, overlapping nucleosome-occupied exons that encode the TIR, NBS and LRR domains. The highest RAC1 recombination frequency was promoter-proximal and overlapped CTT-repeat DNA sequence motifs, which have previously been associated with plant crossover hotspots. Additionally, we show a significant influence of natural genetic variation on NBS-LRR cluster recombination rates, using crosses between Arabidopsis ecotypes. In conclusion, we show that a subset of NBS-LRR genes are strong hotspots, whereas others are coldspots. This reveals a complex recombination landscape in Arabidopsis NBS-LRR genes, which we propose results from varying coevolutionary pressures exerted by host-pathogen relationships, and is influenced by structural heterozygosity.

  13. Genetic diversity, anti-microbial resistance, plasmid profile and frequency of the Vi antigen in Salmonella Dublin strains isolated in Brazil.

    Science.gov (United States)

    Vilela, F P; Frazão, M R; Rodrigues, D P; Costa, R G; Casas, M R T; Fernandes, S A; Falcão, J P; Campioni, F

    2018-02-01

    Salmonella Dublin is strongly adapted to cattle causing enteritis and/or systemic disease with high rates of mortality. However, it can be sporadically isolated from humans, usually causing serious disease, especially in patients with underlying chronic diseases. The aim of this study was to molecularly type S. Dublin strains isolated from humans and animals in Brazil to verify the diversity of these strains as well as to ascertain possible differences between strains isolated from humans and animals. Moreover, the presence of the capsular antigen Vi and the plasmid profile was characterized in addition to the anti-microbial resistance against 15 drugs. For this reason, 113 S. Dublin strains isolated between 1983 and 2016 from humans (83) and animals (30) in Brazil were typed by PFGE and MLVA. The presence of the capsular antigen Vi was verified by PCR, and the phenotypic expression of the capsular antigen was determined serologically. Also, a plasmid analysis for each strain was carried out. The strains studied were divided into 35 different PFGE types and 89 MLVA-types with a similarity of ≥80% and ≥17.5%, respectively. The plasmid sizes found ranged from 2 to >150 kb and none of the strains studied presented the capsular antigen Vi. Resistance or intermediate resistance was found in 23 strains (20.3%) that were resistant to ampicillin, ciprofloxacin, chloramphenicol, imipenem, nalidixic acid, piperacillin, streptomycin and/or tetracycline. The majority of the S. Dublin strains studied and isolated over a 33-year period may descend from a common subtype that has been contaminating humans and animals in Brazil and able to cause invasive disease even in the absence of the capsular antigen. The higher diversity of resistance phenotypes in human isolates, as compared with animal strains, may be a reflection of the different anti-microbial treatments used to control S. Dublin infections in humans in Brazil. © 2017 Blackwell Verlag GmbH.

  14. Effects of fast-velocity eccentric resistance training on early and late rate of force development

    DEFF Research Database (Denmark)

    Oliveira, Anderson S.C.; Corvino, Rogério Bulhões; Caputo, Fabrizio

    2016-01-01

    This study examined whether short-term maximal resistance training employing fast-velocity eccentric knee extensor actions would induce improvements in maximal isometric torque and rate of force development (RFD) at early (phases (>100 ms) of rising torque. Twenty healthy men were......, no changes in the late phase of incremental RFD were observed in TG. No changes were found in the CG. In summary, we have demonstrated, in active individuals, that a short period of resistance training performed with eccentric fast-velocity isokinetic muscle contractions is able to enhance RFDINC and RFDREL...... assigned to two experimental groups: eccentric resistance training (TG) or control (CG). Participants on the TG trained three days a week for a total of eight weeks. Training consisted of maximal unilateral eccentric knee extensors actions performed at 180°s-1. Maximal isometric knee extensor torque (MVC...

  15. Human isolates of Salmonella enterica serovar Typhimurium from Taiwan displayed significantly higher levels of antimicrobial resistance than those from Denmark.

    Science.gov (United States)

    Torpdahl, Mia; Lauderdale, Tsai-Ling; Liang, Shiu-Yun; Li, Ishien; Wei, Sung-Hsi; Chiou, Chien-Shun

    2013-02-01

    Salmonella enterica serovar Typhimurium is a major zoonotic pathogen with a high prevalence of antimicrobial resistance. This pathogen can disseminate across borders and spread far distances via the food trade and international travel. In this study, we compared the genotypes and antimicrobial resistance of 378 S. Typhimurium isolates collected in Taiwan and Denmark between 2009 and 2010. Genotyping revealed that many S. Typhimurium strains were concurrently circulating in Taiwan, Denmark and other countries in 2009 and 2010. When compared to the isolates collected from Denmark, the isolates from Taiwan displayed a significantly higher level of resistance to 11 of the 12 tested antimicrobials. Seven genetic clusters (A-G) were designated for the isolates. A high percentage of the isolates in genetic clusters C, F and G were multidrug-resistant. Of the isolates in cluster C, 79.2% were ASSuT-resistant, characterized by resistance to ampicillin, streptomycin, sulfamethoxazole, and tetracycline. In cluster F, 84.1% of the isolates were ACSSuT-resistant (resistant to ASSuT and chloramphenicol). Cluster G was unique to Taiwan and characterized in most isolates by the absence of three VNTRs (ST20, ST30 and STTR6) as well as a variety of multidrug resistance profiles. This cluster exhibited very high to extremely high levels of resistance to several first-line drugs, and among the seven clusters, it displayed the highest levels of resistance to cefotaxime and ceftazidime, ciprofloxacin and gentamicin. The high prevalence of antimicrobial resistance in S. Typhimurium from Taiwan highlights the necessity to strictly regulate the use of antimicrobials in the agriculture and human health care sectors. Copyright © 2012 Elsevier B.V. All rights reserved.

  16. Antimicrobial resistance and virulence genes in enterococci from wild game meat in Spain.

    Science.gov (United States)

    Guerrero-Ramos, Emilia; Cordero, Jorge; Molina-González, Diana; Poeta, Patrícia; Igrejas, Gilberto; Alonso-Calleja, Carlos; Capita, Rosa

    2016-02-01

    A total of 55 enterococci (45 Enterococcus faecium, 7 Enterococcus faecalis, and three Enterococcus durans) isolated from the meat of wild game animals (roe deer, boar, rabbit, pheasant, and pigeon) in North-Western Spain were tested for susceptibility to 14 antimicrobials by the disc diffusion method. All strains showed a multi-resistant phenotype (resistance to between three and 10 antimicrobials). The strains exhibited high percentages of resistance to erythromycin (89.1%), tetracycline (67.3%), ciprofloxacin (92.7%), nitrofurantoin (67.3%), and quinupristin-dalfopristin (81.8%). The lowest values (9.1%) were observed for high-level resistance to gentamicin, kanamycin, and streptomycin. The average number of resistances per strain was 5.8 for E. faecium isolates, 7.9 for E. faecalis, and 5.7 for E. durans. Genes encoding antimicrobial resistance and virulence were studied by polymerase chain reaction. A total of 15 (57.7%) of the 26 vancomycin-resistant isolates harboured the vanA gene. Other resistance genes detected included vanB, erm(B) and/or erm(C), tet(L) and/or tet(M), acc(6')-aph(2″), and aph(3')-IIIa in strains resistant to vancomycin, erythromycin, tetracycline, gentamicin, and kanamycin, respectively. Specific genes of the Tn5397 transposon were detected in 54.8% of the tet(M)-positive enterococci. Nine virulence factors (gelE, agg, ace, cpd, frs, esp, hyl, efaAfs and efaAfm) were studied. All virulence genes, with the exception of the frs gene, were found to be present in the enterococcal isolates. At least one virulence gene was detected in 20.0% of E. faecium, 71.4% of E. faecalis and 33.3% of E. durans isolates, with ace and cpd being the most frequently detected genes (6 isolates each). This suggests that wild game meat might play a role in the spreading through the food chain of enterococci with antimicrobial resistance and virulence determinants to humans. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Progress in selection for sodium chloride, 2,4-D dichlorophenoxy acetic acid (2,4-D) and streptomycin tolerance in Citrus sinensis ovular callus lines

    International Nuclear Information System (INIS)

    Kochba, J.; Spiegel-Roy, P.

    1982-01-01

    Citrus sinensis (cultivar Shamouti) nucellar embryogenic callus lines with greatly increased tolerance to salinity (NaCl), 2,4-D and streptomycin were selected. Selected lines were found stable after removal of selection pressure. Gamma irradiation at 8-16 kR was also employed and found to speed up selections. Embryos from NaCl and 2,4-D tolerant lines also showed increased tolerance. Embryogenesis in selected lines, suppressed during selection procedures, was regained by growing cultures in the presence of galactose or lactose as the sole carbon source. A schedule was worked out furthering development of embryos into plantlets. Conditions for adventive shoot formation from embryonic shoot segments were established, thus allowing cloning of embryos. A procedure was worked out for suspension culture and agar plating of cell groups. (author)

  18. The phenotypic and genotypic characteristics of antibiotic resistance in Escherichia coli populations isolated from farm animals with different exposure to antimicrobial agents.

    Science.gov (United States)

    Mazurek, Justyna; Pusz, Paweł; Bok, Ewa; Stosik, Michał; Baldy-Chudzik, Katarzyna

    2013-01-01

    The aim of the study was to determine the influence of the presence or the absence of antibiotic input on the emergence and maintenance of resistance in commensal bacteria from food producing animals. The research material constituted E. coli isolates from two animal species: swine at different age from one conventional pig farm with antibiotic input in young pigs and from beef and dairy cattle originated from organic breeding farm. The sensitivity to 16 antimicrobial agents was tested, and the presence of 15 resistance genes was examined. In E. coli from swine, the most prevalent resistance was resistance to streptomycin (88.3%), co-trimoxazole (78.8%), tetracycline (57.3%) ampicillin (49.3%) and doxycycline (44.9%) with multiple resistance in the majority. The most commonly observed resistance genes were: bla(TEM) (45.2%), tetA (35.8%), aadA1 (35.0%), sul3 (29.5%), dfrA1 (20.4%). Differences in phenotypes and genotypes of E. coli between young swine undergoing prevention program and the older ones without the antibiotic pressure occurred. A disparate resistance was found in E. coli from cattle: cephalothin (36.9%), cefuroxime (18.9%), doxycycline (8.2%), nitrofurantoin (7.7%), and concerned mainly dairy cows. Among isolates from cattle, multidrug resistance was outnumbered by resistance to one or two antibiotics and the only found gene markers were: bla(SHV), (3.4%), tetA (1.29%), bla(TEM) (0.43%) and tetC (0.43%). The presented outcomes provide evidence that antimicrobial pressure contributes to resistance development, and enteric microflora constitutes an essential reservoir of resistance genes.

  19. Antimicrobial Resistance Characteristics and Phylogenetic Groups of Escherichia coli Isolated From Diarrheic Calves in Southeast of Iran

    Directory of Open Access Journals (Sweden)

    Zahedeh Naderi

    2016-11-01

    Full Text Available Background: Antimicrobial resistance is one of the main challenges in diarrheal diseases in human and animals. Regardless to the main reason of the disease, approximately all antimicrobial actions including treatment, control and prevention are mostly centralized against Escherichia coli (E. coli strains. Objectives: This work purposed to antimicrobial resistance (AR and determinate virulence genes and phylogenetic groups in E. coli isolates (n=170 obtained from calves with diarrhea. Materials and methods: Isolates were molecular characterized for 17 AR genes and 3 phylogenetic sequences. AR phenotyping were performed on all strains for 12 antimicrobial agents by using disc diffusion method. Results: All AR genes but qnrS were identified with different prevalence in E. coli isolates that the most common genes were aadA (20%, blaTEM (11.7% and sulII (11.2 % belonging to aminoglycoside, β-lactamase and sulphonamide families, respectively. Resistance to the penicillin and sulphamethoxazole drugs was found in 100% of isolates and followed by tetracycline (73.5%, streptomycin (60%, trimethoprim sulphamethoxazole (56.5% and kanamycin (53.5%. The phylogenetic groups A and B1 considerably surrounded the majority of isolates with the frequency of 65.8% and 30.6%, respectively. Conclusions: In Iran, diarrheic calves have an important role as reservoir of resistant E. coli strains against the some drugs which are registered for treatment of calf diarrhea.

  20. Time trends in Staphylococcus aureus bacteremia, 1988-2010, in a tertiary center with high methicillin resistance rates.

    Science.gov (United States)

    Yahav, Dafna; Shaked, Hila; Goldberg, Elad; Yassin, Sharief; Eliakim-Raz, Noa; Paul, Mical; Bishara, Jihad; Leibovici, Leonard

    2017-02-01

    Changes in the epidemiology of Staphylococcus aureus bacteremia (SAB) have been described in recent decades. Decreased mortality has been reported over time, mostly from countries with low methicillin resistance rates. We aimed to describe time trends in SAB in a tertiary center with high methicillin resistance rates. We retrospectively analyzed 1692 patients with SAB, and compared between three time periods: 1988-1994 (342 patients), 1998-2004 (597 patients) and 2005-2010 (753 patients). In our cohort, 30 days mortality increased significantly with time, reaching 42.9 % during 2005-2010. The latter period was characterized by higher rates of older patients (35.1 % aged 80 years and older), with lower functional capacity (46.5 % bedridden) and higher rates of comorbidities (33.6 % renal disease, 24.8 % heart failure, 19.0 % dementia). These patients were more likely to be ventilated (18.7 %) and carry a urinary catheter at presentation (46.6 %); present with septic shock (15.9 %) and have pneumonia (20.5 %) or endocarditis (7.2 %) as source. Similar characteristics were found among patients younger than 50 years and with independent functional status. No significant increase in methicillin resistant Staph aureus (MRSA) rates or inappropriate empirical therapy was demonstrated during 2005-2010. In our cohort, increased mortality in recent years in patients with SAB can be explained by baseline condition of patients. MRSA or inappropriate empiric therapy did not explain the increase in mortality. The patients afflicted with SAB changed over time. Epidemiology and outcomes of SAB vary with time and according to geographical location. External validity of studies should be taken into consideration.

  1. Molecular epidemiology and antimicrobial resistance of Salmonella Typhimurium DT104 on Ontario swine farms

    Science.gov (United States)

    Farzan, Abdolvahab; Friendship, Robert M.; Poppe, Cornelis; Martin, Laura; Dewey, Catherine E.; Funk, Julie

    2008-01-01

    This study was conducted to examine antimicrobial resistances, plasmid profiles, and pulsed-field gel electrophoresis patterns of 80 Salmonella Typhimurium (including var. Copenhagen) DT104 strains (including DT104a and DT104b) recovered from pig and environmental fecal samples on 17 swine farms in Ontario. No resistance was observed to amoxicillin/clavulanic acid, apramycin, carbadox, cephalothin, ceftriaxone, ceftiofur, cefoxitin, ciprofloxacin, nalidixic acid, trimethoprim, and tobramycin. However, the isolates exhibited resistance against 4 to 10 antimicrobials with the most frequent resistance being to sulfonamides (Su), ampicillin (A), streptomycin (S), spectinomycin (Sp), chloramphenicol (C), tetracycline (T), and florfenicol (F). Thirteen distinct resistance patterns were determined but 88% of isolates shared the typical resistance pattern “ACSpSSuT.” Twelve different plasmid profiles were observed; the 62 MDa virulence-associated plasmid was detected in 95% of the isolates. The 2.1 MDa plasmid was the second most frequent one, which was harbored by 65% isolates. The isolates were classified into 23 distinct genotypes by PFGE-SpeI + BlnI when difference in at least one fragment was defined as a distinct genotype. In total, 39 distinct “types” were observed when defining a “type” based on the combination of antimicrobial resistance, plasmid pattern, and PFGE-SpeI + BlnI for each isolate. The highest diversity was 0.96 (95% CI: 0.92, 0.96) for the “type” described above followed by 0.92 (95% CI: 0.88, 0.93) for PFGE-SpeI + BlnI. The diversity of DT104 isolates indicates there might be multiple sources for this microorganism on swine farms. This knowledge might be used to track these sources, as well as to study the extent of human salmonellosis attributed to pork compared to food products derived from other food-producing animals. PMID:18505209

  2. Evolution of Regions Containing Antibiotic Resistance Genes in FII-2-FIB-1 ColV-Colla Virulence Plasmids.

    Science.gov (United States)

    Moran, Robert A; Hall, Ruth M

    2018-05-01

    Three ColV virulence plasmids carrying antibiotic resistance genes were assembled from draft genome sequences of commensal ST95, ST131, and ST2705 Escherichia coli isolates from healthy Australians. Plasmids pCERC4, pCERC5, and pCERC9 include almost identical backbones containing FII-2 and FIB-1 replicons and the conserved ColV virulence region with an additional ColIa determinant. Only pCERC5 includes a complete, uninterrupted F-like transfer region and was able to conjugate. pCERC5 and pCERC9 contain Tn1721, carrying the tet(A) tetracycline resistance determinant in the same location, with Tn2 (bla TEM ; ampicillin resistance) interrupting the Tn1721 in pCERC5. pCERC4 has a Tn1721/Tn21 hybrid transposon carrying dfrA5 (trimethoprim resistance) and sul1 (sulfamethoxazole resistance) in a class 1 integron. Four FII-2:FIB-1 ColV-ColIa plasmids in the GenBank nucleotide database have a related transposon in the same position, but an IS26 has reshaped the resistance gene region, deleting 2,069 bp of the integron 3'-CS, including sul1, and serving as a target for IS26 translocatable units containing bla TEM , sul2 and strAB (streptomycin resistance), or aphA1 (kanamycin/neomycin resistance). Another ColV-ColIa plasmid containing a related resistance gene region has lost the FII replicon and acquired a unique transfer region via recombination within the resistance region and at oriT. Eighteen further complete ColV plasmid sequences in GenBank contained FIB-1, but the FII replicons were of three types, FII-24, FII-18, and a variant of FII-36.

  3. Molecular detection and antimicrobial resistance of diarrheagenic Escherichia coli strains isolated from diarrheal cases

    International Nuclear Information System (INIS)

    Aslani, Mehdi M.; Salmanzadeh-Ahrabi, S.; Jafari, F.; Zali, Reza M.; Mani, M.; Alikhani, Yousef M.

    2008-01-01

    Objective was to identify and classify Iranian isolates of diarrheagenic Escherichia coli (E. coli) on the basis of presence of virulence genes and to determine antibiotic susceptibility of isolated strains. The current cross-sectional study was conducted in 2005 at the Pasteur Institute, Tehran, Iran. One hundred and ninety-three diarrheagenic E. coli isolated from diarrheal patients in different regions of Iran were included in current study. Virulence factors genees for diarrheagenic E. coli were detected by polymerase chain reaction. Of the 193 diarrheagenic E. coli detected by PCR, 86(44.5%) were Shiga toxin-producing E. coli (STEC), 74 (38.4%) enteropathogenic E. coli (EPEC), 19 (9.8%) enteroaggregative E. coli and 14 (7.3%) enterotoxigenic E. coli isolates. Susceptibility to 12 clinically important antimicrobial agents was determined for 193 strains of diarrhheagenic E. coli. A high incidence of resistance to tetracycline (63%), ampicillin (62%), streptomycin (56%), amoxicillin/clavulanic acid (44.5%), trimetoprim/sulphamethoxazole (39.5%) and cephalothin (37%) was observed. The STEC and EPEC strains with high resistance to tetracycline and ampicillin but highly susceptible to quinolones are among the most important causative agent of diarrhea in Iran. This study suggests that antimicrobial resistance is wide spread among E. coli strains colonizing Iranian patients. Guidelines for appropriate use of antibiotics in developing countries require updating. (author)

  4. Study of resistance to deformation dependence on temperature and strain degree during working with different rates for ABM-1 alloy

    International Nuclear Information System (INIS)

    Kharlamov, V.V.; Dvinskij, V.M.; Vashlyaev, Eh.V.; Dyblenko, Z.A.; Khamatov, R.I.; Zverev, K.P.

    1981-01-01

    On the basis of approximation of the experimental curves partial differential equations relating ABM-1 alloy deformation resistance to the deformation parameters are obtained. Using statistical processing of the experimental data the regression equations of the dependence of the deformation resistance on temperature rate and relative reduction of the samples are found. In the 2.1-23.6 1/c deformation rate range hardening and weakening rates of the AMB-1 alloy increases with the increase of the latter. The data obtained permit to calculate the deformation parameters of the studied alloy for different processes of metal plastic working in the studied temperature range [ru

  5. Prevalence and characterization of multidrug-resistant zoonotic Enterobacter spp. in poultry of Bangladesh.

    Science.gov (United States)

    Nandi, Shuvro Prokash; Sultana, Munawar; Hossain, M Anwar

    2013-05-01

    Poultry and poultry products are major contributors of zoonotic pathogens. Limited data are available on Enterobacter spp. as a potent zoonotic pathogen in poultry. The present study is a first endeavor on the emergence of multidrug-resistant zoonotic Enterobacter spp. and its prevalence arising from poultry in Bangladesh. Cloacal swabs from poultry samples of five different farms at Savar, Dhaka, Bangladesh were collected and from 106 isolates, 18 presumptive Enterobacter spp. were obtained. Antibiogram using 19 used antibiotics belonging to 15 major groups revealed that all of the 18 isolates were completely resistant to penicillin and rifampicin, but differed in their drug resistance pattern against ampicillin (94.4%), clindamycin (94.4%), erythromycin (94.4%), vancomycin (88.9%), sulfonamides (72.2%), imipenem (66.6%), streptomycin (55.6%), nitrofurantoin (33.3%), doxycycline (33.3%), tetracyclines (33.3%), cefepime (11.1%), and gentamicin (5.6%). All Enterobacter spp. were found to be plasmid free, implying that multidrug-resistant properties are chromosomal borne. The vanA and sulI were detected by polymerase chain reaction assay in 17 and 13 isolates, respectively. Amplified ribosomal DNA restriction analysis and randomly amplified polymorphic DNA distributed the 18 multidrug-resistant Enterobacter spp. into three genotypes. Phylogenetic analysis of the representatives of the three genotypes using partial 16S rRNA gene sequence (approximately 900 bp) showed that the genotypically diverse groups belonged to Enterobacter hormaechei, E. cloacae, and E. cancerogenus, respectively. The clinical significance of the close relative Enterobacter spp. is indicative of their zoonotic potential. Therefore, urgent intervention is required to limit the emergence and spread of these bacteria in poultry feed as well as prudent use of antibiotics among poultry farmers in Bangladesh.

  6. Genetic diversity and antimicrobial resistance of Yersinia enterocolitica isolated from pigs and humans in Lithuania.

    Science.gov (United States)

    Novoslavskij, Aleksandr; Kudirkienė, Eglė; Marcinkutė, Audronė; Bajoriūnienė, Almina; Korkeala, Hannu; Malakauskas, Mindaugas

    2013-06-01

    Yersiniosis is one of the three leading foodborne zoonoses in Lithuania, and the incidence of 12.86 per 100,000 population was the highest among EU member states in 2010. Contaminated pig carcasses and subsequently undercooked pig meat are considered to be the primary transmission vehicle of enteropathogenic Y. enterocolitica to consumers. With the aim of evaluating pigs as a possible source of human yersiniosis in Lithuania, this study investigated the genetic diversity of Y. enterocolitica isolated from pigs and human cases of yersiniosis. In addition, the antimicrobial resistance of selected isolates from both sources was compared. In total, 83 Y. enterocolitica strains were characterised using pulsed field gel electrophoresis. Overall, 68% of Y. enterocolitica 4/O:3 pulsotypes found in human clinical samples were identical to 81% of pulsotypes found in the pig production chain. Yersinia enterocolitica pulsotype II was confirmed as the dominant pulsotype in the pig production chain and was identical to nine of 19 Y. enterocolitica strains found in humans. All tested Y. enterocolitica 4/O:3 strains were resistant to ampicillin and erythromycin and sensitive to ciprofloxacin. Of the strains studied, 5% were resistant to tetracycline and streptomycin. This study showed that pigs may be the main source of human yersiniosis in Lithuania. In addition, Y. enterocolitica 4/O:3 strains isolated from the pig production chain and from yersiniosis patients shared similar resistance to different antimicrobials. © 2012 Society of Chemical Industry.

  7. Drug-resistance patterns of Mycobacterium tuberculosis strains and associated risk factors among multi drug-resistant tuberculosis suspected patients from Ethiopia.

    Science.gov (United States)

    Mesfin, Eyob Abera; Beyene, Dereje; Tesfaye, Abreham; Admasu, Addisu; Addise, Desalegn; Amare, Miskir; Dagne, Biniyam; Yaregal, Zelalem; Tesfaye, Ephrem; Tessema, Belay

    2018-01-01

    Multidrug drug-resistant tuberculosis (MDR-TB) is a major health problem and seriously threatens TB control and prevention efforts globally. Ethiopia is among the 30th highest TB burden countries for MDR-TB with 14% prevalence among previously treated cases. The focus of this study was on determining drug resistance patterns of Mycobacterium tuberculosis among MDR-TB suspected cases and associated risk factors. A cross-sectional study was conducted in Addis Ababa from June 2015 to December 2016. Sputum samples and socio-demographic data were collected from 358 MDR-TB suspected cases. Samples were analyzed using Ziehl-Neelsen technique, GeneXpert MTB/RIF assay, and culture using Lowenstein-Jensen and Mycobacterial growth indicator tube. Data were analyzed using SPSS version 23. A total of 226 the study participants were culture positive for Mycobacterium tuberculosis, among them, 133 (58.8%) participants were males. Moreover, 162 (71.7%) had been previously treated for tuberculosis, while 128 (56.6%) were TB/HIV co-infected. A majority [122 (54%)] of the isolates were resistant to any first-line anti-TB drugs. Among the resistant isolates, 110 (48.7%) were determined to be resistant to isoniazid, 94 (41.6%) to streptomycin, 89 (39.4%) to rifampicin, 72 (31.9%) to ethambutol, and 70 (30.9%) to pyrazinamide. The prevalence of MDR-TB was 89 (39.4%), of which 52/89 (58.4%) isolates were resistance to all five first-line drugs. Risk factors such as TB/HIV co-infection (AOR = 5.59, p = 0.00), cigarette smoking (AOR = 3.52, p = 0.045), alcohol drinking (AOR = 5.14, p = 0.001) hospital admission (AOR = 3.49, p = 0.005) and visiting (AOR = 3.34, p = 0.044) were significantly associated with MDR-TB. The prevalence of MDR-TB in the study population was of a significantly high level among previously treated patients and age group of 25-34. TB/HIV coinfection, smoking of cigarette, alcohol drinking, hospital admission and health facility visiting were identified as risk factors

  8. Genotypic relatedness and antimicrobial resistance of Salmonella Heidelberg isolated from chickens and turkeys in the midwestern United States.

    Science.gov (United States)

    Nisar, Muhammad; Kassem, Issmat I; Rajashekara, Gireesh; Goyal, Sagar M; Lauer, Dale; Voss, Shauna; Nagaraja, Kakambi V

    2017-05-01

    Salmonella is one of the most common causes of foodborne illnesses in humans in the United States, and domestic poultry is considered an important source of this pathogen. Salmonella enterica subsp. enterica serovar Heidelberg is the fourth most commonly reported Salmonella from retail meats and food animals in the United States. We assessed the genotypes and antimicrobial resistance phenotypes of Salmonella Heidelberg isolated from various chicken and turkey hatcheries and breeder farms in the Midwest. The genotypes of 33 S. Heidelberg isolates from chickens ( n = 19) and turkeys ( n = 14) were compared using pulsed-field gel electrophoresis analysis. Cluster analysis of the fingerprints showed that the majority of the chicken isolates grouped together with 87% similarity; those from turkeys clustered with 88% similarity. Similarity between chicken and turkey isolates was also high (86%). Isolates from turkeys were generally more genetically diverse than those from chickens. Antimicrobial susceptibility analysis detected resistance to sulfisoxazole (36% of the isolates), streptomycin (33%), gentamicin (27%), tetracycline (24%), ampicillin and amoxicillin-clavulanic acid (15%), cefoxitin (12%), ceftriaxone and ceftiofur (12%), and chloramphenicol (9%). None of the isolates was resistant to azithromycin, ciprofloxacin, or nalidixic acid. Although the number of the isolates was limited in our study, we conclude that S. Heidelberg isolates from the same host generally clustered together and that a considerable number of the isolates were resistant to a number of antimicrobial agents.

  9. Dichromatic and monochromatic laser radiation effects on antibiotic resistance, biofilm formation, and division rate of Pantoea agglomerans

    Science.gov (United States)

    Thomé, A. M. C.; Souza, B. P.; Mendes, J. P. M.; Cardoso, A. F. R.; Soares, L. C.; Trajano, E. T. L.; Fonseca, A. S.

    2018-06-01

    Since infection is a common cause of delayed wound healing, it is important to understand the effect of low-level laser therapy (LLLT) in bacterial mechanisms. In this study we evaluated the effects of LLLT on antibiotic resistance, division rate, and biofilm formation of Pantoea agglomerans. P. agglomerans samples were isolated from human pressure injuries in humans and cultures were exposed to low-level monochromatic and simultaneous dichromatic laser radiation to study the susceptibility of an antimicrobial to ampicillin and piperacillin  +  tazobactam, quantification of areas of bacterial colonies, and biofilm formation of bacterial cells. Fluence, wavelength, and emission mode were used in the therapeutic protocols for wound healing. The data showed no changes in the areas of the colonies, but dichromatic laser radiation decreased biofilm formation, while a monochromatic red laser at low dose increased biofilm formation and infrared at high dose decreased antibiotic resistance to ampicillin. LLLT modulates antibiotic resistance and biofilm formation of P. agglomerans, but these depend on the laser irradiation parameters, since dichromatic laser radiation induces biological effects that differ from those induced by monochromatic laser radiation. Thus, simultaneous dichromatic low-level red and infrared lasers could be a new option for the treatment of infected wounds, reducing biofilm formation, without altering antibiotic resistance and the division rate of P. agglomerans cultures.

  10. Multiple drug resistance patterns in various phylogenetic groups of uropathogenic E.coli isolated from Faisalabad region of Pakistan

    Directory of Open Access Journals (Sweden)

    Saira Bashir

    2011-12-01

    Full Text Available The objective of this work was the phylogenetic characterization of local clinical isolates of uropathogenic E. coli with respect to drug resistance. A total of 59 uropathogenic E. coli responsible for community acquired urinary tract infections were included in this study. A triplex PCR was employed to segregate each isolate into four different phylogenetic groups (A, B1, B2 and D. Drug resistance was evaluated by disc diffusion method. The drugs used were ampicillin, aztreonam, cefixime, cefoperazone, ceftriaxone, cephradine among β-lactam group; amikacin, gentamicin, and streptomycin among aminoglycosides; nalidixic acid and ciprofloxacin from quinolones; trimethoprim-sulfomethoxazole, and tetracycline. Among 59 uropathogenic E. coli isolates majority belonged to phylogenetic group B2 (50% where as 19% each belonged to groups A and B1, and 12% to group D. All the isolates were multiple drug resistant (MDR. Most effective drugs against Group A, B1, and B2 were gentamicin, amikacin and cefixime; ceftriaxone and quinolones; and ceftriaxone and amikacin, respectively. Group D isolates were found to be highly resistant to all drugs. Our results have shown emergence of MDR isolates among uropathogenic E. coli with dominance of phylogenetic group B2. However, it was found that group D isolates were though less frequent, more drug resistant as compared with group B2. Groups A and B1 were relatively uncommon. Amikacin, ceftriaxone and gentamicin were the most effective drugs in general.

  11. Effects of Streptomycin Administration on Increases in Skeletal Muscle Fiber Permeability and Size Following Eccentric Muscle Contractions.

    Science.gov (United States)

    Hayao, Keishi; Tamaki, Hiroyuki; Nakagawa, Kouki; Tamakoshi, Keigo; Takahashi, Hideaki; Yotani, Kengo; Ogita, Futoshi; Yamamoto, Noriaki; Onishi, Hideaki

    2018-06-01

    The purpose of this study was to investigate the preventive effect of streptomycin (Str) administration on changes in membrane permeability and the histomorphological characteristics of damaged muscle fibers following eccentric contraction (ECC ). Eighteen 7-week-old male Fischer 344 rats were randomly assigned to three groups: control (Cont), ECC, and ECC with Str (ECC + Str). The tibialis anterior (TA) muscles in both ECC groups were stimulated electrically and exhibited ECC. Evans blue dye (EBD), a marker of muscle fiber damage associated with increased membrane permeability, was injected 24 hr before TA muscle sampling. The number of EBD-positive fibers, muscle fiber cross-sectional area (CSA), and roundness were determined via histomorphological analysis. The ECC intervention resulted in an increased fraction of EBD-positive fibers, a larger CSA, and decreased roundness. The fraction of EBD-positive fibers was 79% lower in the ECC + Str group than in the ECC group. However, there was no difference in the CSA and roundness of the EBD-positive fibers between the two ECC groups. These results suggest that Str administration can reduce the number of myofibers that increase membrane permeability following ECC, but does not ameliorate the extent of fiber swelling in extant EBD-positive fibers. Anat Rec, 301:1096-1102, 2018. © 2018 Wiley Periodicals, Inc. © 2018 Wiley Periodicals, Inc.

  12. Prevalence and Antimicrobial Resistance of Campylobacter Isolated from Dressed Beef Carcasses and Raw Milk in Tanzania.

    Science.gov (United States)

    Kashoma, Isaac P; Kassem, Issmat I; John, Julius; Kessy, Beda M; Gebreyes, Wondwossen; Kazwala, Rudovick R; Rajashekara, Gireesh

    2016-01-01

    Campylobacter species are commonly transmitted to humans through consumption of contaminated foods such as milk and meat. The aim of this study was to investigate the prevalence, antimicrobial resistance, and genetic determinants of resistance of Campylobacter isolated from raw milk and beef carcasses in Tanzania. The antimicrobial resistance genes tested included blaOXA-61 (ampicillin), aph-3-1 (aminoglycoside), tet(O) (tetracycline), and cmeB (multi-drug efflux pump). The prevalence of Campylobacter was 9.5% in beef carcasses and 13.4% in raw milk, respectively. Using multiplex-polymerase chain reaction (PCR), we identified 58.1% of the isolates as Campylobacter jejuni, 30.7% as Campylobacter coli, and 9.7% as other Campylobacter spp. One isolate (1.6%) was positive for both C. jejuni and C. coli specific PCR. Antimicrobial susceptibility testing using the disk diffusion assay and the broth microdilution method showed resistance to: ampicillin (63% and 94.1%), ciprofloxacin (9.3% and 11.8%), erythromycin (53.7% and 70.6%), gentamicin (0% and 15.7%), streptomycin (35.2% and 84.3%), and tetracycline (18.5% and 17.7%), respectively. Resistance to azithromycin (42.6%), nalidixic acid (64.8%), and chloramphenicol (13%) was determined using the disk diffusion assay only, while resistance to tylosin (90.2%) was quantified using the broth microdilution method. The blaOXA-61 (52.6% and 28.1%), cmeB (26.3% and 31.3%), tet(O) (26.3% and 31.3%), and aph-3-1 (5.3% and 3.0%) were detected in C. coli and C. jejuni. These findings highlight the extent of antimicrobial resistance in Campylobacter occurring in important foods in Tanzania. The potential risks to consumers emphasize the need for adequate control approaches, including the prudent use of antimicrobials to minimize the spread of antimicrobial-resistant Campylobacter.

  13. Prevalence and antimicrobial resistance profiles of S a l m o n e l l a isolates in apparently healthy slaughtered food animals at Maiduguri central abattoir, Nigeria

    Directory of Open Access Journals (Sweden)

    Saleh Mohammed Jajere

    2015-12-01

    Full Text Available Objective: To determine the prevalence and antimicrobial resistance profiles of Salmonella isolates in the mesenteric lymph nodes of apparently healthy slaughtered food animals at Maiduguri central abattoir, Nigeria. Methods: A total of 154 lymph nodes (cattle-54, camel-22, sheep-12, goats-66 were collected from slaughtered animals and analysed using standard microbiological and biochemical methods. Results: An overall prevalence of 39.0% [95% confidence interval (CI: 31.3–46.7] was obtained. The prevalence rate across studied species ranged from 24.2% (95% CI: 13.9–34.5 in goats to 61.1% (95% CI: 48.1–74.1 in cattle. There was statistically significant association between Salmonella infection and species of food animals (P 0.05. Younger animals had slightly higher prevalence (44.0%; 95% CI: 24.5–63.5 compared with adults (38.0%; 95% CI: 29.6–46.4 (P > 0.05. All isolates showed marked susceptibility to ciprofloxacin, cotrimoxazole and chloramphenicol. Whereas, high resistance patterns to ampicillin, kanamycin and streptomycin, and moderate resistance patterns to kanamycin and tetracycline were observed from camels. Conclusions: Salmonella is high in the mesenteric lymph nodes of apparently healthy slaughtered food animals in Maiduguri. Therefore, it is recommended that further studies should be carried out to identify the serotypes and phage typing of the isolates, and hazard analysis and critical control point should be applied in handling of meat and meat products to avoid the risk of foodborne salmonellosis as well as appropriate use of antibiotics like ciprofloxacin in food animals.

  14. Optimization of Nitrogen Rate and Planting Density for Improving Yield, Nitrogen Use Efficiency, and Lodging Resistance in Oilseed Rape

    Directory of Open Access Journals (Sweden)

    Shahbaz Khan

    2017-05-01

    Full Text Available Yield and lodging related traits are essential for improving rapeseed production. The objective of the present study was to investigate the influence of plant density (D and nitrogen (N rates on morphological and physiological traits related to yield and lodging in rapeseed. We evaluated Huayouza 9 for two consecutive growing seasons (2014–2016 under three plant densities (LD, 10 plants m−2; MD, 30 plants m−2; HD, 60 plants m−2 and four N rates (0, 60, 120, and 180 kg ha−1. Experiment was laid out in split plot design using density as a main factor and N as sub-plot factor with three replications each. Seed yield was increased by increasing density and N rate, reaching a peak at HD with 180 kg N ha−1. The effect of N rate was consistently positive in increasing the plant height, pod area index, 1,000 seed weight, shoot and root dry weights, and root neck diameter, reaching a peak at 180 kg N ha−1. Plant height was decreased by increasing D, whereas the maximum radiation interception (~80% and net photosynthetic rate were recorded at MD at highest N. Lodging resistance and nitrogen use efficiency significantly increased with increasing D from 10 to 30 plants m−2, and N rate up to 120 kg ha−1, further increase of D and N decreased lodging resistance and NUE. Hence, our study implies that planting density 30 plants m−2 can improve yield, nitrogen use efficiency, and enhance lodging resistance by improving crop canopy.

  15. Evaluation of Petrifilm™ Select E. coli Count Plate medium to discriminate antimicrobial resistant Escherichia coli

    Directory of Open Access Journals (Sweden)

    Jensen Lars

    2008-09-01

    Full Text Available Abstract Background Screening and enumeration of antimicrobial resistant Escherichia coli directly from samples is needed to identify emerging resistant clones and obtain quantitative data for risk assessment. Aim of this study was to evaluate the performance of 3M™ Petrifilm™ Select E. coli Count Plate (SEC plate supplemented with antimicrobials to discriminate antimicrobial-resistant and non-resistant E. coli. Method A range of E. coli isolates were tested by agar dilution method comparing the Minimal Inhibitory Concentration (MIC for eight antimicrobials obtained by Mueller-Hinton II agar, MacConkey agar and SEC plates. Kappa statistics was used to assess the levels of agreement when classifying strains as resistant, intermediate or susceptible. Results SEC plate showed that 74% of all strains agreed within ± 1 log2 dilution when comparing MICs with Mueller-Hinton II media. High agreement levels were found for gentamicin, ampicillin, chloramphenicol and cefotaxime, resulting in a kappa value of 0.9 and 100% agreement within ± 1 log2 dilution. Significant variances were observed for oxytetracycline and sulphamethoxazole. Further tests showed that the observed discrepancy in classification of susceptibility to oxytetracycline by the two media could be overcome when a plate-dependent breakpoint of 64 mg/L was used for SEC plates. For sulphamethoxazole, SEC plates provided unacceptably high MICs. Conclusion SEC plates showed good agreement with Mueller-Hinton II agar in MIC studies and can be used to screen and discriminate resistant E. coli for ampicillin, cephalothin, streptomycin, chloramphenicol, cefotaxime and gentamicin using CLSI standardized breakpoints, but not for sulphamethoxazole. SEC plates can also be used to discriminate oxytetracycline-resistant E. coli if a plate-dependent breakpoint value of 64 mg/L is used.

  16. Applications of ribosomal in situ hybridization for the study of bacterial cells in the mouse intestine

    DEFF Research Database (Denmark)

    Licht, Tine Rask; Poulsen, Lars Kongsbak; Molin, Søren

    1997-01-01

    Localization of E. coli and S. typhimurium in the large and small intestine of streptomycin-treated mice was visualized by in situ hybridization with specific rRNA target probes and epi-fluorescence microscopy. Growth rates of E. coli BJ4 colonizing the large intestine of streptomycin-treated mic...

  17. Occurrence of Salmonella in ruminants and camel meat in Maiduguri, Nigeria and their antibiotic resistant pattern

    Directory of Open Access Journals (Sweden)

    Zakaria Musa

    2017-09-01

    Full Text Available Objective: This study was conducted to determine the occurrence of Salmonella in various meat products (beef from cattle, chevon from goats, mutton from sheep and jaziir from camel, by screening the various selling points which includes; meat retailers in abattoir, markets and shops in Maiduguri and its environs. Materials and methods: A total of 120 samples of fresh meat from cattle, sheep, goats and camels sampled from ten meat retailers in abattoir, markets and shops in the Maiduguri metropolis, using simple random sampling technique. All samples were processed and examined according to standard bacteriological protocols. Results: Percentage occurrence of Salmonella species had the highest value of 15 (50.1% from the market, found in sheep, while the lowest occurrence of Salmonella species was associated with 3(10.0% in goats sampled from shop meat.. Antibiotic susceptibility pattern of Salmonella species from cattle meat revealed high resistant to Erythromycin (52%. In sheep, the higher percentage of resistance occurred against Ampicillin (33.3% and less resistant to Amoxicillin (4% was obtained. The isolates from camel meat recorded 25% resistant against Ampicillin, Gentamycin and 12.5% to Streptomycin. A total of 28.4% of the isolates were resistant to Ampicillin, Gentamycin and 23.1% to Ofloxacin. Conclusion: The study has shown that Salmonella species are present in fresh meat sold in abattoir, retail markets and shops. We recommend strict hygienic measures in places where fresh meat are sold in Maiduguri metropolis, Nigeria to ensure consumers right to have safe food. [J Adv Vet Anim Res 2017; 4(3.000: 227-233

  18. Nasal and hand carriage rate of methicillin resistant Staphylococcus aureus (MRSA) among health care workers in Mekelle Hospital, North Ethiopia.

    Science.gov (United States)

    Gebreyesus, Araya; Gebre-Selassie, Solomon; Mihert, Adane

    2013-01-01

    Methicillin resistant Staphylococcus aureus (MRSA) is significant major pathogen responsible for hospital and community based infections. The aim of this study was to assess the nasal and hand carriage of methicillin resistant Staphylococcus aureus in health care workers of Mekelle Hospital The study was carried out during November 2010 to January 2011. Swab samples from both anterior nares and hands were taken. The samples were cultured on mannitol salt agar and incubated aerobically at 37 degrees C for 48 hours. Staphylococcus aureus was identified as nmannitol fermenter and coagulase test positive. Antimicrobial susceptibility test for MRSA was done by disk diffusion method using oxacillin disks. Data were analysed using SPSS version 16 software. Out of the 177 health care workers screened, 36 (20.3%) of them were methicillin resistant Staphylococcus aureus carriers in their hand and anterior nares. More females, 25(14.1%) were colonized by methicillin resistant Staphylococcus aureus than males 11 (6.2%) (P = 0.044). Nasal carriage of MRSA of 25 (14.1%) was higher than hand carriage 11 (6.2%) (p resistant Staphylococcus aureus carriage rates of 26 (13.6%) and 4 (2.3%), respectively. The isolated MRSA were resistant to multiple antibiotics. The highest resistance was observed for ampicillin (88.9%) and tetracycline (86.1%). Two (5.6%) of the nasal isolates were vancomycin resistant. Methicillin resistant Staphylococcus aureus carriage among health care workers in this study was high. The carriage rate was higher among nurses and doctors. The MRSA isolates were multi drug resistant to other antibiotics. So, the result of this study emphasizes the need of regular surveillance of health care workers. It also calls a need for an effective infection prevention and control program.

  19. Antibacterial properties of Chinese herbal medicines against nosocomial antibiotic resistant strains of Pseudomonas aeruginosa in Taiwan.

    Science.gov (United States)

    Liu, Ching-Shen; Cham, Thau-Ming; Yang, Cheng-Hong; Chang, Hsueh-Wei; Chen, Chia-Hong; Chuang, Li-Yeh

    2007-01-01

    Pseudomonas aeruginosa is well-recognized as a nosocomial pathogen, which exhibits inherent drug resistance. In this study, the antibacterial activity of ethanol extracts of 58 Chinese herbal medicines used in Taiwan were tested against 89 nosocomial antibiotic resistant strains of Pseudomonas aeruginosa. The results gathered by the disc diffusion method showed that 26 out of the 58 herbal extracts exhibited antibacterial activity. Among the 26 herbal extracts, 10 extracts showed broad-spectrum antibacterial activities and were selected for further antibacterial property assay. The minimum inhibitory concentrations (MIC) of the active partition fractions ranged from 0.25 to 11.0 mg/L. The presence of flavonoid compounds in the active fractions of test herbal extracts was observed by the TLC-bioautography. The results from the time-kill assay revealed that most of the herbal extracts completely killed the test organisms within 4 hours. Exposure of the test strains to a sub-MIC level of the herbal extracts for 10 consecutive subcultures did not induce resistance to the active components. A combination of the active herbal fractions with antibiotics showed that one of the herbal medicines, the hexane fraction of Ramulus Cinnamomi, possessed a synergistic effect with tetracycline, gentamycin, and streptomycin. In conclusion, the tested Chinese medical herbs have the potential to be developed into natural antibiotics. This is the first evaluation for screening large amounts of medical plants against nosocomial antibiotic resistant bacteria in Taiwan.

  20. Influence of heating rate on corrosion behavior of Ni-base heat resistant alloys in simulated VHTR helium environment

    International Nuclear Information System (INIS)

    Kurata, Yuji; Kondo, Tatsuo

    1985-04-01

    The influence of heating rate on corrosion and carbon transfer was studied for Ni-base heat resistant alloys exposed to simulated VHTR(very high temperature reactor) coolant environment. Special attention was focused to relationship between oxidation and carburization at early stage of exposure. Tests were conducted on two heats of Hastelloy XR with different boron(B) content and the developmental alloys, 113MA and KSN. Two kinds of heating rates, i.e. 80 0 C/min and 2 0 C/min, were employed. Corrosion tests were carried out at 900 0 C up to 500 h in JAERI Type B helium, one of the simulated VHTR primary coolant specifications. Under higher heating rate, oxidation resistance of both heats of Hastelloy XR(2.8 ppmB and 40 ppmB) were equivalent and among the best, then KSN and 113MA followed in the order. Under lower heating rate only alloy, i.e. Hastelloy XR with 2.8 ppmB, showed some deteriorated oxidation resistance while all others being unaffected by the heating rate. On the other hand the carbon transfer behavior showed strong dependence on the heating rate. In case of higher heating rate, significant carburization occured at early stage of exposure and thereafter the progress of carburization was slow in all the alloys. On the other hand only slow carburization was the case throughout the exposure in case of lower heating rate. The carburization in VHTR helium environment was interpreted as to be affected by oxide film formation in the early stage of exposure. The carbon pick-up was largest in Hastelloy XR with 40 ppmB and it was followed by Hastelloy XR with 2.8 ppmB. 113MA and KSN were carburized only slightly. The observed difference of carbon pick-up among the alloys tested was interpreted to be attributed mainly to the difference of the carbon activity, the carbide precipitation characteristics among the alloys tested. (author)

  1. [Epidemiological characteristics of typhoid fever and antibiotic susceptibility testing of Salmonella Typhi isolates in Guangxi, 1994-2013].

    Science.gov (United States)

    Wang, Mingliu; Kan, Biao; Yang, Jin; Lin, Mei; Yan, Meiying; Zeng, Jun; Quan, Yi; Liao, Hezhuang; Zhou, Lingyun; Jiang, Zhenling; Huang, Dehui

    2014-08-01

    Through analyzing the typhoid epidemics and to determine and monitor regional resistance characteristics of the shift of drug resistant profile on Salmonella (S.) Typhi, to understand the related epidemiological characteristics of typhoid fever and to provide evidence for the development of strategies, in Guangxi. Data of typhoid fever from surveillance and reporting system between 1994 to 2013 was collected and statistically analyzed epidemiologically. The susceptibility of 475 S. Typhi isolates from patients on ten antibiotics was tested by broth micro-dilution method and minimum inhibition concentration was obtained and interpreted based on the CLSI standard. From 1994 to 2013, a total of 57 928 cases of typhoid fever were reported in Guangxi province with an annual incidence of 6.29/100 000 and mortality as 0.03%. The higher incidence was observed in the population under 20 years of age. There was no significant difference on incidence between male and female, but farmers and students were among the hardest hit groups. More cases were seen from the northern part of the province. Cases appeared all year round with the peak from May to October. A total of 13 major outbreaks during 2001 to 2013 were reported and the main transmission route was water-borne. All the strains were sensitive to third generation cephalosporins cefotaxime and fluoroquinolones norfloxacin. The susceptibility rates to tetracycline, chloramphenicol, ampicillin and gentamicin was around 98% but relative lower susceptible rate to ciprofloxacin was seen as 89.89% . The lowest susceptibility was found for streptomycin and sulfamethoxazole agents, with the rates as 67.73% and 65.89% , respectively. One strain was found to have been resistant to ciprofloxacin and another 47 isolates with reduced susceptibility to ciprofloxacin. Twenty eight isolates were found to be resistant to multiple antibiotics and one displayed ampicillin, chloramphenicol, streptomycin, sulfamethoxazole tetracycline and

  2. Ten years of antimicrobial susceptibility testing of Salmonella from Danish pig farms

    DEFF Research Database (Denmark)

    Emborg, Hanne-Dorthe; Baggesen, Dorte Lau; Aarestrup, Frank Møller

    2008-01-01

    to the following antimicrobials were determined: ampicillin, chloramphenicol, gentamicin, nalidixic acid, colistin, streptomycin, sulphonamide, tetracycline and trimethoprim. Results: No significant development of resistance occurred within the most important serovars, except Salmonella Typhimurium. A major...

  3. Clinical and molecular features of methicillin-resistant, coagulase-negative staphylococci of pets and horses.

    Science.gov (United States)

    Kern, Andrea; Perreten, Vincent

    2013-06-01

    To determine the antibiotic resistance and fingerprint profiles of methicillin-resistant coagulase-negative staphylococci (MRCoNS) from animal infections among different practices and examine the history of antibiotic treatment. Isolates were identified by mass spectrometry and tested for antimicrobial resistance by broth dilution, microarrays and sequence analysis of the topoisomerases. Diversity was assessed by PFGE, icaA PCR and staphylococcal cassette chromosome mec (SCCmec), arginine catabolic mobile element (ACME) and multilocus sequence typing. Clinical records were examined retrospectively. MRCoNS were identified as Staphylococcus epidermidis (n=20), Staphylococcus haemolyticus (n=17), Staphylococcus hominis (n=3), Staphylococcus capitis (n=1), Staphylococcus cohnii (n=1) and Staphylococcus warneri (n=1). PFGE identified one clonal lineage in S. hominis isolates and several in S. haemolyticus and S. epidermidis. Fourteen sequence types were identified in S. epidermidis, with sequence type 2 (ST2) and ST5 being predominant. Ten isolates contained SCCmec IV, seven contained SCCmec V and the others were non-typeable. ACMEs were detected in 11 S. epidermidis isolates. One S. hominis and 10 S. epidermidis isolates were icaA positive. In addition to mecA-mediated β-lactam resistance, the most frequent resistance was to gentamicin/kanamycin [aac(6')-Ie-aph(2')-Ia, aph(3')-III] (n=34), macrolides/lincosamides [erm(C), erm(A), msr, lnu(A)] (n=31), tetracycline [tet(K)] (n=22), streptomycin [str, ant(6)-Ia] (n=20), trimethoprim [dfr(A), dfr(G)] (n=17), sulfamethoxazole (n = 34) and fluoroquinolones [amino acid substitutions in GyrA and GrlA] (n=30). Clinical data suggest selection through multiple antibiotic courses and emphasize the importance of accurate diagnosis and antibiograms. MRCoNS from animal infection sites are genetically heterogeneous multidrug-resistant strains that represent a new challenge in the prevention and therapy of infections in veterinary

  4. Isolation and characterization of a radiation resistant thermophilic bacterium from radon hot spring

    International Nuclear Information System (INIS)

    Liang Xinle; Yang Long; Zhang Hong; Zhang Lei

    2011-01-01

    A radiation resistant and thermophilic bacterium strain R4-33 was isolated from radon hot spring water samples, pretreated with 60 Co γ-rays and UV irradiation. Tests on morphological, physiological and biochemical characters, fatty acid compositions, (G + C) mol% contents, and 16S rDNA sequencing were conducted. The results showed that strain R4-33 was of rod-shape, Gram-negative, atrichous, and endospore-forming. The optimum growth temperature and pH were 60 ℃ and 7.5, respectively. The strain utilized glucose, maltose and trehalose as carbon sources, and hydrolyzed casein and starch. Its catalase positive. The strain was sensitive to penicillin, neomycin, erythromycin, vancomycin, streptomycin, gentamycin, amikacin and ampicillin. The major cellular fatty acids were C 14:1 (48.8%) and C 15:1 (15.2%). The (G + C) mol% content of DNA was 58.2%. Phylogenetic tree based on 16S rDNA sequence showed R4-33 shared highly similarity to those of species in genus Anoxybacillus, especially to that of Anoxybacillus gonensis (99.5%). Based on the above, the strain R4-33 was proposed to the evolution branch of Anoxybacillus and designated as Anoxybacillu sp. R4-33. The UV and γ-radiation tests showed that the strain R4-33 had an ability of resistance to UV of 396 J/m 2 and 60 Co γ-rays irradiation of 14.0 kGy, indicating that the strain was a radiation resistant and thermophilic bacterium. (authors)

  5. Ectopic expression of a horseradish peroxidase enhances growth rate and increases oxidative stress resistance in hybrid aspen.

    Science.gov (United States)

    Kawaoka, Akiyoshi; Matsunaga, Etsuko; Endo, Saori; Kondo, Shinkichi; Yoshida, Kazuya; Shinmyo, Atsuhiko; Ebinuma, Hiroyasu

    2003-07-01

    We previously demonstrated that overexpression of the horseradish (Armoracia rusticana) peroxidase prxC1a gene stimulated the growth rate of tobacco (Nicotiana tabacum) plants. Here, the cauliflower mosaic virus 35S::prxC1a construct was introduced into hybrid aspen (Populus sieboldii x Populus grandidentata). The growth rate of these transformed hybrid aspen plants was substantially increased under greenhouse conditions. The average stem length of transformed plants was 25% greater than that of control plants. There was no other obvious phenotypic difference between the transformed and control plants. Fast-growing transformed hybrid aspen showed high levels of expression of prxC1a and had elevated peroxidase activities toward guaiacol and ascorbate. However, there was no increase of the endogenous class I ascorbate peroxidase activities in the transformed plants by separate assay and activity staining of native polyacrylamide gel electrophoresis. Furthermore, calli derived from the transformed hybrid aspen grew faster than those from control plants and were resistant to the oxidative stress imposed by hydrogen peroxide. Therefore, enhanced peroxidase activity affects plant growth rate and oxidative stress resistance.

  6. The importance of integrons for development and propagation of resistance in Shigella: the case of Latin America.

    Science.gov (United States)

    Barrantes, Kenia; Achí, Rosario

    In Latin America, the disease burden of shigellosis is found to coexist with the rapid and rampant spread of resistance to commonly used antibiotics. The molecular basis of antibiotic resistance lies within genetic elements such as plasmids, transposons, integrons, genomic islands, etc., which are found in the bacterial genome. Integrons are known to acquire, exchange, and express genes within gene cassettes and it is hypothesized that they play a significant role in the transmission of multidrug resistance genes in several Gram-negative bacteria including Shigella. A few studies have described antibiotic resistance genes and integrons among multidrug resistant Shigella isolates found in Latin America. For example, in Brazil, Bolivia, Chile, Costa Rica and Peru, class 1 and class 2 integrons have been detected among multidrug resistant strains of Shigella; this phenomenon is more frequently observed in S. flexneri isolates that are resistant to trimethoprim, sulfamethoxazole, streptomycin, ampicillin, chloramphenicol, and tetracycline. The gene cassette sul2, which is frequently detected in Shigella strains resistant to the sulfonamides, suggests that the sulfonamide-resistant phenotype can be explained by the presence of the sul2 genes independent of the integron class detected. It is to be noted that sul3 was negative in all isolates analyzed in these studies. The high frequency of sulfonamide (as encoded by sul2) and trimethoprim resistance is likely to be a result of the recurrent use of trimethoprim sulfamethoxazole as a popular regimen for the treatment of shigellosis. The observed resistance profiles of Shigella strains confirm that ampicillin and trimethoprim-sulfamethoxazole are ineffective as therapeutic options. In-depth information regarding antibiotic resistance mechanism in this pathogen is needed in order to develop suitable intervention strategies. There is a pressing need for regional and local antimicrobial resistance profiling of Shigella to be

  7. Antibiotic Susceptibility Pattern of Gram-positive Cocci Cultured from Patients in Three University Hospitals in Tehran, Iran during 2001-2005

    Directory of Open Access Journals (Sweden)

    Aligholi Marzieh

    2009-10-01

    Full Text Available Bacterial resistance to antibiotics is a serious problem and is increasing in prevalence world-wide at an alarming rate. The antimicrobial susceptibility patterns of 1897 gram-positive bacterial Isolates were evaluated. The minimum inhibitory concentration (MIC of isolates which comprised Staphylococcus aureus (927 isolates, coagulase-negative staphylococci (CNS; 425 isolates, Enterococcus faecalis (320 isolates, Enterococcus faecium (157 isolates, and pneumococci (50 isolates collected from 3 teaching hospitals in Tehran were determined by agar dilution method according to Clinical and Laboratory Standards Institute (CLSI guidelines. The presence of mecA gene was investigated in methicillin-resistant staphylococci by PCR method and vanA and vanB genes were targeted in enterococcal isolates by Multiplex PCR method. The resistance rate to methicillin among S. aureus and CNS isolates were 33% and 49%, respectively. All S. aureus isolates were susceptible to vancomycin .The lowest rate of resistance in all S. aureus isolates was found for rifampicin (<4%. The vancomycin resistance rate in enterococci isolates was 11% which was more frequent among E. faecium (19% than E. faecalis (4%, all resistant isolates carrying vanA. High-level resistance to gentamicin and streptomycin, were detected in 47% and 87% of enterococcal isolates respectively. The rate of penicillin resistance in pneumococci was 3% and about 27% of isolates had reduced susceptibility to penicillin. The prevalence of erythromycin resistant among pneumococci was 58%. All pneumococcal isolates were susceptible to ceftriaxone, rifampicin and vancomycin. Our data highlight the importance of access to updated bacterial susceptibility data regarding commonly prescribed agents for clinicians in Iran.

  8. Effect of the resistive wall on the growth rate of weakly unstable external kink mode in general 3D configurations

    International Nuclear Information System (INIS)

    Chu, M.S.; Ichiguchi, K.

    2005-05-01

    Formation of a method for the systematic computation of the growth rate of the weakly unstable RWM in 3D configurations by using results from ideal stability codes is presented. It is shown that the growth rate of the RWM is approximately given by the rate at which the available free energy for the ideal external kink mode can be dissipated by the resistive wall. The eigenfunction is also approximated by that of the external kink mode. This formulation is demonstrated by coupling to the ideal MHD code KSTEP with computation of the dissipation on the resistive wall. Results of the stability of the RWM in LHD plasmas and discussion on the validity and improvement to the computation are also included. (author)

  9. Characterization of Streptococcus suis serotype 7 isolates from diseased pigs in Denmark

    DEFF Research Database (Denmark)

    Tian, Y.; Aarestrup, Frank Møller; Lu, C.P.

    2004-01-01

    to erythromycin (41%), tetracycline (24%) and streptomycin (28%) was observed. Furthermore, almost all isolates (101) were resistant to sulphamethoxazol. Most isolates were susceptible to ceftiofur, chloramphenicol, florfenicol, penicillin, ciprofloxacin, trimethoprim and trimethoprim + sulphonamides. The tet...

  10. Acute resistance exercise with blood flow restriction in elderly hypertensive women: haemodynamic, rating of perceived exertion and blood lactate.

    Science.gov (United States)

    Pinto, Roberta R; Karabulut, Murat; Poton, Roberto; Polito, Marcos D

    2018-01-01

    This study aimed to compare haemodynamic, rating of perceived exertion and blood lactate responses during resistance exercise with blood flow restriction (BFR) compared with traditional high-intensity resistance exercise in hypertensive older women. Eighteen hypertensive women (age = 67·0 ± 1·7 years.) undertook three random sessions: (i) three sets; 10 repetitions; 20% of one repetition maximum (1RM) with BFR; (ii) three sets; 10 repetitions; 65% of 1RM; without BFR; and (iii) no-exercise with BFR. The exercise sessions were performed on knee extension equipment. Systolic (SBP) and diastolic blood pressure (DBP), heart rate (HR), stroke volume (SV) and cardiac output (CO) were significantly higher (P<0·05) in all sets of exercise sessions than the control. No statistically significant differences were detected between exercise sessions. However, SBP, DBP and systemic vascular resistance were higher (P<0·05) and SV and CO were lower (P<0·05) during the rest intervals in the session with BFR. The perceived exertion was significantly higher (P<0·01) in the 1st (4·8 ± 0·4 versus 3·1 ± 0·3), 2nd (7·3 ± 0·4 versus 5·7 ± 0·4) and 3rd sets (8·6 ± 0·5 versus 7·5 ± 0·4) of the traditional high-intensity resistance exercise compared with the exercise with BFR. Blood lactate was higher (P<0·05) in the traditional high-intensity resistance exercise (6·2 ± 0·7 mmol) than in the exercise with BFR (4·5 ± 0·4 mmol). In comparison with high-intensity resistance exercise, low-intensity resistance exercise with BFR can elicit: (i) same haemodynamic values during exercise; (ii) lower rating of perceived exertion; (iii) lower blood lactate; (iv) higher haemodynamic demand during the rest intervals. © 2016 Scandinavian Society of Clinical Physiology and Nuclear Medicine. Published by John Wiley & Sons Ltd.

  11. Thermal shock resistance behavior of a functionally graded ceramic: Effects of finite cooling rate

    Directory of Open Access Journals (Sweden)

    Zhihe Jin

    2014-01-01

    Full Text Available This work presents a semi-analytical model to explore the effects of cooling rate on the thermal shock resistance behavior of a functionally graded ceramic (FGC plate with a periodic array of edge cracks. The FGC is assumed to be a thermally heterogeneous material with constant elastic modulus and Poisson's ratio. The cooling rate applied at the FGC surface is modeled using a linear ramp function. An integral equation method and a closed form asymptotic temperature solution are employed to compute the thermal stress intensity factor (TSIF. The thermal shock residual strength and critical thermal shock of the FGC plate are obtained using the SIF criterion. Thermal shock simulations for an Al2O3/Si3N4 FGC indicate that a finite cooling rate leads to a significantly higher critical thermal shock than that under the sudden cooling condition. The residual strength, however, is relatively insensitive to the cooling rate.

  12. Rapid drug susceptibility test of mycobacterium tuberculosis by bioluminescence sensor

    Science.gov (United States)

    Lu, Bin; Xu, Shunqing; Chen, Zifei; Zhou, Yikai

    2001-09-01

    With the persisting increase of drug-resistant stains of M. Tuberculosis around the world, rapid and sensitive detection of antibiotic of M. Tuberculosis is becoming more and more important. In the present study, drug susceptibility of M. tuberculosis were detected by recombination mycobacteriophage combined with bioluminescence sensor. It is based on the use of recombination mycobacteriophage which can express firefly luciferase when it infects viable mycobacteria, and can effectively produce quantifiable photon. Meanwhile, in mycobacterium cells treated with active antibiotic, no light is observed. The emitted light is recorded by a bioluminscence sensor, so the result of drug-resistant test can be determined by the naked eye. 159 stains of M. tuberculosis were applied to this test on their resistant to rifampin, streptomycin and isoniazid. It is found that the agreement of this assay with Liewenstein- Jensen slat is: rifampin 95.60 percent, isoniazid 91.82 percent, streptomycin 88.68 percent, which showed that it is a fast and practical method to scene and detect drug resistant of mycobacterium stains.

  13. Molecular characterization and antibiotic resistance of enterotoxigenic and entero-aggregative Escherichia coli isolated from raw milk and unpasteurized cheeses

    Directory of Open Access Journals (Sweden)

    Mojtaba Bonyadian

    2014-04-01

    Full Text Available The aim of this study was to determine the occurrence of enterotoxigenic and enteroaggregative Escherichia coli strains and antibiotic resistance of the isolates in raw milk and unpasteurized cheese. Out of 200 samples of raw milk and 50 samples of unpasteurized cheeses, 96 and 24 strains of E. coli were isolated, respectively. Polymerase chain reaction (PCR was used to detect the genes encoding heat-stable enterotoxin a (STa, heat-stable enterotoxin b (STb, heat labile toxin (LT and enteroaggregative heat-stable toxin1 (EAST1. Twelve out of 120 (10.00% isolates harbored the gene for EAST1, 2(1.66% isolates were detected as producing STb and LT toxins and 12 (10.00% strains contained STb and EAST1 genes. None of the strains contain the STa gene. All of the strains were tested for antibiotic resistance by disk diffusion method. Disks included: ciprofloxacin (CFN, trimetoprim-sulfamethoxazole (TSX, oxytetracycline (OTC, gentamicin (GMN, cephalexin (CPN, nalidixic acid (NDA and nitrofurantoin (NFN, ampicillin (AMP, neomycin (NEO and streptomycin (STM. Among 120 isolated strains of E. coli, the resistance to each antibiotics were as follows: OTC100%, CPN 86.00%, NDA 56.00%, NFN 42.00%, GMN 30.00%, TSX 28.00%, CFN 20%, AM 23.40% and STM 4.25%. None of the isolates were resistant to NEO. The present data indicate that different resistant E. coli pathogens may be found in raw milk and unpasteurized cheese. It poses an infection risk for human and transferring the resistant factors to microflora of the consumers gut.

  14. Time dependent enhanced resistance against antibiotics & metal salts by planktonic & biofilm form of Acinetobacter haemolyticus MMC 8 clinical isolate

    Directory of Open Access Journals (Sweden)

    Sharvari Vijaykumar Gaidhani

    2014-01-01

    Full Text Available Background & objectives: Available literature shows paucity of reports describing antibiotic and metal resistance profile of biofilm forming clinical isolates of Acinetobacter haemolyticus. The present study was undertaken to evaluate the antibiotic and metal resistance profile of Indian clinical isolate of A. haemolyticus MMC 8 isolated from human pus sample in planktonic and biofilm form. Methods: Antibiotic susceptibility and minimum inhibitory concentration were determined employing broth and agar dilution techniques. Biofilm formation was evaluated quantitatively by microtiter plate method and variation in complex architecture was determined by scanning electron microscopy. Minimum biofilm inhibiting concentration was checked by Calgary biofilm device. Results: Planktonic A. haemolyticus MMC 8 was sensitive to 14 antibiotics, AgNO 3 and HgC1 2 resistant to streptomycin and intermediately resistant to netilmycin and kanamycin. MMC 8 exhibited temporal variation in amount and structure of biofilm. There was 32 - 4000 and 4 - 256 fold increase in antibiotic and metal salt concentration, respectively to inhibit biofilm over a period of 72 h as against susceptible planktonic counterparts. Total viable count in the range of 10 5 -10 6 cfu / ml was observed on plating minimum biofilm inhibiting concentration on Muller-Hinton Agar plate without antimicrobial agents. Biofilm forming cells were several folds more resistant to antibiotics and metal salts in comparison to planktonic cells. Presence of unaffected residual cell population indicated presence of persister cells. Interpretation & conclusions: The results indicate that biofilm formation causes enhanced resistance against antibiotics and metal salts in otherwise susceptible planktonic A. haemolyticus MMC 8.

  15. [BACTERIA WITHOUT BORDERS: A HIGH CARRIAGE RATE OF ANTIBIOTIC-RESISTANT BACTERIA AMONG SYRIAN CHILDREN HOSPITALIZED IN GALILEE MEDICAL CENTER].

    Science.gov (United States)

    Faour Kassem, Diana; Shahar, Naama; Ocampo, Smadar; Bader, Tarif; Zonis, Zeev; Glikman, Daniel

    2017-05-01

    As the civil war in Syria enters its fifth year, the Israeli government continues to provide humanitarian aid to Syrian civilians in Israeli hospitals. Many wounded Syrian children are treated at the Galilee Medical Center (GMC). Due to the patients' incomplete medical history and increasing infection rates in Syria, contact isolation and screening cultures for multi-drug resistant bacteria (MDR's) are conducted upon admission for all Syrian children. To describe the rate of MDR carriage in Syrian children and compare it to hospitalized Israeli children. Prospective collection of screening culture data of Syrian patients admitted to GMC between 6/2013-11/2014 and comparison with Israeli children admitted between 1-3/2014. Extended-spectrum beta- lactamase-producing Enterobateriaceae (ESBL), Vancomycin-resistant Enterococcus (VRE), Carbapenem-resistant Enterobacteriaceae (CRE), and Methicillin-resistant Staphylococcus aureus (MRSA) were considered MDR's. Of 47 pediatric Syrian patients, 41 were severely wounded. MDR's were found in 37 (79%) children; most of the isolates were ESBL+ Escherichia coli. Over half of the ESBL's were resistant to additional antibiotics such as sulfa and quinolones; no resistance to amikacin was found. In comparison, in 6 of 40 (15%) Israeli children, MDR's (all ESBL's) were found (p<0.001). In hospitalized Syrian children, contact isolation and screening cultures for MDR's have an important role in the prevention of nosocomial transmission and establishment of empiric antimicrobial protocols. In suspected infections in Syrian children, amikacin and carbapenems are the antimicrobials of choice. MDR's are carried to a lesser extent in Israeli children but due to their importance, further largescale research is needed.

  16. Isolation of Environmental Bacteria from Surface and Drinking Water in Mafikeng, South Africa, and Characterization Using Their Antibiotic Resistance Profiles

    Directory of Open Access Journals (Sweden)

    Suma George Mulamattathil

    2014-01-01

    Full Text Available The aim of this study was to isolate and identify environmental bacteria from various raw water sources as well as the drinking water distributions system in Mafikeng, South Africa, and to determine their antibiotic resistance profiles. Water samples from five different sites (raw and drinking water were analysed for the presence of faecal indicator bacteria as well as Aeromonas and Pseudomonas species. Faecal and total coliforms were detected in summer in the treated water samples from the Modimola dam and in the mixed water samples, with Pseudomonas spp. being the most prevalent organism. The most prevalent multiple antibiotic resistance phenotype observed was KF-AP-C-E-OT-K-TM-A. All organisms tested were resistant to erythromycin, trimethoprim, and amoxicillin. All isolates were susceptible to ciprofloxacin and faecal coliforms and Pseudomonas spp. to neomycin and streptomycin. Cluster analysis based on inhibition zone diameter data suggests that the isolates had similar chemical exposure histories. Isolates were identified using gyrB, toxA, ecfX, aerA, and hylH gene fragments and gyrB, ecfX, and hylH fragments were amplified. These results demonstrate that (i the drinking water from Mafikeng contains various bacterial species and at times faecal and total coliforms. (ii The various bacteria are resistant to various classes of antibiotics.

  17. Antibiotic resistance and pathogenicity factors in Staphylococcus aureus isolated from mastitic Sahiwal cattle.

    Science.gov (United States)

    Kumar, Ravinder; Yadav, B R; Singh, R S

    2011-03-01

    Methicillin-resistant Staphylococcus aureus (MRSA) poses a serious problem in dairy animals suffering from mastitis. In the present study, the distribution of mastitic MRSA and antibiotic resistance was studied in 107 strains of S. aureus isolated from milk samples from 195 infected udders. The characterizations pathogenic factors (adhesin and toxin genes) and antibiotic susceptibility of isolates were carried out using gene amplification and disc diffusion assays, respectively. A high prevalence of MRSA was observed in the tested isolates (13.1%). The isolates were also highly resistant to antibiotics, i.e. 36.4% were resistant to streptomycin, 33.6% to oxytetracycline, 29.9% to gentamicin and 26.2% each to chloramphenicol, pristinomycin and ciprofloxacin. A significant variation in the expression of pathogenic factors (Ig, coa and clf) was observed in these isolates. The overall distribution of adhesin genes ebp, fib, bbp, fnbB, cap5, cap8, map and cna in the isolates was found to be 69.1, 67.2, 6.5, 20.5, 60.7, 26.1, 81.3 and 8.4%, respectively. The presence of fib, fnbB, bbp and map genes was considerably greater in MRSA than in methicillin-susceptible S. aureus (MSSA) isolates. The proportions of toxin genes, namely, hlb, seb, sec, sed, seg and sei, in the isolates were found to be 94.3, 0.9, 8.4, 0.9, 10.2 and 49.5%, respectively. The proportions of agr genes I, II, III and IV were found to be 39.2, 27.1, 21.5 and 12.1%, respectively. A few isolates showed similar antibiotic-resistance patterns, which could be due to identical strains or the dissemination of the same strains among animals. These findings can be utilized in mastitis treatment programmes and antimicrobials strategies in organized herd.

  18. Browse Title Index

    African Journals Online (AJOL)

    Items 451 - 500 of 11090 ... Vol 10, No 78 (2011), A study on reverse osmosis permeating treatment ... of resistance to streptomycin in Xanthomonas oryzae pv. oryzae, Abstract PDF ... suitable for Medicago truncatula leaf proteome, Abstract PDF.

  19. Duration of slip-resistant shoe usage and the rate of slipping in limited-service restaurants: results from a prospective and crossover study.

    Science.gov (United States)

    Verma, Santosh K; Zhao, Zhe; Courtney, Theodore K; Chang, Wen-Ruey; Lombardi, David A; Huang, Yueng-Hsiang; Brennan, Melanye J; Perry, Melissa J

    2014-01-01

    Several studies have indicated that slip-resistant shoes may have a positive effect on reducing the risk of slips and falls, a leading cause of injury at work. Few studies, however, have examined how duration of shoe usage affects their slip-resistance properties. This study examined the association between the duration of slip-resistant shoes usage and the self-reported rate of slipping in limited-service restaurant workers. A total of 475 workers from 36 limited-service restaurants in the USA were recruited to participate in a 12-week prospective study of workplace slipping. Of the 475 participants, 83 reported changing to a new pair of shoes at least once during the 12-week follow-up. The results show that slip-resistant shoes worn for less than six months were moderately more effective than those worn for more than six months. Changing to a new pair of shoes among those wearing slip-resistant shoes at baseline was associated with a 55% reduction in the rate of slipping (RR = 0.45, 95% CI = 0.23-0.89). Further research is needed to develop criteria for the replacement of slip-resistant shoes.

  20. In vivo selection of resistant E. coli after ingestion of milk with added drug residues.

    Directory of Open Access Journals (Sweden)

    Richard Van Vleck Pereira

    Full Text Available Antimicrobial resistance represents a major global threat to modern medicine. In vitro studies have shown that very low concentrations of drugs, as frequently identified in the environment, and in foods and water for human and animal consumption, can select for resistant bacteria. However, limited information is currently available on the in vivo impact of ingested drug residues. The objective of our study was to evaluate the effect of feeding preweaned calves milk containing antimicrobial drug residues (below the minimum inhibitory concentration, similar to concentrations detected in milk commonly fed to dairy calves, on selection of resistant fecal E. coli in calves from birth to weaning. At birth, thirty calves were randomly assigned to a controlled feeding trial where: 15 calves were fed raw milk with no drug residues (NR, and 15 calves were fed raw milk with drug residues (DR by adding ceftiofur, penicillin, ampicillin, and oxytetracycline at final concentrations in the milk of 0.1, 0.005, 0.01, and 0.3 µg/ml, respectively. Fecal samples were rectally collected from each calf once a week starting at birth prior to the first feeding in the trial (pre-treatment until 6 weeks of age. A significantly greater proportion of E. coli resistant to ampicillin, cefoxitin, ceftiofur, streptomycin and tetracycline was observed in DR calves when compared to NR calves. Additionally, isolates from DR calves had a significant decrease in susceptibility to ceftriaxone and ceftiofur when compared to isolates from NR calves. A greater proportion of E. coli isolates from calves in the DR group were resistant to 3 or more antimicrobial drugs when compared to calves in the ND group. These findings highlight the role that low concentrations of antimicrobial drugs have on the evolution and selection of resistance to multiple antimicrobial drugs in vivo.

  1. Antimicrobial Resistance of Faecal Escherichia coli Isolates from Pig Farms with Different Durations of In-feed Antimicrobial Use.

    Science.gov (United States)

    Gibbons, J F; Boland, F; Egan, J; Fanning, S; Markey, B K; Leonard, F C

    2016-05-01

    Antimicrobial use and resistance in animal and food production are of concern to public health. The primary aims of this study were to determine the frequency of resistance to 12 antimicrobials in Escherichia coli isolates from 39 pig farms and to identify patterns of antimicrobial use on these farms. Further aims were to determine whether a categorization of farms based on the duration of in-feed antimicrobial use (long-term versus short-term) could predict the occurrence of resistance on these farms and to identify the usage of specific antimicrobial drugs associated with the occurrence of resistance. Escherichia coli were isolated from all production stages on these farms; susceptibility testing was carried out against a panel of antimicrobials. Antimicrobial prescribing data were collected, and farms were categorized as long term or short term based on these. Resistance frequencies and antimicrobial use were tabulated. Logistic regression models of resistance to each antimicrobial were constructed with stage of production, duration of antimicrobial use and the use of 5 antimicrobial classes included as explanatory variables in each model. The greatest frequencies of resistance were observed to tetracycline, trimethoprim/sulphamethoxazole and streptomycin with the highest levels of resistance observed in isolates from first-stage weaned pigs. Differences in the types of antimicrobial drugs used were noted between long-term and short-term use farms. Categorization of farms as long- or short-term use was sufficient to predict the likely occurrence of resistance to 3 antimicrobial classes and could provide an aid in the control of resistance in the food chain. Stage of production was a significant predictor variable in all models of resistance constructed and did not solely reflect antimicrobial use at each stage. Cross-selection and co-selection for resistance was evident in the models constructed, and the use of trimethoprim/sulphonamide drugs in particular was

  2. Antimicrobial resistance levels amongst staphylococci isolated from clinical cases of bovine mastitis in Kosovo.

    Science.gov (United States)

    Mehmeti, Ibrahim; Behluli, Behlul; Mestani, Mergim; Ademi, Arsim; Nes, Ingolf F; Diep, Dzung B

    2016-10-31

    Mastitis is one of the most frequent and costly disease in cattle. We studied milk samples from cattle with mastitis from farms in Kosovo to identify mastitis-causing pathogens and possible effective antibiotics. Our ultimate goal is to help implement adequate antibiotic management and treatment practices in Kosovo METHODOLOGY: A total of 152 milk samples were collected from cows with clinical mastitis from different farms in Kosovo. After identification of microorganisms, antibiotic susceptibility and the occurrence of enterotoxins was investigated. Staphylococci were found in 89 samples, of which 58 were coagulase negative and 31 coagulase positive. S. aureus was isolated from 27 samples, S. epidermidis from 25, and S. chromogenes from 15, while other species of staphylococci were isolated from the remaining 22 isolates. Interestingly, the bacterial diversity was different between cows in different periods of lactation and among different breeds. Most of the isolates (76/89) were resistant to two or more antibiotics. The highest resistance was to penicillin and ampicillin (> 65%), followed by tetracycline, oxacillin, streptomycin, chloramphenicol (> 23%), and less than 3% to erythromycin. Of the 89 isolates, 40 produced enterotoxins that were most frequently typed as A and C. We detected human bacterial pathogens in the cultures of milk samples from cows with mastitis. The isolates demonstrated resistance to two or more antibiotics, some of which are frequently used to treat animal and human infections. We recommend increased control and more stringent use of antibiotics in veterinary as well as human medicine.

  3. Antimicrobial susceptibility of starter culture bacteria used in Norwegian dairy products.

    Science.gov (United States)

    Katla, A K; Kruse, H; Johnsen, G; Herikstad, H

    2001-07-20

    Commercial starter culture bacteria are widely used in the production of dairy products and could represent a potential source for spread of genes encoding resistance to antimicrobial agents. To learn more about the antimicrobial susceptibility of starter culture bacteria used in Norwegian dairy products, a total of 189 isolates of lactic acid bacteria were examined for susceptibility to ampicillin, penicillin G, cephalothin, vancomycin, bacitracin, gentamicin, streptomycin, erythromycin, tetracycline, chloramphenicol, quinupristin/dalfopristin, ciprofloxacin, trimethoprim and sulphadiazine using Etest for MIC determination. Most of the isolates (140) originated from 39 dairy products (yoghurt, sour cream, fermented milk and cheese), while 49 were isolated directly from nine commercial cultures. The bacteria belonged to the genera Lactobacillus, Lactococcus, Leuconostoc and Streptococcus. Only one of the 189 isolates was classified as resistant to an antimicrobial agent included in the study. This isolate, a lactobacillus, was classified as high level resistant to streptomycin. The remaining isolates were not classified as resistant to the antimicrobial agents included other than to those they are known to have a natural reduced susceptibility to. Thus, starter culture bacteria in Norwegian dairy products do not seem to represent a source for spread of genes encoding resistance to antimicrobial agents.

  4. Virulence traits and antibiotic resistance among enterococci isolated from dogs with periodontal disease.

    Science.gov (United States)

    Oliveira, Manuela; Tavares, Marta; Gomes, Diana; Touret, Tiago; São Braz, Berta; Tavares, Luís; Semedo-Lemsaddek, Teresa

    2016-06-01

    Periodontal disease - PD - is one of the most widespread diseases in dogs, but the role of this odontogenic infection in the dissemination of pathogenic bacteria present in the oral mucosa to other animals or pet owners is understudied. Trying to unveil the putative pathogenicity of enterococci present in the gums of dogs diagnosed with PD, thirty-two animals were investigated during routine visits to a private veterinary clinic. Seventy-one enterococci were recovered and characterized regarding species, genomic variability, virulence traits, antimicrobial resistance and biofilm-forming ability. Isolates were mainly identified as Enterococcus faecalis, with the large majority (95%) being able to produce biofilm. Regarding antibiotic resistance, all dog-enterococci were susceptible to ampicillin, amoxicillin/clavulanate, gentamicin-120, imipenem and vancomycin; while distinct levels of resistance were observed for chloramphenicol (10%), erythromycin (20%), streptomycin-300 (35%) and tetracycline (95%). For virulence traits incidence levels of 35% were observed for β-hemolysis and 25% for cylA, 25% for gelatinase and 35% for gelE; 85% harbor efaAfs and ebpABC; while ace, agg and esp are present respectively in 50, 30 and 10% of the dog-enterococci; efaAfm and acm were detected in all the Enterococcus faecium. Overall, the widespread prevalence of PD in dogs, associated with the close contact between companion animals, other animals and humans, may act as source for the dissemination of opportunistic pathogenic bacteria. Hence, aforementioned data on virulence and resistance features, emphasizes the need for active surveillance measures, such as the diagnose of PD in companion animals during routine visits to the veterinary clinic. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. High third-generation cephalosporin resistant Enterobacteriaceae prevalence rate among neonatal infections in Dakar, Senegal

    Directory of Open Access Journals (Sweden)

    Sebastien Breurec

    2016-10-01

    Full Text Available Abstract Background Neonatal infection constitutes one of Senegal’s most important public health problems, with a mortality rate of 41 deaths per 1,000 live births. Methods Between January 2007 and March 2008, 242 neonates with suspected infection were recruited at three neonatal intensive care units in three major tertiary care centers in Dakar, the capital of Senegal. Neonatal infections were confirmed by positive bacterial blood or cerebrospinal fluid culture. The microbiological pattern of neonatal infections and the antibiotic susceptibility of the isolates were characterized. In addition, the genetic basis for antibiotic resistance and the genetic background of third-generation cephalosporin-resistant (3GC-R Enterobacteriaceae were studied. Results A bacteriological infection was confirmed in 36.4 % (88/242 of neonates: 22.7 % (30/132 during the early-onset and 52.7 % (58/110 during the late-onset periods (p > 0.20. Group B streptococci accounted for 6.8 % of the 88 collected bacterial isolates, while most of them were Enterobacteriaceae (n = 69, 78.4 %. Of these, 55/69 (79.7 % were 3GC-R. The blaCTX-M-15 allele, the blaSHV and the blaTEM were highly prevalent (63.5, 65.4 and 53.8 %, respectively, usually associated with qnr genes (65.4 %. Clonally related strains of 3GC-R Klebsiella pneumoniae and 3GC-R Enterobacter cloacae, the two most commonly recovered 3GC-R Enterobacteriaceae (48/55, were detected at the three hospitals, underlining the role of cross-transmission in their spread. The overall case fatality rate was 18.6 %. Conclusions Measures should be taken to prevent nosocomial infections and the selection of resistant bacteria.

  6. Effects of Metformin on Ovulation and Pregnancy Rate in Women with Clomiphene Resistant Poly Cystic Ovary Syndrome

    Directory of Open Access Journals (Sweden)

    Mahnaz Ashrafi

    2007-01-01

    Full Text Available Background: To evaluate the effect of metformin on ovulation and pregnancy rate in clomiphene citrateresistant women with polycystic ovary syndrome (PCOS.Material & Methods: In this clinical trial each patient, regarding her previous resistance to Clomiphene,served as her own control. A total of 35 clomiphene citrate resistant PCOS patients, referring to Royan institutewere studied. Clomiphene citrate resistance was defined as having failure of ovulation during at least threecycles using clomiphene citrate doses up to 200 mg/day on cycle days 3-7 after a withdrawal bleeding withprogesterone. Metformin was used alone or in combination with clomiphene citrate. First, the patients receivedmetformin up to 1500 mg/day for 8 weeks. During the next 2-3 cycle if the patients did not become pregnant,clomiphene was added with increments of 100 mg (up to 150 mg/day. Follicular development and ovulationwere monitored by ultrasound scans and mid-luteal progesterone level. Menstrual pattern, ovulation, andpregnancy rate were evaluated during the two stages of treatment.Results: After 8 weeks of meformin monotherapy, ovulation occurred in 23 cases (65.7% and 7 patients (20%became pregnant. Among other patients (28/35 who were treated with Clomiphene Cirate and metformin for64 cycles, 19 patients (67.8% had proper ovulation and five of them (17.8% became pregnant. Totally,metformin induced ovulation in 31 of 35 patients (88.6% and twelve (34.3% of them achieved pregnancy.Conclusion: Metformin alone or in combination with clomiphene is a very effective treatment in inducingovulation and pregnancy in clomiphene resistant women with PCOS.

  7. Chronological Change of Resistance to β-Lactams in Salmonella enterica serovar Infantis Isolated from Broilers in Japan.

    Science.gov (United States)

    Chuma, Takehisa; Miyasako, Daisuke; Dahshan, Hesham; Takayama, Tomoko; Nakamoto, Yuko; Shahada, Francis; Akiba, Masato; Okamoto, Karoku

    2013-01-01

    Epidemiologic surveillance study was conducted in southern Japan to determine the antimicrobial resistance phenotypes and characterize the β-lactamase genes and the plasmids harboring these genes in Salmonella enterica serovar Infantis (S. Infantis) isolates from broilers. Between January, 2007 and December, 2008, a total of 1,472 fecal samples were collected and examined at the Laboratory of Veterinary Public Health, Kagoshima University, Japan. In 93 (6.3%) isolates recovered, 33 (35.5%) isolates showed resistance to cefotaxime, an extended-spectrum cephalosporin (ESC), conferred by TEM-20, TEM-52 and CTX-M-25 extended-spectrum β-lactamases (ESBLs). In addition to ESC-resistance, eight (8.6%) isolates exhibited resistance to cefoxitin mediated by CMY-2 AmpC β-lactamase. Plasmid analysis and polymerase chain reaction replicon typing revealed the bla TEM-20 and bla CMY-2 genes were associated with IncP plasmids, bla TEM-52 was linked with a non-typable plasmid and bla CTX-M-25 was carried by an IncA/C plasmid. Non-β-lactam resistance to streptomycin, sulfamethoxazole, and oxytetracycline encoded by the aadA1, sul1, and tet(A) genes, respectively, was found in 86 (92.5%) isolates. Resistance to kanamycin and ofloxacin was exhibited in 12 (12.9%) and 11 (11.8%) isolates, respectively, the former was mediated by aphA1-Iab. These data indicate that S. Infantis isolates producing ESBLs and AmpC β-lactamase have spread among broiler farms in Japan. These data demonstrated that the incidence of ESC-resistant S. Infantis carrying bla TEM-52 remarkably increased and S. Infantis strains harboring bla CMY-2, bla TEM-20, or bla CTX-M-25 genes emerged from broilers in Japan for the first time in 2007 and 2008.

  8. Drug use and antimicrobial resistance among Escherichia coli and Enterococcus spp. isolates from chicken and turkey flocks slaughtered in Quebec, Canada.

    Science.gov (United States)

    Boulianne, Martine; Arsenault, Julie; Daignault, Danielle; Archambault, Marie; Letellier, Ann; Dutil, Lucie

    2016-01-01

    An observational study was conducted of chicken and turkey flocks slaughtered at federal processing plants in the province of Quebec, Canada. The objectives were to estimate prevalence of drug use at hatchery and on farm and to identify antimicrobial resistance (AMR) in cecal Escherichia coli and Enterococcus spp. isolates and factors associated with AMR. Eighty-two chicken flocks and 59 turkey flocks were sampled. At the hatchery, the most used antimicrobial was ceftiofur in chickens (76% of flocks) and spectinomycin in turkeys (42% of flocks). Virginiamycin was the antimicrobial most frequently added to the feed in both chicken and turkey flocks. At least 1 E. coli isolate resistant to third-generation cephalosporins was present in all chicken flocks and in a third of turkey flocks. Resistance to tetracycline, streptomycin, and sulfisoxazole was detected in > 90% of flocks for E. coli isolates. Antimicrobial resistance (AMR) was observed to bacitracin, erythromycin, lincomycin, quinupristin-dalfopristin, and tetracycline in both chicken and turkey flocks for Enterococcus spp. isolates. No resistance to vancomycin was observed. The use of ceftiofur at hatchery was significantly associated with the proportion of ceftiofur-resistant E. coli isolates in chicken flocks. In turkey flocks, ceftiofur resistance was more frequent when turkeys were placed on litter previously used by chickens. Associations between drug use and resistance were observed with tetracycline (turkey) in E. coli isolates and with bacitracin (chicken and turkey), gentamicin (turkey), and tylosin (chicken) in Enterococcus spp. isolates. Further studies are needed to provide producers and veterinarians with alternative management practices and tools in order to reduce the use of antimicrobial feed additives in poultry.

  9. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  10. [Genetic improvement of technological characteristics of starters for fermented milk products].

    Science.gov (United States)

    Oganesian, G G; Barsegian, A A; Grigorian, N G; Toptsian, A V

    2010-01-01

    Possibility for improvement of technological characteristics of lactobacilli using mutations of resistance to rifampicin (rif(r)) and streptomycin (str(r)) was studied. Using starter model of Narine Lactobacillus acidophilus INMIA-9602 Armenian diet milk product, it was showed that a possibility for selecting strains with increased rate of milk fermentation and acid production is higher in Rif(r) and Str(r) mutants induced by nitrosoguanidine than in cultures sensitive to antibiotics. The milk products obtained using Rif(r) and Str(r) strains had high viscosity, improved texture, increased amount of alive cells and good organoleptic features.

  11. Effect of current compliance and voltage sweep rate on the resistive switching of HfO2/ITO/Invar structure as measured by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, You-Lin; Liao, Chun-Wei; Ling, Jing-Jenn

    2014-01-01

    The electrical characterization of HfO 2 /ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO 2 surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO 2 /ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates. It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.

  12. Mycobacterium tuberculosis whole genome sequencing and protein structure modelling provides insights into anti-tuberculosis drug resistance

    KAUST Repository

    Phelan, Jody

    2016-03-23

    Background Combating the spread of drug resistant tuberculosis is a global health priority. Whole genome association studies are being applied to identify genetic determinants of resistance to anti-tuberculosis drugs. Protein structure and interaction modelling are used to understand the functional effects of putative mutations and provide insight into the molecular mechanisms leading to resistance. Methods To investigate the potential utility of these approaches, we analysed the genomes of 144 Mycobacterium tuberculosis clinical isolates from The Special Programme for Research and Training in Tropical Diseases (TDR) collection sourced from 20 countries in four continents. A genome-wide approach was applied to 127 isolates to identify polymorphisms associated with minimum inhibitory concentrations for first-line anti-tuberculosis drugs. In addition, the effect of identified candidate mutations on protein stability and interactions was assessed quantitatively with well-established computational methods. Results The analysis revealed that mutations in the genes rpoB (rifampicin), katG (isoniazid), inhA-promoter (isoniazid), rpsL (streptomycin) and embB (ethambutol) were responsible for the majority of resistance observed. A subset of the mutations identified in rpoB and katG were predicted to affect protein stability. Further, a strong direct correlation was observed between the minimum inhibitory concentration values and the distance of the mutated residues in the three-dimensional structures of rpoB and katG to their respective drugs binding sites. Conclusions Using the TDR resource, we demonstrate the usefulness of whole genome association and convergent evolution approaches to detect known and potentially novel mutations associated with drug resistance. Further, protein structural modelling could provide a means of predicting the impact of polymorphisms on drug efficacy in the absence of phenotypic data. These approaches could ultimately lead to novel resistance

  13. Frozen White-Leg Shrimp (Litopenaeus vannamei) in Korean Markets as a Source of Aeromonas spp. Harboring Antibiotic and Heavy Metal Resistance Genes.

    Science.gov (United States)

    De Silva, Benthotage C J; Hossain, Sabrina; Dahanayake, Pasan S; Heo, Gang-Joon

    2018-05-24

    As the most consumed shrimp variety, white-leg shrimp (Litopenaeus vannamei) owns a high market demand in Korea. This study sought to screen the frozen white-leg shrimp for Aeromonas spp. harboring antimicrobial and heavy metal resistance characteristics. A total of 44 Aeromonas spp. strains were isolated and tested for antibiotic susceptibility and heavy metal tolerance followed by PCR-based detection of resistance genes and integrons. It was observed that resistance to ampicillin and oxacillin was 100% among isolates. Besides, 95%, 89%, 86%, 80%, 66%, and 43% of the isolates were resistant to nalidixic acid, tetracycline, cephalothin, streptomycin, trimethoprim-sulfamethoxazole, and imipenem, respectively, and less resistance to other antibiotics was also observed. Cr resistance was the highest (91%) among five heavy metals tested, whereas 57%, 32%, 20%, and 9% of the isolates were tolerant to Cu, Pb, Cd, and Hg, respectively. The PCR assays implied the presence of qnrB, qnrS, tetA, tetE, aac(6')-Ib, and aphAI-IAB, and intI1 genes among 80%, 77%, 18%, 30%, 9%, 0.25%, and 82% of the isolates, respectively. There were 35 (80%) integron 1-positive isolates harboring qacE2, dfrA1, orfC, orfD, aadB, catB3, oxa-10, and aadA1 genes in varying combinations. In addition, heavy metal resistance genes, CopA, merA, and CzcA were positive in 9%, 7%, and 27% of the isolates, respectively. According to these outcomes, the frozen white-leg shrimp in Korean markets can be suggested as a source of multidrug and heavy metal-resistant Aeromonas spp. that carries genetic determinants.

  14. Enhancement of viability of radiosensitive (PBMC and resistant (MDA-MB-231 clones in low-dose-rate cobalt-60 radiation therapy

    Directory of Open Access Journals (Sweden)

    Patrícia Lima Falcão

    2015-06-01

    Full Text Available Abstract Objective: In the present study, the authors investigated the in vitro behavior of radio-resistant breast adenocarcinoma (MDA-MB-231 cells line and radiosensitive peripheral blood mononuclear cells (PBMC, as a function of different radiation doses, dose rates and postirradiation time kinetics, with a view to the interest of clinical radiotherapy. Materials and Methods: The cells were irradiated with Co-60, at 2 and 10 Gy and two different exposure rates, 339.56 cGy.min–1 and the other corresponding to one fourth of the standard dose rates, present over a 10-year period of cobalt therapy. Post-irradiation sampling was performed at pre-established kinetics of 24, 48 and 72 hours. The optical density response in viability assay was evaluated and a morphological analysis was performed. Results: Radiosensitive PBMC showed decrease in viability at 2 Gy, and a more significant decrease at 10 Gy for both dose rates. MDAMB- 231 cells presented viability decrease only at higher dose and dose rate. The results showed MDA-MB-231 clone expansion at low dose rate after 48–72 hours post-radiation. Conclusion: Low dose rate shows a possible potential clinical impact involving decrease in management of radio-resistant and radiosensitive tumor cell lines in cobalt therapy for breast cancer.

  15. Reversing bacterial resistance to antibiotics by phage-mediated delivery of dominant sensitive genes.

    Science.gov (United States)

    Edgar, Rotem; Friedman, Nir; Molshanski-Mor, Shahar; Qimron, Udi

    2012-02-01

    Pathogen resistance to antibiotics is a rapidly growing problem, leading to an urgent need for novel antimicrobial agents. Unfortunately, development of new antibiotics faces numerous obstacles, and a method that resensitizes pathogens to approved antibiotics therefore holds key advantages. We present a proof of principle for a system that restores antibiotic efficiency by reversing pathogen resistance. This system uses temperate phages to introduce, by lysogenization, the genes rpsL and gyrA conferring sensitivity in a dominant fashion to two antibiotics, streptomycin and nalidixic acid, respectively. Unique selective pressure is generated to enrich for bacteria that harbor the phages carrying the sensitizing constructs. This selection pressure is based on a toxic compound, tellurite, and therefore does not forfeit any antibiotic for the sensitization procedure. We further demonstrate a possible way of reducing undesirable recombination events by synthesizing dominant sensitive genes with major barriers to homologous recombination. Such synthesis does not significantly reduce the gene's sensitization ability. Unlike conventional bacteriophage therapy, the system does not rely on the phage's ability to kill pathogens in the infected host, but instead, on its ability to deliver genetic constructs into the bacteria and thus render them sensitive to antibiotics prior to host infection. We believe that transfer of the sensitizing cassette by the constructed phage will significantly enrich for antibiotic-treatable pathogens on hospital surfaces. Broad usage of the proposed system, in contrast to antibiotics and phage therapy, will potentially change the nature of nosocomial infections toward being more susceptible to antibiotics rather than more resistant.

  16. On the Determination of Magnesium Degradation Rates under Physiological Conditions.

    Science.gov (United States)

    Nidadavolu, Eshwara Phani Shubhakar; Feyerabend, Frank; Ebel, Thomas; Willumeit-Römer, Regine; Dahms, Michael

    2016-07-28

    The current physiological in vitro tests of Mg degradation follow the procedure stated according to the ASTM standard. This standard, although useful in predicting the initial degradation behavior of an alloy, has its limitations in interpreting the same for longer periods of immersion in cell culture media. This is an important consequence as the alloy's degradation is time dependent. Even if two different alloys show similar corrosion rates in a short term experiment, their degradation characteristics might differ with increased immersion times. Furthermore, studies concerning Mg corrosion extrapolate the corrosion rate from a single time point measurement to the order of a year (mm/y), which might not be appropriate because of time dependent degradation behavior. In this work, the above issues are addressed and a new methodology of performing long-term immersion tests in determining the degradation rates of Mg alloys was put forth. For this purpose, cast and extruded Mg-2Ag and powder pressed and sintered Mg-0.3Ca alloy systems were chosen. DMEM Glutamax +10% FBS (Fetal Bovine Serum) +1% Penicillin streptomycin was used as cell culture medium. The advantages of such a method in predicting the degradation rates in vivo deduced from in vitro experiments are discussed.

  17. In nondiabetic, human immunodeficiency virus-infected patients with lipodystrophy, hepatic insulin extraction and posthepatic insulin clearance rate are decreased in proportion to insulin resistance

    DEFF Research Database (Denmark)

    Haugaard, Steen B; Andersen, Ove; Hansen, Birgitte R

    2005-01-01

    In healthy, nondiabetic individuals with insulin resistance, fasting insulin is inversely correlated to the posthepatic insulin clearance rate (MCRi) and the hepatic insulin extraction (HEXi). We investigated whether similar early mechanisms to facilitate glucose homeostasis exist in nondiabetic...... > .1). Our data suggest that HEXi and MCRi are decreased in proportion to the degree of insulin resistance in nondiabetic HIV-infected patients with lipodystrophy....... insulin clearance rate was estimated as the ratio of posthepatic insulin appearance rate to steady-state plasma insulin concentration during a euglycemic hyperinsulinemic clamp (40 mU.m-2 .min-1). Posthepatic insulin appearance rate during the clamp was calculated, taking into account the remnant...

  18. Elevated Rate of Genome Rearrangements in Radiation-Resistant Bacteria

    OpenAIRE

    Repar, Jelena; Supek, Fran; Klanjscek, Tin; Warnecke, Tobias; Zahradka, Ksenija; Zahradka, Davor

    2017-01-01

    A number of bacterial, archaeal, and eukaryotic species are known for their resistance to ionizing radiation. One of the challenges these species face is a potent environmental source of DNA double-strand breaks, potential drivers of genome structure evolution. Efficient and accurate DNA double-strand break repair systems have been demonstrated in several unrelated radiation-resistant species and are putative adaptations to the DNA damaging environment. Such adaptations are expected to compen...

  19. Whole genome sequencing of clinical strains of Mycobacterium tuberculosis from Mumbai, India: A potential tool for determining drug-resistance and strain lineage.

    Science.gov (United States)

    Chatterjee, Anirvan; Nilgiriwala, Kayzad; Saranath, Dhananjaya; Rodrigues, Camilla; Mistry, Nerges

    2017-12-01

    Amplification of drug resistance in Mycobacterium tuberculosis (M.tb) and its transmission are significant barriers in controlling tuberculosis (TB) globally. Diagnostic inaccuracies and delays impede appropriate drug administration, which exacerbates primary and secondary drug resistance. Increasing affordability of whole genome sequencing (WGS) and exhaustive cataloguing of drug resistance mutations is poised to revolutionise TB diagnostics and facilitate personalized drug therapy. However, application of WGS for diagnostics in high endemic areas is yet to be demonstrated. We report WGS of 74 clinical TB isolates from Mumbai, India, characterising genotypic drug resistance to first- and second-line anti-TB drugs. A concordance analysis between phenotypic and genotypic drug susceptibility of a subset of 29 isolates and the sensitivity of resistance prediction to the 4 drugs was calculated, viz. isoniazid-100%, rifampicin-100%, ethambutol-100% and streptomycin-85%. The whole genome based phylogeny showed almost equal proportion of East Asian (27/74) and Central Asian (25/74) strains. Interestingly we also found a clonal group of 9 isolates, of which 7 patients were found to be from the same geographical location and accessed the same health post. This provides the first evidence of epidemiological linkage for tracking TB transmission in India, an approach which has the potential to significantly improve chances of End-TB goals. Finally, the use of Mykrobe Predictor, as a standalone drug resistance and strain typing tool, requiring just few minutes to analyse raw WGS data into tabulated results, implies the rapid clinical applicability of WGS based TB diagnosis. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Antimicrobial resistance of mastitis pathogens.

    Science.gov (United States)

    Oliver, Stephen P; Murinda, Shelton E

    2012-07-01

    Antibiotics are used extensively in the dairy industry to combat disease and to improve animal performance. Antibiotics such as penicillin, cephalosporin, streptomycin, and tetracycline are used for the treatment and prevention of diseases affecting dairy cows caused by a variety of gram-positive and gram-negative bacteria. Antibiotics are often administrated routinely to entire herds to prevent mastitis during the dry period. An increase in the incidence of disease in a herd generally results in increased use of antimicrobials, which in turn increases the potential for antibiotic residues in milk and the potential for increased bacterial resistance to antimicrobials. Continued use of antibiotics in the treatment and prevention of diseases of dairy cows will continue to be scrutinized. It is clear that strategies employing the prudent use of antimicrobials are needed. This clearly illustrates the importance of effective herd disease prevention and control programs. Based on studies published to date, scientific evidence does not support widespread, emerging resistance among mastitis pathogens to antibacterial drugs even though many of these antibiotics have been used in the dairy industry for treatment and prevention of disease for several decades. However, it is clear that use of antibiotics in dairy cows can contribute to increased antimicrobial resistance. While antimicrobial resistance does occur, we are of the opinion that the advantages of using antibiotics for the treatment of mastitis far outweigh the disadvantages. The clinical consequences of antimicrobial resistance of dairy pathogens affecting humans appear small. Antimicrobial resistance among dairy pathogens, particularly those found in milk, is likely not a human health concern as long as the milk is pasteurized. However, there are an increasing number of people who choose to consume raw milk. Transmission of an antimicrobial-resistant mastitis pathogen and/or foodborne pathogen to humans could occur

  1. Effect of Dietary Omega-3 Polyunsaturated Fatty Acids on Heart Rate and Heart Rate Variability in Animals Susceptible or Resistant to Ventricular Fibrillation

    Directory of Open Access Journals (Sweden)

    George E Billman

    2012-03-01

    Full Text Available The consumption of omega-3 polyunsaturated fatty acids (n-3 PUFAs has been reported to reduce cardiac mortality following myocardial infarction as well as to decrease resting heart rate (HR and increase heart rate variability (HRV. However, it has not been established whether n-3 PUFAs exhibit the same actions on HR and HRV in individuals known to be either susceptible or resistant to ventricular fibrillation (VF. Therefore, HR and HRV (high frequency and total R-R interval variability were evaluated before and 3 months after n-3 PUFA treatment in dogs with healed myocardial infarction that were either susceptible (VF+, n = 31 or resistant (VF-, n = 31 to ventricular tachyarrhythmias induced by a 2 min coronary artery occlusion during the last minute of a submaximal exercise test. HR and HRV were evaluated at rest, during submaximal exercise and in response to acute myocardial ischemia at rest before and after either placebo (1 g/day, corn oil, VF+, n = 9; VF- n = 8 or n-3 PUFA (docosahexaenoic acid + eicosapentaenoic acid ethyl esters, 1-4g/day, VF+, n = 22; VF-, n = 23 treatment for 3 months. The n-3 PUFA treatment elicited similar increases in red blood cell membrane, right atrial, and left ventricular n-3 PUFA levels in both the VF+ and VF- dogs. The n-3 PUFA treatment also provoked similar reductions in baseline HR and increases in baseline HRV in both groups that resulted in parallel shifts in the response to either exercise or acute myocardial ischemia (that is, the change in these variables induced by physiological challenges was not altered after n-3 PUFA treatment. These data demonstrate that dietary n-3 PUFA decreased HR and increased HRV to a similar extent in animals known to be prone to or resistant to malignant cardiac tachyarrhythmias.

  2. Characterization of Hospital-Associated Lineages of Ampicillin-Resistant Enterococcus faecium from Clinical Cases in Dogs and Humans

    Directory of Open Access Journals (Sweden)

    Cindy-Love eTremblay

    2013-08-01

    Full Text Available Ampicillin-resistant Enterococcus faecium (ARE has rapidly emerged worldwide and is one of the most important nosocomial pathogens. However, very few reports are available on ARE isolates from canine clinical cases. The objective of this study was to characterize ARE strains of canine clinical origin from a veterinary teaching hospital in Canada and to compare them with human strains. Ten ARE strains from dogs and humans were characterized by multilocus sequence typing (MLST, pulsed field gel electrophoresis (PFGE, antibiotic susceptibility and biofilm activities, presence of rep-families, CRISPR-cas and putative virulence genes. All ARE strains (n = 10 were resistant to ciprofloxacin and lincomycin. Resistances to tetracycline (n = 6, macrolides (n = 6, and to high concentrations of gentamicin, kanamycin and streptomycin (n = 5 were also observed. Canine ARE isolates were found to be susceptible to vancomycin whereas resistance to this antibiotic was observed in human strains. Ampicillin resistance was linked to PBP5 showing mutations at 25 amino acid positions. Fluoroquinolone resistance was attributable to ParC, GyrA, and GyrB mutations. Data demonstrated that all canine ARE were acm (collagen binding protein-positive and that most harbored the efaAfm gene, encoding for a cell wall adhesin. Biofilm formation was observed in two human strains but not in canine strains. Two to five rep-families were observed per strain but no CRISPR sequences were found. A total of six STs (1, 18, 65, 202, 205, and 803 were found with one belonging to a new ST (ST803. These STs were identical or closely related to human hospital-associated lineages. This report describes for the first time the characterization of canine ARE hospital-associated strains in Canada and also supports the importance of prudent antibiotic use in veterinary medicine to avoid zoonotic spread of canine ARE.

  3. Efflux pump genes of the resistance-nodulation-division family in Burkholderia cenocepacia genome

    Directory of Open Access Journals (Sweden)

    Manina Giulia

    2006-07-01

    Full Text Available Abstract Background Burkholderia cenocepacia is recognized as opportunistic pathogen that can cause lung infections in cystic fibrosis patients. A hallmark of B. cenocepacia infections is the inability to eradicate the organism because of multiple intrinsic antibiotic resistance. As Resistance-Nodulation-Division (RND efflux systems are responsible for much of the intrinsic multidrug resistance in Gram-negative bacteria, this study aims to identify RND genes in the B. cenocepacia genome and start to investigate their involvement into antimicrobial resistance. Results Genome analysis and homology searches revealed 14 open reading frames encoding putative drug efflux pumps belonging to RND family in B. cenocepacia J2315 strain. By reverse transcription (RT-PCR analysis, it was found that orf3, orf9, orf11, and orf13 were expressed at detectable levels, while orf10 appeared to be weakly expressed in B. cenocepacia. Futhermore, orf3 was strongly induced by chloramphenicol. The orf2 conferred resistance to fluoroquinolones, tetraphenylphosphonium, streptomycin, and ethidium bromide when cloned and expressed in Escherichia coli KAM3, a strain lacking the multidrug efflux pump AcrAB. The orf2-overexpressing E. coli also accumulate low concentrations of ethidium bromide, which was restored to wild type level in the presence of CCCP, an energy uncoupler altering the energy of the drug efflux pump. Conclusion The 14 RND pumps gene we have identified in the genome of B. cenocepacia suggest that active efflux could be a major mechanism underlying antimicrobial resistance in this microorganism. We have characterized the ORF2 pump, one of these 14 potential RND efflux systems. Its overexpression in E. coli conferred resistance to several antibiotics and to ethidium bromide but it remains to be determined if this pump play a significant role in the antimicrobial intrinsic resistance of B. cenocepacia. The characterization of antibiotic efflux pumps in B

  4. Density Dependence and Growth Rate: Evolutionary Effects on Resistance Development to Bt (Bacillus thuringiensis).

    Science.gov (United States)

    Martinez, Jeannette C; Caprio, Michael A; Friedenberg, Nicholas A

    2018-02-09

    It has long been recognized that pest population dynamics can affect the durability of a pesticide, but dose remains the primary component of insect resistance management (IRM). For transgenic pesticidal traits such as Bt (Bacillus thuringiensis Berliner (Bacillales: Bacillaceae)), dose (measured as the mortality of susceptibles caused by a toxin) is a relatively fixed characteristic and often falls below the standard definition of high dose. Hence, it is important to understand how pest population dynamics modify durability and what targets they present for IRM. We used a deterministic model of a generic arthropod pest to examine how timing and strength of density dependence interacted with population growth rate and Bt mortality to affect time to resistance. As in previous studies, durability typically reached a minimum at intermediate doses. However, high population growth rates could eliminate benefits of high dose. The timing of density dependence had a more subtle effect. If density dependence operated simultaneously with Bt mortality, durability was insensitive to its strengths. However, if density dependence was driven by postselection densities, decreasing its strength could increase durability. The strength of density dependence could affect durability of both single traits and pyramids, but its influence depended on the timing of density dependence and size of the refuge. Our findings suggest the utility of a broader definition of high dose, one that incorporates population-dynamic context. That maximum growth rates and timing and strength of interactions causing density dependent mortality can all affect durability, also highlights the need for ecologically integrated approaches to IRM research. © The Author(s) 2017. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  5. Clonal emergence of Klebsiella pneumoniae ST14 co-producing OXA-48-type and NDM carbapenemases with high rate of colistin resistance in Dubai, United Arab Emirates.

    Science.gov (United States)

    Moubareck, Carole Ayoub; Mouftah, Shaimaa F; Pál, Tibor; Ghazawi, Akela; Halat, Dalal H; Nabi, Anju; AlSharhan, Mouza A; AlDeesi, Zulfa O; Peters, Christabel C; Celiloglu, Handan; Sannegowda, Manjunath; Sarkis, Dolla K; Sonnevend, Ágnes

    2018-03-09

    Few studies have addressed the molecular epidemiology of carbapenem resistant Enterobacteriaceae (CRE) isolates in the Arabian Peninsula, and such investigations have been missing from Dubai, a major economical, tourism and medical centre of the region. The antibiotic susceptibility, the carbapenemase type produced, and the clonality of 89 CRE strains isolated in five major Dubai hospitals in June 2015 - June 2016 were determined. Thirty three percent of the collection of 70 K. pneumoniae, 13 E. coli and 6 other Enterobacteriaceae were extremely drug resistant, 27% were resistant to colistin, and 4.5% (four K. pneumoniae isolates) were resistant to all antibiotics tested. The colistin resistance rate in K. pneumoniae was 31.4%. None of the isolates carried mobile colistin resistance genes. Seventy-seven isolates produced carbapenemase: 53.3% OXA-48-like, 24.7% NDM, and 22.1% both OXA-48-like and NDM, respectively. PFGE clustered 50% of K. pneumoniae into a 35-membered group, which showed significant association with double carbapenemase production, with extreme drug resistance, and with being isolated from Emirati patients. Members of the cluster belonged to sequence type ST14. The rate of colistin resistance in K. pneumoniae ST14 was 37.1% vs. 27.1% of K. pneumoniae isolates outside of the cluster. Two of the panresistant K. pneumoniae isolates also belonged to ST14, whereas the other two were ST15 and ST231, respectively. In conclusion, beyond the overall high colistin resistance rate in CRE, the emergence of a highly resistant clone of K. pneumoniae ST14 in all Dubai hospitals investigated is a serious problem requiring immediate attention. Copyright © 2018. Published by Elsevier B.V.

  6. Study on drug resistance of mycobacterium tuberculosis in patients with pulmonary tuberculosis by drug resistance gene detecting

    International Nuclear Information System (INIS)

    Wang Wei; Li Hongmin; Wu Xueqiong; Wang Ansheng; Ye Yixiu; Wang Zhongyuan; Liu Jinwei; Chen Hongbing; Lin Minggui; Wang Jinhe; Li Sumei; Jiang Ping; Feng Bai; Chen Dongjing

    2004-01-01

    To investigate drug resistance of mycobacterium tuberculosis in different age group, compare detecting effect of two methods and evaluate their the clinical application value, all of the strains of mycobacterium tuberculosis were tested for resistance to RFP, INH SM PZA and EMB by the absolute concentration method on Lowenstein-Jensen medium and the mutation of the rpoB, katG, rpsL, pncA and embB resistance genes in M. tuberculosis was tested by PCR-SSCP. In youth, middle and old age group, the rate of acquired drug resistance was 89.2%, 85.3% and 67.6% respectively, the gene mutation rate was 76.2%, 81.3% and 63.2% respectively. The rate of acquired drug resistance and multiple drug resistance in youth group was much higher than those in other groups. The gene mutation was correlated with drug resistance level of mycobacterium tuberculosis. The gene mutation rate was higher in strains isolated from high concentration resistance than those in strains isolated from low concentration resistance. The more irregular treatment was longer, the rate of drug resistance was higher. Acquired drug resistance varies in different age group. It suggested that surveillance of drug resistence in different age group should be taken seriously, especially in youth group. PCR - SSCP is a sensitive and specific method for rapid detecting rpoB, katG, rpsL, pncA and embB genes mutations of MTB. (authors)

  7. Local repeat sequence organization of an intergenic spacer in the ...

    Indian Academy of Sciences (India)

    Unknown

    chloroplast genome of Chlamydomonas reinhardtii leads to DNA expansion and sequence ... The discovery of uniparentally inherited streptomycin resistant mutants ... resembles yeast, mitochondrial and phage recombination in that it is typically ...... Sager R and Lane D 1972 Molecular basis of maternal inheritance; Proc.

  8. Integrated Control of Fire Blight with Antagonists and Oxytetracycline

    Science.gov (United States)

    In the Pacific Northwest of the United States, the antibiotic streptomycin provided excellent control of fire blight until resistant isolates of Erwinia amylovora arose. Oxytetracycline (Mycoshield) is now sprayed as an alternative antibiotic. We found that the duration of inhibitory activity of o...

  9. Integrated Control of Fire Blight with Bacterial Antagonists and Oxytetracycline

    Science.gov (United States)

    In the Pacific Northwest of the United States, the antibiotic streptomycin provided excellent control of fire blight until resistant isolates of Erwinia amylovora were prevalent. Oxytetracycline (Mycoshield) is now sprayed as an alternative antibiotic. We found that the duration of inhibitory acti...

  10. Prevalence and occurrence rate of Mycobacterium tuberculosis Haarlem family multi-drug resistant in the worldwide population: A systematic review and meta-analysis

    Science.gov (United States)

    Ramazanzadeh, Rashid; Roshani, Daem; Shakib, Pegah; Rouhi, Samaneh

    2015-01-01

    Background: Transmission of Mycobacterium tuberculosis (M. tuberculosis) can occur in different ways. Furthermore, drug resistant in M. tuberculosis family is a major problem that creates obstacles in treatment and control of tuberculosis (TB) in the world. One of the most prevalent families of M. tuberculosis is Haarlem, and it is associated with drug resistant. Our objectives of this study were to determine the prevalence and occurrence rate of M. tuberculosis Haarlem family multi-drug resistant (MDR) in the worldwide using meta-analysis based on a systematic review that performed on published articles. Materials and Methods: Data sources of this study were 78 original articles (2002-2012) that were published in the literatures in several databases including PubMed, Science Direct, Google Scholar, Biological abstracts, ISI web of knowledge and IranMedex. The articles were systematically reviewed for prevalence and rate of MDR. Data were analyzed using meta-analysis and random effects models with the software package Meta R, Version 2.13 (P < 0.10). Results: Final analysis included 28601 persons in 78 articles. The highest and lowest occurrence rate of Haarlem family in M. tuberculosis was in Hungary in 2006 (66.20%) with negative MDR-TB and in China in 2010 (0.8%), respectively. From 2002 to 2012, the lowest rate of prevalence was in 2010, and the highest prevalence rate was in 2012. Also 1.076% were positive for MDR and 9.22% were negative (confidence interval: 95%).0020. Conclusion: Many articles and studies are performed in this field globally, and we only chose some of them. Further studies are needed to be done in this field. Our study showed that M. tuberculosis Haarlem family is prevalent in European countries. According to the presence of MDR that was seen in our results, effective control programs are needed to control the spread of drug-resistant strains, especially Haarlem family. PMID:25767526

  11. High Heating Rates Affect Greatly the Inactivation Rate of Escherichia coli

    Science.gov (United States)

    Huertas, Juan-Pablo; Aznar, Arantxa; Esnoz, Arturo; Fernández, Pablo S.; Iguaz, Asunción; Periago, Paula M.; Palop, Alfredo

    2016-01-01

    Heat resistance of microorganisms can be affected by different influencing factors. Although, the effect of heating rates has been scarcely explored by the scientific community, recent researches have unraveled its important effect on the thermal resistance of different species of vegetative bacteria. Typically heating rates described in the literature ranged from 1 to 20°C/min but the impact of much higher heating rates is unclear. The aim of this research was to explore the effect of different heating rates, such as those currently achieved in the heat exchangers used in the food industry, on the heat resistance of Escherichia coli. A pilot plant tubular heat exchanger and a thermoresistometer Mastia were used for this purpose. Results showed that fast heating rates had a deep impact on the thermal resistance of E. coli. Heating rates between 20 and 50°C/min were achieved in the heat exchanger, which were much slower than those around 20°C/s achieved in the thermoresistometer. In all cases, these high heating rates led to higher inactivation than expected: in the heat exchanger, for all the experiments performed, when the observed inactivation had reached about seven log cycles, the predictions estimated about 1 log cycle of inactivation; in the thermoresistometer these differences between observed and predicted values were even more than 10 times higher, from 4.07 log cycles observed to 0.34 predicted at a flow rate of 70 mL/min and a maximum heating rate of 14.7°C/s. A quantification of the impact of the heating rates on the level of inactivation achieved was established. These results point out the important effect that the heating rate has on the thermal resistance of E. coli, with high heating rates resulting in an additional sensitization to heat and therefore an effective food safety strategy in terms of food processing. PMID:27563300

  12. Antimicrobial Resistance and Genotypic Diversity of Campylobacter Isolated from Pig, Dairy and Beef Cattle in Tanzania

    Directory of Open Access Journals (Sweden)

    Isaac eKashoma

    2015-11-01

    Full Text Available Foodborne Campylobacter infections pose a serious threat to public health worldwide. However, the occurrence and characteristics of Campylobacter in food animals and products remain largely unknown in Tanzania. The objective of this study was to determine the prevalence, antibiotic resistance, and genetic profiles (sequence types, STs of Campylobacter isolated from feces of pigs and dairy and beef cattle in Tanzania. Overall, 259 (~ 30% of 864 samples were positive for Campylobacter spp, which were detected in 32.5%, 35.4%, and 19.6% of the pig, dairy, and beef cattle samples, respectively. Multiplex PCR analysis identified 64.5% and 29.3% of the Campylobacter isolates as C. coli and C. jejuni, respectively. The majority (91.9% of the isolates from pig samples were identified as C. coli, while C. jejuni accounted for 65.5% of the isolates from cattle. Antimicrobial susceptibility testing using the disk diffusion assay and the broth microdilution method revealed resistance to: ampicillin (70% and 76%, gentamicin (1.8% and 12.6%, respectively, streptomycin (65.8% and 74.8%, erythromycin (41.4% and 48.7%, tetracycline (18.9% and 23.4%, and ciprofloxacin (14.4% and 7.2%. Resistance to nalidixic acid (39.6%, azithromycin (13.5%, and chloramphenicol (4.5% was determined using the disk diffusion assay only, while resistance to tylosin (38.7% was quantified using the broth microdilution method. Multilocus sequence typing of 111 Campylobacter isolates resulted in the identification of 48 STs (26 C. jejuni and 22 C. coli of which 7 were novel (6 C. jejuni and 1 C. coli. Taken together, this study revealed the high prevalence, genetic diversity and antimicrobial resistance of Campylobacter in important food animals in Tanzania, which highlights the urgent need for the surveillance and control of Campylobacter in this country.

  13. Enterobacter and Klebsiella species isolated from fresh vegetables marketed in Valencia (Spain) and their clinically relevant resistances to chemotherapeutic agents.

    Science.gov (United States)

    Falomir, María Pilar; Rico, Hortensia; Gozalbo, Daniel

    2013-12-01

    Occurrence of antibiotic-resistant pathogenic or commensal enterobacteria in marketed agricultural foodstuffs may contribute to their incorporation into the food chain and constitutes an additional food safety concern. In this work, we have determined the clinically relevant resistances to 11 common chemotherapeutic agents in Enterobacter and Klebsiella isolates from fresh vegetables from various sources (supermarkets and greengrocers' shops in Valencia, Spain). A total of 96 isolates were obtained from 160 vegetables analyzed (50% positive samples): 68 Enterobacter isolates (59 E. cloacae, two E. aerogenes, two E. cancerogenus, one E. gergoviae, and four E. sakazakii, currently Cronobacter spp.), and 28 Klebsiella isolates (19 K. oxytoca and 9 K. pneumoniae). Only seven isolates were susceptible to all agents tested, and no resistances to ceftazidime, ciprofloxacin, gentamicin, and chloramphenicol were detected. Most isolates were resistant to amoxicillin/clavulanic acid (74 [58 Enterobacter and 16 Klebsiella]) or to ampicillin (80 [55/25]). Other resistances were less frequent: nitrofurantoin (13 isolates [12/1]), tetracycline (6 [5/1]), co-trimoxazole (3 [3/0]), cefotaxime (1 [1/0]), and streptomycin (2 [1/1]). Multiresistant isolates to two (56 [41/15]), three (10 E. cloacae isolates), four (one E. cloacae and one K. pneumoniae isolate), and five (two E. cloacae isolates) chemotherapeutic agents were also detected. The presence of potential pathogens points to marketed fresh produce, which often is eaten raw, as a risk factor for consumer health. In addition, these results support the usefulness of these bacterial species as indicators of the spreading of antibiotic resistances into the environment, particularly in the food chain, and suggest their role as carriers of resistance determinants from farms to consumers, which may constitute an additional "silent" food safety concern. Therefore, there is a need to improve the hygienic quality of marketed fresh

  14. Isolation, Functional Characterization and Transmissibility of p3PS10, a Multidrug Resistance Plasmid of the Fish Pathogen Piscirickettsia salmonis

    Directory of Open Access Journals (Sweden)

    José Saavedra

    2018-05-01

    Full Text Available Antibiotic resistance is a major public health concern due to its association with the loss of efficacy of antimicrobial therapies. Horizontal transfer events may play a significant role in the dissemination of resistant bacterial phenotypes, being mobilizable plasmids a well-known mechanism. In this study, we aimed to gain insights into the genetics underlying the development of antibiotic resistance by Piscirickettsia salmonis isolates, a bacterial fish pathogen and causative agent of salmonid piscirickettsiosis, and the main target of antibiotics used in Chilean salmon farming. We provide experimental evidence that the plasmid p3PS10, which harbors multidrug resistance genes for chloramphenicol (cat2, tetracyclines [tet(31], aminoglycosides (sat1 and aadA1, and sulfonamides (sul2, is carried by a group of P. salmonis isolates exhibiting a markedly reduced susceptibility to oxytetracycline in vitro (128–256 μg/mL of minimal inhibitory concentration, MIC. Antibiotic susceptibility analysis extended to those antibiotics showed that MIC of chloramphenicol, streptomycin, and sulfamethoxazole/trimethoprim were high, but the MIC of florfenicol remained at the wild-type level. By means of molecular cloning, we demonstrate that those genes encoding putative resistance markers are indeed functional. Interestingly, mating assays clearly show that p3PS10 is able to be transferred into and replicate in different hosts, thereby conferring phenotypes similar to those found in the original host. According to epidemiological data, this strain is distributed across aquaculture settings in southern Chile and is likely to be responsible for oxytetracycline treatment failures. This work demonstrates that P. salmonis is more versatile than it was thought, capable of horizontally transferring DNA, and probably playing a role as a vector of resistance traits among the seawater bacterial population. However, the low transmission frequency of p3PS10 suggests a

  15. Prevalence of plasmid-mediated quinolone resistance determinants among oxyiminocephalosporin-resistant Enterobacteriaceae in Argentina

    Directory of Open Access Journals (Sweden)

    Giovanna Rincon Cruz

    2013-11-01

    Full Text Available High quinolone resistance rates were observed among oxyiminocephalosporin-resistant enterobacteria. In the present study, we searched for the prevalence of plasmid-mediated quinolone resistance (PMQR genes within the 55 oxyiminocephalosporin-resistant enterobacteria collected in a previous survey. The main PMQR determinants were aac(6'-Ib-cr and qnrB, which had prevalence rates of 42.4% and 33.3%, respectively. The aac(6'-Ib-cr gene was more frequently found in CTX-M-15-producing isolates, while qnrB was homogeneously distributed among all CTX-M producers.

  16. A multiple antibiotic and serum resistant oligotrophic strain, Klebsiella pneumoniae MB45 having novel dfrA30, is sensitive to ZnO QDs

    Directory of Open Access Journals (Sweden)

    Chakrabarti Pinak

    2011-05-01

    Full Text Available Abstract Background The aim of this study was to describe a novel trimethoprim resistance gene cassette, designated dfrA30, within a class 1 integron in a facultatively oligotrophic, multiple antibiotic and human serum resistant test strain, MB45, in a population of oligotrophic bacteria isolated from the river Mahananda; and to test the efficiency of surface bound acetate on zinc oxide quantum dots (ZnO QDs as bactericidal agent on MB45. Methods Diluted Luria broth/Agar (10-3 media was used to cultivate the oligotrophic bacteria from water sample. Multiple antibiotic resistant bacteria were selected by employing replica plate method. A rapid assay was performed to determine the sensitivity/resistance of the test strain to human serum. Variable region of class 1 integron was cloned, sequenced and the expression of gene coding for antibiotic resistance was done in Escherichia coli JM 109. Identity of culture was determined by biochemical phenotyping and 16S rRNA gene sequence analyses. A phylogenetic tree was constructed based on representative trimethoprim resistance-mediating DfrA proteins retrieved from GenBank. Growth kinetic studies for the strain MB45 were performed in presence of varied concentrations of ZnO QDs. Results and conclusions The facultatively oligotrophic strain, MB45, resistant to human serum and ten antibiotics trimethoprim, cotrimoxazole, ampicillin, gentamycin, netilmicin, tobramycin, chloramphenicol, cefotaxime, kanamycin and streptomycin, has been identified as a new strain of Klebsiella pneumoniae. A novel dfr gene, designated as dfrA30, found integrated in class 1 integron was responsible for resistance to trimethoprim in Klebsiella pneumoniae strain MB45. The growth of wild strain MB45 was 100% arrested at 500 mg/L concentration of ZnO QDs. To our knowledge this is the first report on application of ZnO quantum dots to kill multiple antibiotics and serum resistant K. pneumoniae strain.

  17. Obesity and Prader-Willi Syndrome Affect Heart Rate Recovery from Dynamic Resistance Exercise in Youth

    Directory of Open Access Journals (Sweden)

    Diobel M. Castner

    2016-01-01

    Full Text Available Following exercise, heart rate decline is initially driven by parasympathetic reactivation and later by sympathetic withdrawal. Obesity delays endurance exercise heart rate recovery (HRR in both children and adults. Young people with Prader-Willi Syndrome (PWS, a congenital cause for obesity, have shown a slower 60-s endurance exercise HRR compared to lean and obese children, suggesting compromised regulation. This study further evaluated effects of obesity and PWS on resistance exercise HRR at 30 and 60 s in children. PWS (8–18 years and lean and obese controls (8–11 years completed a weighted step-up protocol (six sets x 10 reps per leg, separated by one-minute rest, standardized using participant stature and lean body mass. HRR was evaluated by calculated HRR value (HRRV = difference between HR at test termination and 30 (HRRV30 and 60 (HRRV60 s post-exercise. PWS and obese had a smaller HRRV30 than lean (p < 0.01 for both. Additionally, PWS had a smaller HRRV60 than lean and obese (p = 0.01 for both. Obesity appears to delay early parasympathetic reactivation, which occurs within 30 s following resistance exercise. However, the continued HRR delay at 60 s in PWS may be explained by either blunted parasympathetic nervous system reactivation, delayed sympathetic withdrawal and/or poor cardiovascular fitness.

  18. Salmonella and raw shell eggs: results of a cross-sectional study of contamination rates and egg safety practices in the United Kingdom catering sector in 2003.

    Science.gov (United States)

    Elson, R; Little, C L; Mitchell, R T

    2005-02-01

    This study was prompted by epidemiological investigations of the unusual number of Salmonella Enteritidis outbreaks associated with the use of eggs in catering premises in England and Wales during 2002. The aims of the study, performed between April and May 2003, were to establish the rate of Salmonella contamination in raw shell eggs from catering premises, investigate any correlation between the origin and type of eggs and the presence of particular serotypes or phage types (PTs) of Salmonella, and examine the use of raw shell eggs in catering premises in the United Kingdom. A total of 34,116 eggs (5,686 pooled samples of six eggs) were collected from 2,104 catering premises, most of which were eggs produced in the United Kingdom (88%). Salmonella was isolated from 17 pools (0.3%) of eggs. Of these, 15 were Salmonella Enteritidis, which were further characterized to PTs as follows: PT6 (0.1%), PT4 (0.07%), PT12 (0.04%), PT1 (0.04%), and PT14b (0.02%). Salmonella Livingstone and Salmonella Typhimurium definitive type 7 resistant to ampicillin, streptomycin, sulfonamides, and tetracycline were also isolated. The Salmonella contamination rate of eggs produced in the United Kingdom appears to have decreased significantly since 1995 and 1996. This trend is reflected in the decrease of Salmonella Enteritidis and, in particular, Salmonella Enteritidis PT4. The impact of the United Kingdom Food Standards Agency's advice on the use of eggs, issued in January 2003, is discussed.

  19. Molecular characterization of Salmonella enterica serovar 4,[5],12:i:- DT193 ASSuT strains from two outbreaks in Italy

    DEFF Research Database (Denmark)

    Barco, Lisa; Ramon, Elena; Cortini, Enzo

    2014-01-01

    Abstract Salmonella enterica subsp. enterica serovar 4,[5],12:i:- DT193 is recognized as an emerging monophasic variant of Salmonella Typhimurium in many European countries. Resistance to ampicillin, streptomycin, sulphonamides, and tetracycline (R-type ASSuT) is described as one of the most comm...

  20. Determination of antimicrobial resistance of Enterococcus strains isolated from pigs and their genotypic characterization by method of amplification of DNA fragments surrounding rare restriction sites (ADSRRS fingerprinting).

    Science.gov (United States)

    Nowakiewicz, Aneta; Ziółkowska, Grażyna; Trościańczyk, Aleksandra; Zięba, Przemysław; Gnat, Sebastian

    2017-03-01

    In this study, we analysed phenotypic resistance profiles and their reflection in the genomic profiles of Enterococcus spp. strains isolated from pigs raised on different farms. Samples were collected from five pig farms (n=90 animals) and tested for Enterococcus. MICs of 12 antimicrobials were determined using the broth microdilution method, and epidemiological molecular analysis of strains belonging to selected species (faecalis, faecium and hirae) was performed using the ADSRRS-fingerprinting (amplification of DNA fragments surrounding rare restriction sites) method with a few modifications. The highest percentage of strains was resistant to tetracycline (73.4 %), erythromycin and tylosin (42.5 %) and rifampin (25.2 %), and a large number of strains exhibited high-level resistance to both kanamycin (25.2 %) and streptomycin (27.6 %). The strains of E. faecalis, E. faecium and E. hirae (n=184) revealed varied phenotypic resistance profiles, among which as many as seven met the criteria for multidrug resistance (30.4 % of strains tested). ADSRRS-fingerprinting analysis produced 17 genotypic profiles of individual strains which were correlated with their phenotypic resistance profiles. Only E. hirae strains susceptible to all of the chemotherapeutics tested had two different ADSRRS profiles. Moreover, eight animals were carriers of more than one genotype belonging to the same Enterococcus spp., mainly E. faecalis. Given the possibility of transmission to humans of the high-resistance/multidrug resistance enterococci and the significant role of pigs as food animals in this process, it is necessary to introduce a multilevel control strategy by carrying out research on the resistance and molecular characteristics of indicator bacterial strains isolated from animals on individual farms.

  1. Supplementary Material for: Mycobacterium tuberculosis whole genome sequencing and protein structure modelling provides insights into anti-tuberculosis drug resistance

    KAUST Repository

    Phelan, Jody

    2016-01-01

    Abstract Background Combating the spread of drug resistant tuberculosis is a global health priority. Whole genome association studies are being applied to identify genetic determinants of resistance to anti-tuberculosis drugs. Protein structure and interaction modelling are used to understand the functional effects of putative mutations and provide insight into the molecular mechanisms leading to resistance. Methods To investigate the potential utility of these approaches, we analysed the genomes of 144 Mycobacterium tuberculosis clinical isolates from The Special Programme for Research and Training in Tropical Diseases (TDR) collection sourced from 20 countries in four continents. A genome-wide approach was applied to 127 isolates to identify polymorphisms associated with minimum inhibitory concentrations for first-line anti-tuberculosis drugs. In addition, the effect of identified candidate mutations on protein stability and interactions was assessed quantitatively with well-established computational methods. Results The analysis revealed that mutations in the genes rpoB (rifampicin), katG (isoniazid), inhA-promoter (isoniazid), rpsL (streptomycin) and embB (ethambutol) were responsible for the majority of resistance observed. A subset of the mutations identified in rpoB and katG were predicted to affect protein stability. Further, a strong direct correlation was observed between the minimum inhibitory concentration values and the distance of the mutated residues in the three-dimensional structures of rpoB and katG to their respective drugs binding sites. Conclusions Using the TDR resource, we demonstrate the usefulness of whole genome association and convergent evolution approaches to detect known and potentially novel mutations associated with drug resistance. Further, protein structural modelling could provide a means of predicting the impact of polymorphisms on drug efficacy in the absence of phenotypic data. These approaches could ultimately lead to novel

  2. A modified Janus cassette (Sweet Janus to improve allelic replacement efficiency by high-stringency negative selection in Streptococcus pneumoniae.

    Directory of Open Access Journals (Sweden)

    Yuan Li

    Full Text Available The Janus cassette permits marker-free allelic replacement or knockout in streptomycin-resistant Streptococcus pneumoniae (pneumococcus through sequential positive and negative selection. Spontaneous revertants of Janus can lead to high level of false-positives during negative selection, which necessitate a time-consuming post-selection screening process. We hypothesized that an additional counter-selectable marker in Janus would decrease the revertant frequency and reduce false-positives, since simultaneous reversion of both counter-selectable makers is much less likely. Here we report a modified cassette, Sweet Janus (SJ, in which the sacB gene from Bacillus subtilis conferring sucrose sensitivity is added to Janus. By using streptomycin and sucrose simultaneously as selective agents, the frequency of SJ double revertants was about 105-fold lower than the frequency of Janus revertants. Accordingly, the frequency of false-positives in the SJ-mediated negative selection was about 100-fold lower than what was seen for Janus. Thus, SJ enhances negative selection stringency and can accelerate allelic replacement in pneumococcus, especially when transformation frequency is low due to strain background or suboptimal transformation conditions. Results also suggested the sacB gene alone can function as a counter-selectable marker in the Gram-positive pneumococcus, which will have the advantage of not requiring a streptomycin-resistant strain for allelic replacement.

  3. Preparation, optimisation and characterisation of novel wound healing film dressings loaded with streptomycin and diclofenac.

    Science.gov (United States)

    Pawar, H V; Tetteh, J; Boateng, J S

    2013-02-01

    Streptomycin (STP) and diclofenac (DLF) loaded film dressings were prepared by blending Polyox(®) (POL) with four hydrophilic polymers [hydroxypropylmethylcellulose (HPMC), carrageenan (CAR), sodium alginate (SA) or chitosan (CS)] using glycerol (GLY) as plasticiser. The films were characterised by scanning electron microscopy (SEM), differential scanning calorimetry (DSC), X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy, texture analysis (tensile and swelling characteristics) and in vitro dissolution profiles using Franz diffusion cell. SEM showed homogeneous morphology for both blank (BLK) and drug loaded (DL) films. Films prepared by blending of POL with the other polymers showed a reduction in the crystallisation of POL in descending order of SA>CS>HPMC>CAR respectively. DSC and XRD showed no crystalline peaks of STP and DLF suggesting molecular dispersion of both drugs as well as possible drug interaction with negatively charged sulphate ions present in CAR. The DL films did not show any IR bands of both drugs, confirming the DSC and XRD results. POL-CAR-BLK films showed higher tensile strength (12.32±1.40 MPa) than the POL-CAR-DL films (9.52±1.12 MPa). DL films plasticised with 25%w/w GLY revealed soft and tough (tensile strength 1.02±0.28 MPa, % elongation 1031.33±16.23) formulations. The swelling capacities of POL-CAR-BLK and POL-CAR-DL films were (733.17±25.78%) and (646.39±40.39%), increasing to (1072.71±80.30%) and (1051±86.68%) for POL-CAR-BLK-25% GLY and POL-CAR-DL-25% GLY respectively. POL-CAR-DL films showed significantly (n=3, p<0.0318) lower cumulative release of STP and DLF (52.11±1.34, 55.26±2.25) compared to POL-CAR-DL-25% GLY films (60.07±1.56, 63.39±1.92) respectively. Copyright © 2012 Elsevier B.V. All rights reserved.

  4. Antibiotic susceptibility profiles of some Vibrio strains isolated from wastewater final effluents in a rural community of the Eastern Cape Province of South Africa

    Directory of Open Access Journals (Sweden)

    Igbinosa Etinosa O

    2010-05-01

    Full Text Available Abstract Background To evaluate the antibiogram and antibiotic resistance genes of some Vibrio strains isolated from wastewater final effluents in a rural community of South Africa. V. vulnificus (18, V. metschnikovii (3, V. fluvialis (19 and V. parahaemolyticus (12 strains were isolated from final effluents of a wastewater treatment plant (WWTP located in a rural community of South Africa. The disk diffusion method was used for the characterization of the antibiogram of the isolates. Polymerase chain reaction (PCR was employed to evaluate the presence of established antibiotic resistance genes using specific primer sets. Results The Vibrio strains showed the typical multidrug-resistance phenotype of an SXT element. They were resistant to sulfamethoxazole (Sul, trimethoprim (Tmp, cotrimoxazole (Cot, chloramphenicol (Chl, streptomycin (Str, ampicillin (Amp, tetracycline (Tet nalidixic acid (Nal, and gentamicin (Gen. The antibiotic resistance genes detected includes dfr18 and dfrA1 for trimethoprim; floR, tetA, strB, sul2 for chloramphenicol, tetracycline, streptomycin and sulfamethoxazole respectively. Some of these genes were only recently described from clinical isolates, demonstrating genetic exchange between clinical and environmental Vibrio species. Conclusions These results demonstrate that final effluents from wastewater treatment plants are potential reservoirs of various antibiotics resistance genes. Moreover, detection of resistance genes in Vibrio strains obtained from the wastewater final effluents suggests that these resistance determinants might be further disseminated in habitats downstream of the sewage plant, thus constituting a serious health risk to the communities reliant on the receiving waterbodies.

  5. Study on breeding of daptomycin-producing strains by nitrogen ion implantation

    International Nuclear Information System (INIS)

    Zhou Jian; Liu Ying; Fang Dongsheng; Jiang Hong; Zhang Yin; Gao Wuyan

    2008-01-01

    Streptomyces roseosporus C20, the bacteria used in production of daptomycin, were implanted with (15-200)x10 13 /cm 2 of 20keV N + ions. Survival rate of the bacteria at different absorbed doses was investigated, and mutagenic effects of the microbe were studied. After breeding under the selection pressure of resistance to streptomycin (the lethal concentration is 1.2μg/mL), several mutant strains with higher yields of daptomycin have been obtained. One of mutant strains, N3-36, can increase up to 126% compared to the original strain. It also shows that the mutant strains have high genetic stability. (authors)

  6. Antibiotic resistance, phylogenetic grouping and virulence potential of Escherichia coli isolated from the faeces of intensively farmed and free range poultry.

    Science.gov (United States)

    Obeng, Akua Serwaah; Rickard, Heather; Ndi, Olasumbo; Sexton, Margaret; Barton, Mary

    2012-01-27

    Antibiotic use in poultry production is a risk factor for promoting the emergence of resistant Escherichia coli. To ascertain differences in different classes of chickens, the resistance profile, some virulence genes and phylogenetic grouping on 251 E. coli isolates from intensive meat (free range and indoor commercial) and free range egg layer chickens collected between December 2008 and June 2009 in South Australia were performed. Among the 251 strains, 102 (40.6%) and 67 (26.7%) were found to be resistant to tetracycline and ampicillin respectively. Resistance was also observed to trimethoprim-sulfamethoxazole (12.4%), streptomycin (10.8%), spectinomycin (9.6%), neomycin (6.0%) and florfenicol (2.0%) but no resistance was found to ceftiofur, ciprofloxacin or gentamicin. Amplification of DNA of the isolates by polymerase chain reaction revealed the presence of genes that code for resistant determinants: tetracycline (tet(A), tet(B) and tet(C)), ampicillin (bla(TEM) and bla(SHV)), trimethoprim (dhfrV and dhfrXIII), sulphonamide (sulI and sulII), neomycin (aph(3)-Ia(aphA1)), and spectinomycin-streptinomycin (aadA2). In addition, 32.3-39.4% of the isolates were found to belong to commensal groups (A and B1) and 11.2-17.1% belonged to the virulent groups (B2 and D). Among the 251 E. coli isolates, 25 (10.0%) carried two or more virulence genes typical of Extraintestinal pathogenic E. coli (ExPEC). Furthermore, 17 of the isolates with multi-resistance were identified to be groups B2 and D. Although no significant difference was observed between isolates from free range and indoor commercial meat chickens (P>0.05), significant differences was observed between the different classes of meat chickens (free range and indoor commercial) and egg layers (Pzoonotic potential of poultry E. coli isolates. Copyright © 2011. Published by Elsevier B.V.

  7. Linear growth rates of resistive tearing modes with sub-Alfvénic streaming flow

    International Nuclear Information System (INIS)

    Wu, L. N.; Ma, Z. W.

    2014-01-01

    The tearing instability with sub-Alfvénic streaming flow along the external magnetic field is investigated using resistive MHD simulation. It is found that the growth rate of the tearing mode instability is larger than that without the streaming flow. With the streaming flow, there exist two Alfvén resonance layers near the central current sheet. The larger perturbation of the magnetic field in two closer Alfvén resonance layers could lead to formation of the observed cone structure and can largely enhance the development of the tearing mode for a narrower streaming flow. For a broader streaming flow, a larger separation of Alfvén resonance layers reduces the magnetic reconnection. The linear growth rate decreases with increase of the streaming flow thickness. The growth rate of the tearing instability also depends on the plasma beta (β). When the streaming flow is embedded in the current sheet, the growth rate increases with β if β  s , but decreases if β > β s . The existence of the specific value β s can be attributed to competition between the suppressing effect of β and the enhancing effect of the streaming flow on the magnetic reconnection. The critical value β s increases with increase of the streaming flow strength

  8. Characterization of Cefotaxime- and Ciprofloxacin-Resistant Commensal Escherichia coli Originating from Belgian Farm Animals Indicates High Antibiotic Resistance Transfer Rates.

    Science.gov (United States)

    Lambrecht, Ellen; Van Meervenne, Eva; Boon, Nico; Van de Wiele, Tom; Wattiau, Pierre; Herman, Lieve; Heyndrickx, Marc; Van Coillie, Els

    2017-11-17

    Food-producing animals represent one of the sources of antibiotic resistant commensal bacteria. There is an increasing awareness that these bacteria might have the potential to transfer their resistance genes to other (pathogenic) bacteria. In this study, 50 commensal Escherichia coli strains originating from food-producing animals and resistant to the "highest priority, critically important antibiotics" cefotaxime and/or ciprofloxacin, were selected for further characterization. For each strain (i) an antibiogram, (ii) the phylogenetic group, (iii) plasmid replicon type, (iv) presence and identification of integrons, and (v) antibiotic resistance transfer ratios were determined. Forty-five of these strains were resistant to 5 or more antibiotics, and 6 strains were resistant to 10 or more antibiotics. Resistance was most common to ampicillin (100%), sulfamethoxazole, ciprofloxacin (82%), trimethoprim, tetracycline (74%), cefotaxime, (70%) and ceftazidime (62%). Phylogenetic groups A (62%) and B1 (26%) were most common, followed by C (8%) and E (4%). In 43 strains, more than 1 replicon type was detected, with FII (88%), FIB (70%), and I1 (48%) being the most encountered types. Forty strains, positive for integrons, all harbored a class I integron and seven of them contained an additional class II integron. No class III integrons were detected. The antibiotic resistance transfer was assessed by liquid mating experiments. The transfer ratio, expressed as the number of transconjugants per recipient, was between 10 -5 and 10 0 for cefotaxime resistance and between 10 -7 and 10 -1 for ciprofloxacin resistance. The results of the current study prove that commensal E. coli in food-production animals can be a source of multiple resistance genes and that these bacteria can easily spread their ciprofloxacin and cefotaxime resistance.

  9. Efficacy of a hospital-wide environmental cleaning protocol on hospital-acquired methicillin-resistant Staphylococcus aureus rates.

    Science.gov (United States)

    Watson, Paul Andrew; Watson, Luke Robert; Torress-Cook, Alfonso

    2016-07-01

    Environmental contamination has been associated with over half of methicillin-resistant Staphylococcus aureus (MRSA) outbreaks in hospitals. We explored if a hospital-wide environmental and patient cleaning protocol would lower hospital acquired MRSA rates and associated costs. This study evaluates the impact of implementing a hospital-wide environmental and patient cleaning protocol on the rate of MRSA infection and the potential cost benefit of the intervention. A retrospective, pre-post interventional study design was used. The intervention comprised a combination of enhanced environmental cleaning of high touch surfaces, daily washing of patients with benzalkonium chloride, and targeted isolation of patients with active infection. The rate of MRSA infection per 1000 patient days (PD) was compared with the rate after the intervention (Steiros Algorithm ® ) was implemented. A cost-benefit analysis based on the number of MRSA infections avoided was conducted. The MRSA rates decreased by 96% from 3.04 per 1000 PD to 0.11 per 1000 PD ( P reduction in MRSA infections, avoided an estimated $1,655,143 in healthcare costs. Implementation of this hospital-wide protocol appears to be associated with a reduction in the rate of MRSA infection and therefore a reduction in associated healthcare costs.

  10. Phylogeographic variation in recombination rates within a global clone of Methicillin-Resistant Staphylococcus aureus (MRSA)

    DEFF Research Database (Denmark)

    Castillo-Ramirez, Santiago; Corander, Jukka; Marttinen, Pekka

    2012-01-01

    by employing a recently developed Bayesian approach, BRATNextGen, for detecting recombination on an expanded NGS dataset of the globally disseminated methicillin-resistant Staphylococcus aureus (MRSA) clone ST239. RESULTS: The data confirm strong geographical clustering at continental, national and city scales...... that the rapid global dissemination of a single pathogenic bacterial clone results in local variation in measured recombination rates. Possible explanatory variables include the size and time since emergence of each defined sub-population (as determined by the sampling frame), variation in transmission dynamics...

  11. Assessing the nosocomial infections' rate and the antibiotic resistance pattern among the patient hospitalized in beheshti hospital during 2013

    Directory of Open Access Journals (Sweden)

    Manijeh Kadkhodaei

    2018-01-01

    Full Text Available Aims: Nosocomial infection is associated with increased mortality, morbidity, and length of stay. Detection of infection, identify the etiology of bacterial antibiotic resistance pattern, is necessary given the widespread use of antibiotics and antibiotic-resistant organisms. Materials and Methods: This cross-sectional study was done on 288 patients admitted to the Beheshti Hospitals in Kashan based on NNIS definitions according to the state of Health and Medical education. In this study infections and antibiotic resistance symptoms were found. Data analyses were performed with Chi-square test. Results: Among the 288 patients studied, with mean out of hospital infection was 0.80%. Most cases of infection associated were pneumonia. The highest rates of infection were in the Intensive Care Unit (ICU with 51.7%. Nosocomial infection in ICU wards was associated with increased mortality and morbidity. The most common types were ventilator-associated pneumonia. Among the microorganisms, negative Gram was seen more. The common pathogens were including Acinetobacter, Escherichia coli, and Klebsiella. Antimicrobial resistance was generally increasing and had emerged from selective pressure from antibiotic use and transmission through health staff. Conclusion: This study showed a correlation between antibiotic use and resistance of microorganisms is significant. Hence, it seems that reducing aggressive acts and conduct hygiene education and monitoring act of antibiotics is necessary to prevent antibiotic resistance.

  12. Resistance Pattern and Molecular Characterization of Enterotoxigenic Escherichia coli (ETEC Strains Isolated in Bangladesh.

    Directory of Open Access Journals (Sweden)

    Yasmin A Begum

    Full Text Available Enterotoxigenic Escherichia coli (ETEC is a common cause of bacterial infection leading to acute watery diarrhea in infants and young children as well as in travellers to ETEC endemic countries. Ciprofloxacin is a broad-spectrum antimicrobial agent nowadays used for the treatment of diarrhea. This study aimed to characterize ciprofloxacin resistant ETEC strains isolated from diarrheal patients in Bangladesh.A total of 8580 stool specimens from diarrheal patients attending the icddr,b Dhaka hospital was screened for ETEC between 2005 and 2009. PCR and Ganglioside GM1- Enzyme Linked Immuno sorbent Assay (ELISA was used for detection of Heat labile (LT and Heat stable (ST toxins of ETEC. Antimicrobial susceptibilities for commonly used antibiotics and the minimum inhibitory concentration (MIC of nalidixic acid, ciprofloxacin and azithromycin were examined. DNA sequencing of representative ciprofloxacin resistant strains was performed to analyze mutations of the quinolone resistance-determining region of gyrA, gyrB, parC and parE. PCR was used for the detection of qnr, a plasmid mediated ciprofloxacin resistance gene. Clonal variations among ciprofloxacin resistant (CipR and ciprofloxacin susceptible (CipS strains were determined by Pulsed-field gel electrophoresis (PFGE.Among 1067 (12% ETEC isolates identified, 42% produced LT/ST, 28% ST and 30% LT alone. Forty nine percent (n = 523 of the ETEC strains expressed one or more of the 13 tested colonization factors (CFs as determined by dot blot immunoassay. Antibiotic resistance of the ETEC strains was observed as follows: ampicillin 66%, azithromycin 27%, ciprofloxacin 27%, ceftriazone 13%, cotrimaxazole 46%, doxycycline 44%, erythromycin 96%, nalidixic acid 83%, norfloxacin 27%, streptomycin 48% and tetracycline 42%. Resistance to ciprofloxacin increased from 13% in 2005 to 34% in 2009. None of the strains was resistant to mecillinam. The MIC of the nalidixic acid and ciprofloxacin of representative

  13. The Burden of Drug-Resistant Tuberculosis in Papua New Guinea: Results of a Large Population-Based Survey.

    Directory of Open Access Journals (Sweden)

    Paul Aia

    Full Text Available Reliable estimates of the burden of multidrug-resistant tuberculosis (MDR-TB are crucial for effective control and prevention of tuberculosis (TB. Papua New Guinea (PNG is a high TB burden country with limited information on the magnitude of the MDR-TB problem.A cross-sectional study was conducted in four PNG provinces: Madang, Morobe, National Capital District and Western Province. Patient sputum samples were tested for rifampicin resistance by the Xpert MTB/RIF assay and those showing the presence of resistance underwent phenotypic susceptibility testing to first- and second-line anti-TB drugs including streptomycin, isoniazid, rifampicin, ethambutol, pyrazinamide, ofloxacin, amikacin, kanamycin and capreomycin.Among 1,182 TB patients enrolled in the study, MDR-TB was detected in 20 new (2.7%; 95% confidence intervals [CI] 1.1-4.3% and 24 previously treated (19.1%; 95%CI: 8.5-29.8% TB cases. No case of extensively drug-resistant TB (XDR-TB was detected. Thirty percent (6/20 of new and 33.3% (8/24 of previously treated cases with MDR-TB were detected in a single cluster in Western Province.In PNG the proportion of MDR-TB in new cases is slightly lower than the regional average of 4.4% (95%CI: 2.6-6.3%. A large proportion of MDR-TB cases were identified from a single hospital in Western Province, suggesting that the prevalence of MDR-TB across the country is heterogeneous. Future surveys should further explore this finding. The survey also helped strengthening the use of smear microscopy and Xpert MTB/RIF testing as diagnostic tools for TB in the country.

  14. Draft genome sequence of Mycobacterium tuberculosis strain B9741 of Beijing B0/W lineage from HIV positive patient from Siberia

    Directory of Open Access Journals (Sweden)

    K.V. Shur

    2016-12-01

    Full Text Available We report a draft genome sequence of Mycobacterium tuberculosis strain B9741 belonging to Beijing B0/W lineage isolated from a HIV patient from Siberia, Russia. This clinical isolate showed MDR phenotype and resistance to isoniazid, rifampin, streptomycin and pyrazinamide. We analyzed SNPs associated with virulence and resistance. The draft genome sequence and annotation have been deposited at GenBank under the accession NZ_LVJJ00000000.

  15. Resistance mechanisms of linezolid-nonsusceptible enterococci in Korea: low rate of 23S rRNA mutations in Enterococcus faecium.

    Science.gov (United States)

    Lee, Sae-Mi; Huh, Hee Jae; Song, Dong Joon; Shim, Hyang Jin; Park, Kyung Sun; Kang, Cheol-In; Ki, Chang-Seok; Lee, Nam Yong

    2017-12-01

    To investigate linezolid-resistance mechanisms in linezolid-nonsusceptible enterococci (LNSE) isolated from a tertiary hospital in Korea. Enterococcal isolates exhibiting linezolid MICs ≥4 mg l -1 that were isolated between December 2011 and May 2016 were investigated by PCR and sequencing for mutations in 23S rRNA or ribosomal proteins (L3, L4 and L22) and for the presence of cfr, cfr(B) and optrA genes.Results/Key findings. Among 135 LNSE (87 Enterococcus faecium and 48 Enterococcus faecalis isolates), 39.1 % (34/87) of E. faecium and 18.8 % (9/48) of E. faecalis isolates were linezolid-resistant. The optrA carriage was the dominant mechanism in E. faecalis: 13 isolates, including 10 E. faecalis [70 % (7/10) linezolid-resistant and 30 % (3/10) linezolid-intermediate] and three E. faecium [33.3 % (1/3) linezolid-resistant and 66.7 % (2/3) linezolid-intermediate], contained the optrA gene. G2576T mutations in the 23S rRNA gene were detected only in E. faecium [14 isolates; 71.4 % (10/14) linezolid-resistant and 28.6 % (4/14) linezolid-intermediate]. One linezolid-intermediate E. faecium harboured a L22 protein alteration (Ser77Thr). No isolates contained cfr or cfr(B) genes and any L3 or L4 protein alterations. No genetic mechanism of resistance was identified for 67.6 % (23/34) of linezolid-resistant E. faecium. A low rate of 23S rRNA mutations and the absence of known linezolid-resistance mechanisms in the majority of E. faecium isolates suggest regional differences in the mechanisms of linezolid resistance and the possibility of additional mechanisms.

  16. Prevalence and occurrence rate of Mycobacterium tuberculosis Haarlem family multi-drug resistant in the worldwide population: A systematic review and meta-analysis

    Directory of Open Access Journals (Sweden)

    Rashid Ramazanzadeh

    2015-01-01

    Full Text Available Background: Transmission of Mycobacterium tuberculosis (M. tuberculosis can occur in different ways. Furthermore, drug resistant in M. tuberculosis family is a major problem that creates obstacles in treatment and control of tuberculosis (TB in the world. One of the most prevalent families of M. tuberculosis is Haarlem, and it is associated with drug resistant. Our objectives of this study were to determine the prevalence and occurrence rate of M. tuberculosis Haarlem family multi-drug resistant (MDR in the worldwide using meta-analysis based on a systematic review that performed on published articles. Materials and Methods: Data sources of this study were 78 original articles (2002-2012 that were published in the literatures in several databases including PubMed, Science Direct, Google Scholar, Biological abstracts, ISI web of knowledge and IranMedex. The articles were systematically reviewed for prevalence and rate of MDR. Data were analyzed using meta-analysis and random effects models with the software package Meta R, Version 2.13 (P < 0.10. Results: Final analysis included 28601 persons in 78 articles. The highest and lowest occurrence rate of Haarlem family in M. tuberculosis was in Hungary in 2006 (66.20% with negative MDR-TB and in China in 2010 (0.8%, respectively. From 2002 to 2012, the lowest rate of prevalence was in 2010, and the highest prevalence rate was in 2012. Also 1.076% were positive for MDR and 9.22% were negative (confidence interval: 95%.0020. Conclusion: Many articles and studies are performed in this field globally, and we only chose some of them. Further studies are needed to be done in this field. Our study showed that M. tuberculosis Haarlem family is prevalent in European countries. According to the presence of MDR that was seen in our results, effective control programs are needed to control the spread of drug-resistant strains, especially Haarlem family.

  17. Whole genome sequencing of multidrug-resistant Salmonella enterica serovar Typhimurium isolated from humans and poultry in Burkina Faso.

    Science.gov (United States)

    Kagambèga, Assèta; Lienemann, Taru; Frye, Jonathan G; Barro, Nicolas; Haukka, Kaisa

    2018-01-01

    Multidrug-resistant Salmonella is an important cause of morbidity and mortality in developing countries. The aim of this study was to characterize and compare multidrug-resistant Salmonella enterica serovar Typhimurium isolates from patients and poultry feces. Salmonella strains were isolated from poultry and patients using standard bacteriological methods described in previous studies. The strains were serotype according to Kaufmann-White scheme and tested for antibiotic susceptibility to 12 different antimicrobial agents using the disk diffusion method. The whole genome of the S. Typhimurium isolates was analyzed using Illumina technology and compared with 20 isolates of S. Typhimurium for which the ST has been deposited in a global MLST database.The ResFinder Web server was used to find the antibiotic resistance genes from whole genome sequencing (WGS) data. For comparative genomics, publicly available complete and draft genomes of different S. Typhimurium laboratory-adapted strains were downloaded from GenBank. All the tested Salmonella serotype Typhimurium were multiresistant to five commonly used antibiotics (ampicillin, chloramphenicol, streptomycin, sulfonamide, and trimethoprim). The multilocus sequence type ST313 was detected from all the strains. Our sequences were very similar to S. Typhimurium ST313 strain D23580 isolated from a patient with invasive non-typhoid Salmonella (NTS) infection in Malawi, also located in sub-Saharan Africa. The use of ResFinder web server on the whole genome of the strains showed a resistance to aminoglycoside associated with carriage of the following resistances genes: strA , strB , and aadA1 ; resistance to β-lactams associated with carriage of a bla TEM-1B genes; resistance to phenicol associated with carriage of catA1 gene; resistance to sulfonamide associated with carriage of sul1 and sul2 genes; resistance to tetracycline associated with carriage of tet B gene; and resistance to trimethoprim associated to dfrA1 gene

  18. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    Science.gov (United States)

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  19. Failure of the Nernst-Einstein equation to correlate electrical resistances and rates of ionic self-exchange across certain fixed charge membranes.

    Science.gov (United States)

    Gottlieb, M H; Sollner, K

    1968-05-01

    The electrical resistances and rates of self-exchange of univalent critical ions across several types of collodion matrix membranes of high ionic selectivity were studied over a wide range of conditions. The relationship which was observed between these quantities with membranes of a certain type, namely those activated with poly-2-vinyl-N-methyl pyridinium bromide, cannot be explained on the basis of current concepts of the movement of ions across ion exchange membranes. Rates of self-exchange across these membranes were several times greater than those calculated from the electrical resistances of the membranes on the basis of an expression derived by the use of the Nernst-Einstein equation. The magnitude of the discrepancy was greatest at low concentrations of the ambient electrolyte solution and was independent of the species of both critical and noncritical ions. The data obtained with other types of collodion matrix membranes were, at least approximately, in agreement with the predictions based on the Nernst-Einstein equation. Self-exchange rates across the anion permeable protamine collodion membranes, and across the cation permeable polystyrene sulfonic acid collodion membranes, were about 20% less than those calculated from the electrical resistances. The direction and magnitude of these differences, also observed by other investigators, are qualitatively understood as an electroosmotic effect. With cation permeable membranes prepared by the oxidation of preformed collodion membranes, almost exact agreement was obtained between measured and calculated self-exchange rates; the cause of the apparent absence of an electroosmotic effect with these membranes is unknown.

  20. High rates of ofloxacin resistance in Mycobacterium tuberculosis among both new and previously treated patients in Tamil Nadu, South India.

    Science.gov (United States)

    Selvakumar, N; Kumar, Vanaja; Balaji, S; Prabuseenivasan, S; Radhakrishnan, R; Sekar, Gomathi; Chandrasekaran, V; Kannan, T; Thomas, Aleyamma; Arunagiri, S; Dewan, Puneet; Swaminathan, Soumya

    2015-01-01

    Periodic drug resistance surveillance provides useful information on trends of drug resistance and effectiveness of tuberculosis (TB) control measures. The present study determines the prevalence of drug resistance among new sputum smear positive (NSP) and previously treated (PT) pulmonary TB patients, diagnosed at public sector designated microscopy centers (DMCs) in the state of Tamil Nadu, India. In this single-stage cluster-sampling prevalence survey, 70 of 700 DMCs were randomly selected using a probability-proportional to size method. A cluster size of 24 for NSP and a varying size of 0 to 99 for PT cases were fixed for each selected DMC. Culture and drug susceptibility testing was done on Lowenstein-Jensen medium using the economic variant of proportion sensitivity test for isoniazid (INH), rifampicin (RMP), ofloxacin (OFX) and kanamycin (KAN). Human Immunodeficiency Virus (HIV) status was collected from patient records. From June 2011 to August 2012, 1524 NSP and 901 PT patients were enrolled. Any RMP resistance and any INH resistance were observed in 2.6% and 15.1%, and in 10.4% and 30% respectively in NSP and PT cases. Among PT patients, multi drug resistant TB (MDR-TB) was highest in the treatment failure (35%) group, followed by relapse (13%) and treatment after default (10%) groups. Extensively drug resistant TB (XDRTB) was seen in 4.3% of MDR-TB cases. Any OFX resistance was seen in 10.4% of NSP, 13.9% of PT and 29% of PT MDR-TB patients. The HIV status of the patient had no impact on drug resistance levels. RMP resistance was present in 2.6% of new and 15.1% of previously treated patients in Tamil Nadu. Rates of OFX resistance were high among NSP and PT patients, especially among those with MDR-TB, a matter of concern for development of new treatment regimens for TB.

  1. Multiple Genetic Analysis System-Based Antibiotic Susceptibility Testing in Helicobacter pylori and High Eradication Rate With Phenotypic Resistance-Guided Quadruple Therapy.

    Science.gov (United States)

    Dong, Fangyuan; Ji, Danian; Huang, Renxiang; Zhang, Fan; Huang, Yiqin; Xiang, Ping; Kong, Mimi; Nan, Li; Zeng, Xianping; Wu, Yong; Bao, Zhijun

    2015-11-01

    Antibiotics resistance in Helicobacter pylori (H. pylori) is the major factor for eradication failure. Molecular tests including fluorescence in situ hybridization, PCR-restriction fragment length polymorphism, and dual priming oligonucleotide-PCR (DPO-PCR) play critical roles in the detection of antibiotic susceptibility; however, limited knowledge is known about application of multiple genetic analysis system (MGAS) in the area of H. pylori identification and antibiotics resistance detection.The aim of this study is to determine the antibiotics resistance using different molecular tests and evaluate the treatment outcomes of E-test-based genotypic resistance.A total of 297 patients with dyspepsia complaint were recruited for gastroscopies. Ninety patients with H. pylori culture positive were randomly divided into 2 groups (test group and control group). E-test, general PCR, and MGAS assay were performed in test group. Patients in control group were treated with empirical therapy (rabeprazole + bismuth potassium citrate + amoxicillin [AMX] + clarithromycin [CLR]), whereas patients in test group received quadruple therapy based on E-test results twice daily for 14 consecutive days. The eradication effect of H. pylori was confirmed by C-urea breath test after at least 4 weeks when treatment was finished.Rapid urease test showed 46.5% (128/297) patients with H. pylori infection, whereas 30.3% (90/297) patients were H. pylori culture positive. E-test showed that H. pylori primary resistance rate to CLR, AMX, metronidazole, tetracycline, and levofloxacin (LVX) was 40.0% (18/45), 4.4% (2/45), 53.3% (24/45), 0% (0/45), and 55.6% (25/45), respectively. In addition, there are many multidrug resistant (MDR) phenotypes, and the MDR strains have higher minimum inhibitory concentration than their single-drug resistant counterparts. Considering E-test as the reference test, the sensitivities of general PCR and MGAS in detecting CLR resistance were 83.3% (15/18) and 94.4% (17

  2. Cultural characteristics of chromium resistant filamentous cyanobacteria isolated from local environment in Pakistan

    International Nuclear Information System (INIS)

    Hameed, A.; Hasnain, S.

    2005-01-01

    Many filamentous cyanobacteria were isolated from different places: fields, ponds, polluted water and soils from Muredkey and Kasur tanneries area, near Lahore, Pakistan. Different media like BG 11 medium, Bold Basal medium, Chu's number 10 medium, Gorham's medium and modified SAG medium, in standard forms and with slight variations of ingredients, different pH, temperature and light regimes were checked for the optimum growth of isolates. The isolation procedure was repeated with different concentrations of chromium to select the resistant strains, These selected strains grew on chromium of range 100-200 micro gml/sup -1/ in BG 11 medium. Cyanobacteria were maintained in solid and in liquid media with/without shaking. Cyanobacterial strains were collected from natural habitats that were accompanied by a diversified group of organisms including bacteria, protozoan and rotifers etc. In order to eliminate these agents termed as contaminants, we used several methods including phenol treatment, use of antibiotic and careful manual picking of filamentous cyanobacteria. Resistance of these strains against different heavy metal (ZnSO/sub 4/, MnSO/sub 4/, NiSO/sub 4/, CoCl/sub 2/, Pb (NO/sub 3/)/sub 3/, CuSO/sub 4/, HgCl/sub 2/, AgNO/sub 3/ and CdCl/sub 2/) and antibiotics (erythromycin, streptomycin, kanamycin, chloramphenicol and neomycin) was evolved. Optimum temperature was 35 deg. C with pH 9 for the reduction of Cr (VI) in to Cr (III) in majority. (author)

  3. Effect of contraction mode of slow-speed resistance training on the maximum rate of force development in the human quadriceps

    DEFF Research Database (Denmark)

    Blazevich, Anthony J; Horne, Sara; Cannavan, Dale

    2008-01-01

    This study examined the effects of slow-speed resistance training involving concentric (CON, n = 10) versus eccentric (ECC, n = 11) single-joint muscle contractions on contractile rate of force development (RFD) and neuromuscular activity (EMG), and its maintenance through detraining. Isokinetic...

  4. Resistência a antimicrobianos dependente do sistema de efluxo multidrogas em Escherichia coli isoladas de leite mastítico Antimicrobial resistance dependent on multidrugs efflux in Escherichia coli isolated from the mastitic milk

    Directory of Open Access Journals (Sweden)

    M.A.S. Moreira

    2008-12-01

    Full Text Available Identificaram-se e caracterizaram-se a resistência e a multirresistência aos principais antimicrobianos usados no tratamento de mastite bovina causada por Escherichia coli. A concentração inibitória mínima (MIC e o sistema de efluxo foram detectados pelas curvas de crescimento, com base na densidade óptica, em diferentes concentrações da droga e na presença e na ausência do desacoplador da força próton-motora (PMF. E. coli 1 foi resistente à neomicina e à gentamicina; E. coli 3 e 4, à tetraciclina e à estreptomicina; e E. coli 2 e 6 à gentamicina. E. coli 5 apresentou modelo de sensibilidade. Observou-se que MICs de todos os antimicrobianos dos multirresistentes (E. coli 1, 3 e 4 diminuíram na presença do desacoplador, o que sugere sistema de efluxo multidrogas. Após cura, apenas E. coli 1 apresentou modelo de sensibilidade, porém não houve alterações das MICs, antes e após adição do desacoplador. Os resultados indicam possível presença de mecanismo de resistência dependente da PMF codificado, ou parte dele, em plasmídeo.Resistance and multiresistance to main antimicrobials used for treating bovine mastitis caused by Escherichia coli were identified and characterized. The minimal inhibitory concentration (MIC and efflux systems were detected by the use of growth curves based on optical density at different drug concentrations and both presence and absence of uncoupler of the proton-motive force (PMF. E. coli 1 was resistant to neomycin and gentamycin, E. coli 3 and 4 were resistant to tetracycline and streptomycin, whereas E. coli 2 and 6 were resistant to gentamycin. E. coli 5 showed sensibility model. MICs of all antimicrobials of the multiresistant samples (E. coli 1, 3, and 4 were decreased in presence of the uncoupler, therefore suggesting the presence of the multidrug efflux system. After healing, only E. coli 1 showed sensibility model, however no alteration occurred in MIC(s before and after adding the

  5. Antimicrobial resistance among Pseudomonas spp. and the Bacillus cereus group isolated from Danish agricultural soil

    DEFF Research Database (Denmark)

    Jensen, Lars Bogø; Baloda, S.; Boye, Mette

    2001-01-01

    From four Danish pig farms, bacteria of Pseudomonas spp. and the Bacillus cereus group were isolated from soil and susceptibility towards selected antimicrobials was tested. From each farm, soil samples representing soil just before and after spread of animal waste and undisturbed agricultural so...... spp., and for bacitracin, erythromycin, penicillin and streptomycin for the B. cereus group. Variations in resistance levels were observed when soil before and after spread of animal waste was compared, indicating an effect from spread of animal waste.......From four Danish pig farms, bacteria of Pseudomonas spp. and the Bacillus cereus group were isolated from soil and susceptibility towards selected antimicrobials was tested. From each farm, soil samples representing soil just before and after spread of animal waste and undisturbed agricultural soil......, when possible, were collected. Soil from a well-characterized Danish farm soil (Hojbakkegaard) was collected for comparison. The Psudomonas spp. and B. cereus were chosen as representative for Gram-negative and Gram-positive indigenous soil bacteria to test the effect of spread of animal waste...

  6. Estimating Rate of Insulin Resistance in Patients with Preeclampsia Using HOMA-IR Index and Comparison with Nonpreeclampsia Pregnant Women

    Directory of Open Access Journals (Sweden)

    Farideh Rezaei Abhari

    2014-01-01

    Full Text Available Women with preeclampsia, independent of obesity and glucose intolerance, exhibit insulin resistance during pregnancy. The purpose of the present study is to determine whether early diagnosis of insulin resistance during pregnancy can predict preeclampsia. Through a case-control study, 675 pregnant women were selected and their first trimester blood was taken. Their fasting blood glucose and insulin were also measured after diagnosis of preeclampsia by 20 weeks of pregnancy. Based on the experiments conducted on 675 women who were 20 weeks past their pregnancy, 375 cases with preeclampsia were selected and assigned to the case group. 35 other pregnant women were put in the control group. Diagnosis criteria for the participants included blood pressure above 140/90 and proteinuria above 300 mg or above +1. Both groups were matched according to age, parity, gestational age, and BMI. Homa-Irand rate of insulin resistance was calculated by HOMA-IR and patients were followed up. Homeostatic model assessments (HOMA-IR revealed that the average insulin resistance increased during pregnancy among both the case and control groups. There was a significant difference between insulin resistance of these two groups in both first trimester and third trimester and after developing preeclampsia (P < 0.001, P = 0.021. Insulin-resistance of the group with preeclampsia was higher in first trimester prior to diagnosis as well as the third trimester after diagnosis compared to natural pregnancy under similar conditions. Measurement of insulin resistance in first trimester may be useful in predicting the risk of preeclampsia.

  7. Estimating rate of insulin resistance in patients with preeclampsia using HOMA-IR index and comparison with nonpreeclampsia pregnant women.

    Science.gov (United States)

    Abhari, Farideh Rezaei; Ghanbari Andarieh, Maryam; Farokhfar, Asadollah; Ahmady, Soleiman

    2014-01-01

    Women with preeclampsia, independent of obesity and glucose intolerance, exhibit insulin resistance during pregnancy. The purpose of the present study is to determine whether early diagnosis of insulin resistance during pregnancy can predict preeclampsia. Through a case-control study, 675 pregnant women were selected and their first trimester blood was taken. Their fasting blood glucose and insulin were also measured after diagnosis of preeclampsia by 20 weeks of pregnancy. Based on the experiments conducted on 675 women who were 20 weeks past their pregnancy, 375 cases with preeclampsia were selected and assigned to the case group. 35 other pregnant women were put in the control group. Diagnosis criteria for the participants included blood pressure above 140/90 and proteinuria above 300 mg or above +1. Both groups were matched according to age, parity, gestational age, and BMI. Homa-Irand rate of insulin resistance was calculated by HOMA-IR and patients were followed up. Homeostatic model assessments (HOMA-IR) revealed that the average insulin resistance increased during pregnancy among both the case and control groups. There was a significant difference between insulin resistance of these two groups in both first trimester and third trimester and after developing preeclampsia (P < 0.001, P = 0.021). Insulin-resistance of the group with preeclampsia was higher in first trimester prior to diagnosis as well as the third trimester after diagnosis compared to natural pregnancy under similar conditions. Measurement of insulin resistance in first trimester may be useful in predicting the risk of preeclampsia.

  8. Detection of Enterohemorrhagic Escherichia coli Related Genes in E. coli Strains Belonging to B2 Phylogroup Isolated from Urinary Tract Infections in Combination with Antimicrobial Resistance Phenotypes

    Directory of Open Access Journals (Sweden)

    Hamid Staji

    2017-07-01

    Full Text Available Background:  This study was conducted to detect the prevalence of EHEC virulence genes and antimicrobial resistance profile of Escherichia coli strains belonging to B2 phylogroup implicated in Urinary tract infections in Semnan, Iran.Methods:   From 240 urine samples 160 E. coli strains were isolated, biochemically. Then, E. coli isolates were examined by Multiplex-PCR for phylogenetic typing and detection of virulence genes (hly, stx1, stx2, eae associated with Enterohemorrhagic E. coli. Finally, Antimicrobial resistance of E. coli isolates were characterized using Disk Diffusion method.  Results:  From 160 E. coli isolates, 75 strains (47% were assigned to B2 phylogenetic group and prevalence of virulence genes were as follow: hly (21.3%, stx1 (16%, stx2 (10.6% and eae (6.7%, subsequently.  Phenotypic antimicrobial resistance of B2 isolates showed that all isolates were sensitive to Meropenem and Furazolidone and then highest frequency of resistance was observed to Streptomycin, Oxytetracycline, Neomycin, Nalidixic acid and Ampicillin (98.7% to 49.3%. Also low resistance prevalence was observed in case of Ceftizoxime, Lincospectin, Imipenem, Chloramphenicol and flurefenicole (16% to 1.3%.Conclusion:   The data suggest a high prevalence of antibiotic resistance in UPEC strains belonging to B2 phylogroup even for the antimicrobials using in pet and farm animals and their potential to cause EHEC specific clinical symptoms which may represent a serious health risk since these strains can be transmitted to GI tract and act as a reservoir for other uropathogenic E. coli and commensal strains.

  9. Mutagenic DNA repair in Escherichia coli

    International Nuclear Information System (INIS)

    Bridges, B.A.; Sharif, Firdaus

    1986-01-01

    The authors report a study of the misincorporation step in excision proficient umuC Escherichia coli as revealed by delayed photoreversal and show that it parallels the loss of photoreversibility of mutations induced in isogenic umu + bacteria; in both cases the end-point was mutation to streptomycin resistance. (author)

  10. Bakery by-products based feeds borne-Saccharomyces cerevisiae strains with probiotic and antimycotoxin effects plus antibiotic resistance properties for use in animal production.

    Science.gov (United States)

    Poloni, Valeria; Salvato, Lauranne; Pereyra, Carina; Oliveira, Aguida; Rosa, Carlos; Cavaglieri, Lilia; Keller, Kelly Moura

    2017-09-01

    The aim of this study was to select S. cerevisiae strains able to exert probiotic and antimycotoxin effects plus antibiotics resistance properties for use in animal production. S. cerevisiae LL74 and S. cerevisiae LL83 were isolated from bakery by-products intended for use in animal feed and examined for phenotypic characteristics and nutritional profile. Resistance to antibiotic, tolerance to gastrointestinal conditions, autoaggregation and coaggregation assay, antagonism to animal pathogens and aflatoxin B 1 binding were studied. S. cerevisiae LL74 and S. cerevisiae LL83 showed resistance to all the antibiotics assayed (ampicillin, streptomycin, neomycin, norfloxacin, penicillin G, sulfonamide and trimethoprim). The analysis showed that exposure time to acid pH had a significant impact onto the viable cell counts onto both yeast strains. Presence of bile 0.5% increased significantly the growth of the both yeast strains. Moreover, they were able to tolerate the simulated gastrointestinal conditions assayed. In general, the coaggregation was positive whereas the autoaggregation capacity was not observed. Both strains were able to adsorb AFB 1 . In conclusion, selected S. cerevisiae LL74 and S. cerevisiae LL83 have potential application to be used as a biological method in animal feed as antibiotic therapy replacement in, reducing the adverse effects of AFB 1 and giving probiotic properties. Copyright © 2017 Elsevier Ltd. All rights reserved.

  11. Susceptibility to disinfectants in antimicrobial-resistant and -susceptible isolates of Escherichia coli, Enterococcus faecalis and Enterococcus faecium from poultry-ESBL/AmpC-phenotype of E. coli is not associated with resistance to a quaternary ammonium compound, DDAC.

    Science.gov (United States)

    Wieland, N; Boss, J; Lettmann, S; Fritz, B; Schwaiger, K; Bauer, J; Hölzel, C S

    2017-06-01

    The spread of bacteria that are simultaneously resistant to disinfectants and antimicrobials would constitute an unsettling scenario. In order to explore an association between antimicrobial resistance and reduced susceptibility to biocides/microbicides (disinfectants) in agriculture, we investigated Escherichia coli (n = 438) and enterococci (n = 120) isolated from six different flocks of the same poultry farm with known history of antimicrobial treatment. Susceptibility to disinfectants (formic acid and a quaternary ammonium compound (QAC), didecyldimethylammoniumchloride-DDAC) was assessed by macrodilution according to guidelines of the German Veterinary Society. Escherichia coli, Enterococcus faecalis and Enterococcus faecium were screened (i) for reduced biocide susceptibility and (ii) for an association of biocide susceptibility and antimicrobial resistance including the production of extended-spectrum beta-lactamases (ESBL) and the hyperproduction of AmpC-type beta-lactamases. DDAC inhibited ESBL/AmpC(hyper)-producing E. coli (n = 53) from poultry at similar or slightly lower inhibitory concentrations, compared with non-ESBL/AmpC strains (median MIC = 0·36 vs 1·44 mg l -1 ). In contrast, DDAC-MICs were positively correlated with several other antibiotic MICs (e.g. piperacillin and sulphamethoxazole + trimethoprim in E. coli, chloramphenicol in E. faecalis) and increased DDAC-MICs were statistically linked to high-level aminoglycoside resistance in enterococci (streptomycin high level). DDAC-MICs did not correlate with the presence of the integron marker qacEDelta1. This study provides indication that residual disinfectant might be able to select antimicrobial-resistant enterococci, but not ESBL-/AmpC (hyper)producing E. coli from poultry. While ESBL-/AmpC-E. coli were inhibited at disinfectant concentrations comparable to or lower than wildtype values, low concentrations of QACs might be able to select other antimicrobial-resistant E

  12. Characterization of antibiotic resistant and pathogenic Escherichia coli in irrigation water and vegetables in household farms.

    Science.gov (United States)

    Araújo, Susana; A T Silva, Isabel; Tacão, Marta; Patinha, Carla; Alves, Artur; Henriques, Isabel

    2017-09-18

    This study aimed to characterize Escherichia coli present in irrigation water and vegetables from 16 household farms. Isolates were obtained from 50% of water (n=210 isolates) and 38% of vegetable samples (n=239). Phylogroups B1 (56% of isolates) and A (22%) were the most prevalent both in water and vegetables. Diarrheagenic strains were detected in vegetables. Irrespective of the source (i.e. water or vegetables), the most common antibiotic resistance was against streptomycin (89% resistant isolates) and tetracycline (24%). Common acquired genes (e.g. bla TEM , tetA, tetB) were found in isolates from both sources. Class I integrons were detected in water (arrays dfrA1-aadA1 and dfr16-blaP1b-aadA2-ereA) and vegetables (unknown arrays). intI2 was detected in water (dfrA1-sat2-aadA1). Plasmids were detected in 14 isolates (IncFIC, IncFIB, IncFrep, IncI1 in both samples; IncY in vegetables). Plasmids from seven isolates were transferrable by conjugation, conferring resistance to antibiotics to the recipient strain. Multidrug-resistant (MDR) strains were isolated from water (12% of the unique isolates) and vegetables (21%). Predominant sequence types (STs) among MDR isolates were ST10, ST297 and ST2522. In some cases, the same STs and identical clones (as showed by rep-PCR typing) were detected in water and vegetables, suggesting cross-contamination. This study identified several risk factors in E. coli isolates from vegetables and irrigation water, raising health concerns. Also, results suggest that irrigation groundwater constitutes a source of E. coli that may enter the food chain through vegetables ingestion. Copyright © 2017. Published by Elsevier B.V.

  13. First Insight into a Nationwide Genotypic Diversity of Mycobacterium tuberculosis among Previously Treated Pulmonary Tuberculosis Cases in Benin, West Africa.

    Science.gov (United States)

    Affolabi, Dissou; Sanoussi, N'Dira; Codo, Sergio; Sogbo, Fréderic; Wachinou, Prudence; Massou, Faridath; Kehinde, Aderemi; Anagonou, Séverin

    2017-01-01

    Molecular studies on tuberculosis (TB) are rare in low-resource countries like Benin, where data on molecular study on previously treated TB cases is unavailable. From January to December 2014, all smear- and culture-positive previously treated pulmonary TB patients from all TB clinics were systematically recruited. Drug susceptibility testing and spoligotyping were performed on all isolates. Of the 100 patients recruited, 71 (71.0%) were relapse cases and 24 (24.0%) were failure cases, while 5 (5.0%) were default cases. Resistance rate to any first-line drug was 40.0%, while 12.0% of strains were multidrug-resistant (MDR) and no strain was extensively drug-resistant (XDR). A total of 40 distinct spoligotypes were found to be corresponding to a genotypic diversity of 40.0%. ST61 was the most predominant spoligotype with prevalence of 33.0%. In all, 31 single spoligotypes and nine clusters were observed with 2 to 33 strains per cluster giving a clustering rate of 69.0%. Euro-American (Lineage 4) was the most prevalent lineage (74.0%) and Lineage 2 was associated with resistance to streptomycin. This first insight into genetic diversity of previously treated pulmonary TB patients in Benin showed a relatively high genetic diversity of Mycobacterium tuberculosis .

  14. First Insight into a Nationwide Genotypic Diversity of Mycobacterium tuberculosis among Previously Treated Pulmonary Tuberculosis Cases in Benin, West Africa

    Directory of Open Access Journals (Sweden)

    Dissou Affolabi

    2017-01-01

    Full Text Available Background. Molecular studies on tuberculosis (TB are rare in low-resource countries like Benin, where data on molecular study on previously treated TB cases is unavailable. Materials and Methods. From January to December 2014, all smear- and culture-positive previously treated pulmonary TB patients from all TB clinics were systematically recruited. Drug susceptibility testing and spoligotyping were performed on all isolates. Results. Of the 100 patients recruited, 71 (71.0% were relapse cases and 24 (24.0% were failure cases, while 5 (5.0% were default cases. Resistance rate to any first-line drug was 40.0%, while 12.0% of strains were multidrug-resistant (MDR and no strain was extensively drug-resistant (XDR. A total of 40 distinct spoligotypes were found to be corresponding to a genotypic diversity of 40.0%. ST61 was the most predominant spoligotype with prevalence of 33.0%. In all, 31 single spoligotypes and nine clusters were observed with 2 to 33 strains per cluster giving a clustering rate of 69.0%. Euro-American (Lineage 4 was the most prevalent lineage (74.0% and Lineage 2 was associated with resistance to streptomycin. Conclusion. This first insight into genetic diversity of previously treated pulmonary TB patients in Benin showed a relatively high genetic diversity of Mycobacterium tuberculosis.

  15. Collection of radiation resistant characteristics reports for instruments and materials in high dose rate environment

    International Nuclear Information System (INIS)

    Kusano, Joichi

    2008-03-01

    This document presents the collected official reports of radiation irradiation study for the candidate materials to be used in high dose rate environment as J-PARC facility. The effect of radiation damage by loss-beam or secondary particle beam of the accelerators influences the performance and the reliability of various instruments. The knowledge on the radiation resistivity of the materials is important to estimate the life of the equipments, the maintenance interval and dose evaluation for the personnel at the maintenance period. The radiation damage consists with mechanical property, electrical property and gas-evolution property. (author)

  16. Novos pontos de corte de sensibilidade nas taxas de resistência antimicrobiana de cepas invasivas de pneumococo New susceptibility breakpoints in antimicrobial resistance rates of invasive pneumococcal strains

    Directory of Open Access Journals (Sweden)

    Paula Carolina Bejo Wolkers

    2009-10-01

    Full Text Available OBJETIVO: Avaliar impacto dos novos pontos de corte de sensibilidade à penicilina nas taxas de resistência de cepas de pneumococo obtidas de crianças com pneumonia. MÉTODOS: Cepas de pneumococo isoladas no laboratório de análises clínicas do Hospital de Clínicas de Uberlândia, Uberlândia (MG, a partir de amostras de pacientes internados foram enviadas ao Instituto Adolfo Lutz, Sao Paulo (SP, para confirmação da identificação, sorotipagem e determinação da sensibilidade aos antimicrobianos. RESULTADOS: De abril de 1999 a dezembro de 2008 foram enviadas ao Instituto Adolfo Lutz 330 cepas de pneumococo, sendo 195 (59% provenientes de pacientes com diagnóstico de pneumonia. Destas, foram analisadas 100 cepas de pacientes com idade ≤ 12 anos; a idade dos pacientes variou de 1 a 12,6 anos, com média de 2,4 e mediana de 1,7 anos; 47 pacientes eram do sexo masculino; as fontes de recuperação foram sangue (42% e líquido pleural (58%. Foram detectadas 35 cepas oxacilina-resistentes: segundo os critérios do Clinical and Laboratory Standards Institute (CLSI de 2007 [concentração inibitória mínima (CIM ≤ 0,06 µg/mL para sensibilidade (S, 0,12 a 1 µg/mL para resistência intermediária (RI e ≥ 2 µg/mL para resistência plena (RP], 22 cepas apresentaram RI e 11, RP para penicilina. De acordo com os critérios atuais do CLSI de 2008 (≤ 2 µg/mL para S, 4 µg/mL para RI e ≥ 8 µg/mL para RP apenas uma cepa confirmou RI à penicilina. Detectou-se resistência a cotrimoxazol (80%, tetraciclina (21%, eritromicina (13%, clindamicina (13% e ceftriaxona (uma cepa, simultaneamente resistente a penicilina. CONCLUSÕES: Com a aplicação dos novos pontos de corte para sensibilidade in vitro, as taxas de resistência a penicilina caíram 97%, de 33 para 1%.OBJECTIVE: To evaluate the impact of new penicillin susceptibility breakpoints on resistance rates of pneumococcal strains collected from children with pneumonia. METHODS

  17. Effect of resistance training on muscle strength and rate of force development in healthy older adults: A systematic review and meta-analysis.

    Science.gov (United States)

    Guizelini, Pedrode Camargo; de Aguiar, Rafael Alves; Denadai, Benedito Sérgio; Caputo, Fabrizio; Greco, Camila Coelho

    2018-02-01

    Rapid force capacity, identified by rate of rise in contractile force at the onset of contraction, i.e., the rate of force development (RFD), has been considered an important neuromuscular parameter of physical fitness in elderly individuals. Randomized control studies conducted in adults have found that resistance training may elicit different outcomes in terms of RFD and muscle strength. Thus, the main purpose of this study was to review systematically the literature for studies regarding the influence of resistance training on muscle strength and RFD in elderly persons. A literature search was performed in major electronic databases from inception to March 2017. Studies including health individuals with a mean age≥60years, describing the effect of resistance training on RFD and muscle strength were found eligible. The outcomes were calculated as the difference in percentage change between control and experimental groups (% change) and data were presented as mean±95% confidence limits. Meta-analyses were performed using a random-effects model and, in addition, simple and multiple meta-regression analyses were used to identify effects of age, training type, sessions per week and training duration on % change in RFD and muscle strength. Thirteen training effects were collected from 10 studies included in the meta-analysis. The resistance training program had a moderate beneficial effect on both muscle strength (% change=18.40%, 95% CL 13.69-23.30, pchange=26.68, 95% CL 14.41-35.52, pchanges in muscle strength and RFD. It can be concluded that explosive training and heavy strength training are effective resistance training methods aiming to improve both muscle strength and RFD after short-to-medium training period. However, muscle strength and RFD seem to adapt differently to resistance training programs, suggesting caution for their interchangeable use in clinical assessments of the elderly. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Resistance of stored-product insects to phosphine

    International Nuclear Information System (INIS)

    Pimentel, Marco Aurelio Guerra; Faroni, Leda Rita D'Antonino; Batista, Maurilio Duarte; Silva, Felipe Humberto da

    2008-01-01

    The objectives of this work were to assess phosphine resistance in insect populations (Tribolium castaneum, Rhyzopertha dominica, Sitophilus zeamais and Oryzaephilus surinamensis) from different regions of Brazil and to verify if the prevailing mechanism of phosphine resistance in these populations involves reduced respiration rates. Sixteen populations of T. castaneum, 15 of R. dominica, 27 of S. zeamais and eight of O. surinamensis were collected from 36 locations over seven Brazilian states. Each population was tested for resistance to phosphine, based on the response of adults to discriminating concentrations, according to FAO standard method. For each insect species, the production of carbon dioxide of the most resistant and of the most susceptible populations was inversely related to their phosphine resistance. The screening tests identified possible phosphine resistant populations. R. dominica and O. surinamensis were less susceptible to phosphine than the other two species. The populations with lower respiration rate showed a lower mortality at discriminating concentration, possibly related to a phosphine resistance mechanism. Phosphine resistance occurs in stored-product insects, in different regions of Brazil, and the resistance mechanism involves reduced respiration rate. (author)

  19. The Trend of Antibiotic Resistance in Shigellosis and the Diagnostic Value of Erythrocyte Sedimentation Rate for Its Differentiation from Viral Gastroenteritides in Aliasghar Children Hospital, Tehran (1996-2006

    Directory of Open Access Journals (Sweden)

    A.R. Nateghian

    2007-07-01

    Full Text Available Background and objectives As a common infectious disease in childhood, shigellosis has a variety of seasonal and microbiologic patterns and there is a concerning trend of resistance in various parts of the world and even a single country. Inappropriate empiric therapy for any acute episode of gastroenteritis, which might be based on lab studies, could potentially lead to even further resistance. In this report, the erythrocyte sedimentation rate was studied in patients with acute gastroenteritis. Methods Medical records of 117 patients were reviewed in this study. The mean and standard deviation of some of the acute phase inflammatory indicators were compared in two groups of shigellosis and viral gastroenteritides using independent samples t test. Results The mean age of the patients was 49 months, and almost half of them were male. Shigella sonnei was the commonest species isolated from these patients. Shigellosis was found to be more frequent during August to October. After 2001, resistance to ampicillin and cotrimoxazole was observed in 75% and 90% of isolates respectively. A few cases were resistant to third generation of cephalosporins (< 3%. In shigellosis, the erythrocyte sedimentation rate was significantly higher than viral gastroenteritis. Conclusion Empiric use of cotrimoxazole is no longer recommended in children suspicious of contracting shigellosis in Tehran. To avoid unnecessary prescription of antibiotics for acute gastroenteritis, a normal result of erythrocyte sedimentation rate might be helpful.Keywords: Gastroenteritis, Shigella, Bacterial Antibiotic Resistance, Antibiotics, Erythrocyte Sedimentation Rate

  20. Occurrence of Salmonella spp. in broiler chicken carcasses and their susceptibility to antimicrobial agents

    Science.gov (United States)

    Duarte, Dalila Angélica Moliterno; Ribeiro, Aldemir Reginato; Vasconcelos, Ana Mércia Mendes; Santos, Sylnei Barros; Silva, Juliana Vital Domingos; de Andrade, Patrícia Lúcia Arruda; de Arruda Falcão, Lúcia Sadae Pereira da Costa

    2009-01-01

    The present study was carried out to evaluate the occurrence of Salmonellae in broiler chicken carcasses and to determine the antimicrobial resistance profile of the isolated strains. Twenty-five out of the 260 broiler chicken carcasses samples (9.6%) were positive for Salmonella. S. Enteritidis was the most frequent serovar. Nineteen Salmonella isolates were tested for antimicrobial resistance, and the results indicated that 94.7% were resistant to at least one antimicrobial agent. Resistance to streptomycin (73.7%), nitrofurantoin (52.3%), tetracycline (31.6%), and nalidixic acid (21%) were the prevalent amongst Salmonella strains tested. PMID:24031401

  1. Antibiotic Susceptibility Profiles of Dairy Leuconostoc, Analysis of the Genetic Basis of Atypical Resistances and Transfer of Genes In Vitro and in a Food Matrix.

    Directory of Open Access Journals (Sweden)

    Ana Belén Flórez

    Full Text Available In spite of a global concern on the transfer of antibiotic resistances (AR via the food chain, limited information exists on this issue in species of Leuconostoc and Weissella, adjunct cultures used as aroma producers in fermented foods. In this work, the minimum inhibitory concentration was determined for 16 antibiotics in 34 strains of dairy origin, belonging to Leuconostoc mesenteroides (18, Leuconostoc citreum (11, Leuconostoc lactis (2, Weissella hellenica (2, and Leuconostoc carnosum (1. Atypical resistances were found for kanamycin (17 strains, tetracycline and chloramphenicol (two strains each, and erythromycin, clindamycin, virginiamycin, ciprofloxacin, and rifampicin (one strain each. Surprisingly, L. mesenteroides subsp. mesenteroides LbE16, showed resistance to four antibiotics, kanamycin, streptomycin, tetracycline and virginiamycin. PCR analysis identified tet(S as responsible for tetracycline resistance in LbE16, but no gene was detected in a second tetracycline-resistant strain, L. mesenteroides subsp. cremoris LbT16. In Leuconostoc mesenteroides subsp. dextranicum LbE15, erythromycin and clindamycin resistant, an erm(B gene was amplified. Hybridization experiments proved erm(B and tet(S to be associated to a plasmid of ≈35 kbp and to the chromosome of LbE15 and LbE16, respectively. The complete genome sequence of LbE15 and LbE16 was used to get further insights on the makeup and genetic organization of AR genes. Genome analysis confirmed the presence and location of erm(B and tet(S, but genes providing tetracycline resistance in LbT16 were again not identified. In the genome of the multi-resistant strain LbE16, genes that might be involved in aminoglycoside (aadE, aphA-3, sat4 and virginiamycin [vat(E] resistance were further found. The erm(B gene but not tet(S was transferred from Leuconostoc to Enterococcus faecalis both under laboratory conditions and in cheese. This study contributes to the characterization of AR in the

  2. Screening of Probiotic Activities of Lactobacilli Strains Isolated from Traditional Tibetan Qula, A Raw Yak Milk Cheese

    Directory of Open Access Journals (Sweden)

    Bei Zhang

    2016-10-01

    Full Text Available In this study, 69 lactobacilli isolated from Tibetan Qula, a raw yak milk cheese, were screened for their potential use as probiotics. The isolates were tested in terms of: Their ability to survive at pH 2.0, pH 3.0, and in the presence of 0.3% bile salts; tolerance of simulated gastric and intestinal juices; antimicrobial activity; sensitivity against 11 specific antibiotics; and their cell surface hydrophobicity. The results show that out of the 69 strains, 29 strains (42% had survival rates above 90% after 2 h of incubation at pH values of 2.0 or 3.0. Of these 29 strains, 21 strains showed a tolerance for 0.3% bile salt. Incubation of these 21 isolates in simulated gastrointestinal fluid for 3 h revealed survival rates above 90%; the survival rate for 20 of these isolates remained above 90% after 4 h of incubation in simulated intestinal fluid. The viable counts of bacteria after incubation in simulated gastric fluid for 3 h and simulated intestinal fluid for 4 h were both significantly different compared with the counts at 0 h (p<0.001. Further screening performed on the above 20 isolates indicated that all 20 lactobacilli strains exhibited inhibitory activity against Micrococcus luteus ATCC 4698, Bacillus subtilis ATCC 6633, Listeria monocytogenes ATCC 19115, and Salmonella enterica ATCC 43971. Moreover, all of the strains were resistant to vancomycin and streptomycin. Of the 20 strains, three were resistant to all 11 elected antibiotics (ciprofloxacin, erythromycin, tetracycline, penicillin G, ampicillin, streptomycin, polymyxin B, vancomycin, chloramphenicol, rifampicin, and gentamicin in this study, and five were sensitive to more than half of the antibiotics. Additionally, the cell surface hydrophobicity of seven of the 20 lactobacilli strains was above 70%, including strains Lactobacillus casei 1,133 (92%, Lactobacillus plantarum 1086-1 (82%, Lactobacillus casei 1089 (81%, Lactobacillus casei 1138 (79%, Lactobacillus buchneri 1059 (78

  3. Screening of Probiotic Activities of Lactobacilli Strains Isolated from Traditional Tibetan Qula, A Raw Yak Milk Cheese.

    Science.gov (United States)

    Zhang, Bei; Wang, Yanping; Tan, Zhongfang; Li, Zongwei; Jiao, Zhen; Huang, Qunce

    2016-10-01

    In this study, 69 lactobacilli isolated from Tibetan Qula, a raw yak milk cheese, were screened for their potential use as probiotics. The isolates were tested in terms of: Their ability to survive at pH 2.0, pH 3.0, and in the presence of 0.3% bile salts; tolerance of simulated gastric and intestinal juices; antimicrobial activity; sensitivity against 11 specific antibiotics; and their cell surface hydrophobicity. The results show that out of the 69 strains, 29 strains (42%) had survival rates above 90% after 2 h of incubation at pH values of 2.0 or 3.0. Of these 29 strains, 21 strains showed a tolerance for 0.3% bile salt. Incubation of these 21 isolates in simulated gastrointestinal fluid for 3 h revealed survival rates above 90%; the survival rate for 20 of these isolates remained above 90% after 4 h of incubation in simulated intestinal fluid. The viable counts of bacteria after incubation in simulated gastric fluid for 3 h and simulated intestinal fluid for 4 h were both significantly different compared with the counts at 0 h (pstrains exhibited inhibitory activity against Micrococcus luteus ATCC 4698, Bacillus subtilis ATCC 6633, Listeria monocytogenes ATCC 19115, and Salmonella enterica ATCC 43971. Moreover, all of the strains were resistant to vancomycin and streptomycin. Of the 20 strains, three were resistant to all 11 elected antibiotics (ciprofloxacin, erythromycin, tetracycline, penicillin G, ampicillin, streptomycin, polymyxin B, vancomycin, chloramphenicol, rifampicin, and gentamicin) in this study, and five were sensitive to more than half of the antibiotics. Additionally, the cell surface hydrophobicity of seven of the 20 lactobacilli strains was above 70%, including strains Lactobacillus casei 1,133 (92%), Lactobacillus plantarum 1086-1 (82%), Lactobacillus casei 1089 (81%), Lactobacillus casei 1138 (79%), Lactobacillus buchneri 1059 (78%), Lactobacillus plantarum1141 (75%), and Lactobacillus plantarum 1197 (71%). Together, these results suggest

  4. Phenotypic and genotypic characterization of vancomycin-resistant Enterococcus faecium clinical isolates from two hospitals in Mexico: First detection of VanB phenotype-vanA genotype.

    Science.gov (United States)

    Bocanegra-Ibarias, Paola; Flores-Treviño, Samantha; Camacho-Ortiz, Adrián; Morfin-Otero, Rayo; Villarreal-Treviño, Licet; Llaca-Díaz, Jorge; Martínez-Landeros, Erik Alan; Rodríguez-Noriega, Eduardo; Calzada-Güereca, Andrés; Maldonado-Garza, Héctor Jesús; Garza-González, Elvira

    2016-01-01

    Enterococcus faecium has emerged as a multidrug-resistant nosocomial pathogen involved in outbreaks worldwide. Our aim was to determine the antimicrobial susceptibility, biofilm production, and clonal relatedness of vancomycin-resistant E. faecium (VREF) clinical isolates from two hospitals in Mexico. Consecutive clinical isolates (n=56) were collected in two tertiary care hospitals in Mexico from 2011 to 2014. VREF isolates were characterized by phenotypic and molecular methods including pulsed-field gel electrophoresis (PFGE). VREF isolates were highly resistant to vancomycin, erythromycin, norfloxacin, high-level streptomycin, and teicoplanin, and showed lower resistance to tetracycline, nitrofurantoin and quinupristin-dalfopristin. None of the isolates were resistant to linezolid. The vanA gene was detected in all isolates. Two VanB phenotype-vanA genotype isolates, highly resistant to vancomycin and susceptible to teicoplanin, were detected. Furthermore, 17.9% of the isolates were classified as biofilm producers, and the espfm gene was found in 98.2% of the isolates. A total of 37 distinct PFGE patterns and 6 clones (25% of the isolates as clone A, 5.4% as clone B, and 3.6% each as clone C, D, E, and F) were detected. Clone A was detected in 5 different wards of the same hospital during 14 months of surveillance. The high resistance to most antimicrobial agents and the moderate cross-transmission of VREF detected accentuates the need for continuous surveillance of E. faecium in the hospital setting. This is also the first reported incidence of the E. faecium VanB phenotype-vanA genotype in the Americas. Copyright © 2015 Elsevier España, S.L.U. and Sociedad Española de Enfermedades Infecciosas y Microbiología Clínica. All rights reserved.

  5. Multidrug-Resistant and Extended Spectrum Beta-Lactamase-Producing Escherichia coli in Dutch Surface Water and Wastewater.

    Directory of Open Access Journals (Sweden)

    Hetty Blaak

    Full Text Available The goal of the current study was to gain insight into the prevalence and concentrations of antimicrobial resistant (AMR Escherichia coli in Dutch surface water, and to explore the role of wastewater as AMR contamination source.The prevalence of AMR E. coli was determined in 113 surface water samples obtained from 30 different water bodies, and in 33 wastewater samples obtained at five health care institutions (HCIs, seven municipal wastewater treatment plants (mWWTPs, and an airport WWTP. Overall, 846 surface water and 313 wastewater E. coli isolates were analysed with respect to susceptibility to eight antimicrobials (representing seven different classes: ampicillin, cefotaxime, tetracycline, ciprofloxacin, streptomycin, sulfamethoxazole, trimethoprim, and chloramphenicol.Among surface water isolates, 26% were resistant to at least one class of antimicrobials, and 11% were multidrug-resistant (MDR. In wastewater, the proportions of AMR/MDR E. coli were 76%/62% at HCIs, 69%/19% at the airport WWTP, and 37%/27% and 31%/20% in mWWTP influents and effluents, respectively. Median concentrations of MDR E. coli were 2.2×10(2, 4.0×10(4, 1.8×10(7, and 4.1×10(7 cfu/l in surface water, WWTP effluents, WWTP influents and HCI wastewater, respectively. The different resistance types occurred with similar frequencies among E. coli from surface water and E. coli from municipal wastewater. By contrast, among E. coli from HCI wastewater, resistance to cefotaxime and resistance to ciprofloxacin were significantly overrepresented compared to E. coli from municipal wastewater and surface water. Most cefotaxime-resistant E. coliisolates produced ESBL. In two of the mWWTP, ESBL-producing variants were detected that were identical with respect to phylogenetic group, sequence type, AMR-profile, and ESBL-genotype to variants from HCI wastewater discharged onto the same sewer and sampled on the same day (A1/ST23/CTX-M-1, B23/ST131/CTX-M-15, D2/ST405/CTX-M-15.In

  6. Antibiotic resistance of lactic acid bacteria and Bifidobacterium spp. isolated from dairy and pharmaceutical products.

    Science.gov (United States)

    D'Aimmo, Maria Rosaria; Modesto, Monica; Biavati, Bruno

    2007-04-01

    The outlines of antibiotic resistance of some probiotic microorganisms were studied. This study was conducted with the double purpose of verifying their ability to survive if they are taken simultaneously with an antibiotic therapy and to increase the selective properties of suitable media for the isolation of samples containing mixed bacterial populations. We isolated from commercial dairy and pharmaceutical products, 34 strains declared as probiotics, belonging to the genera Bifidobacterium and Lactobacillus, and 21 strains of starter culture bacteria. All the microorganisms have been compared by electrophoresis of the soluble proteins for the purpose of identifying them. A Multiplex-PCR with genus- and species-specific primers was used to detect for Bifidobacterium animalis subsp. lactis presence. All bifidobacteria were B. animalis subsp. lactis except one Bifidobacterium longum. Sometimes the identification showed that the used strain was not the one indicated on the label. The lactobacilli were Lactobacillus acidophilus, Lactobacillus casei, and Lactobacillus delbrueckii subsp. bulgaricus. The streptococci were all Streptococcus thermophilus. The minimal inhibitory concentration (MIC) of 24 common antibiotic substances has been valued by the broth microdilution method. All tested strains were susceptible to ampicillin, bacitracin, clindamycin, dicloxacillin, erytromycin, novobiocin, penicillin G, rifampicin (MIC(90) ranging from 0.01 to 4 microg/ml); resistant to aztreonam, cycloserin, kanamycin, nalidixic acid, polymyxin B and spectinomycin (MIC(90) ranging from 64 to >1000 microg/ml). The susceptibility to cephalothin, chloramphenicol, gentamicin, lincomycin, metronidazole, neomycin, paromomycin, streptomycin, tetracycline and vancomycin was variable and depending on the species.

  7. The incidence rate of HIV type-1 drug resistance in patients on antiretroviral therapy: a nationwide population-based Danish cohort study 1999-2005

    DEFF Research Database (Denmark)

    Audelin, A.M.; Lohse, N.; Obel, N.

    2009-01-01

    BACKGROUND: Newer antiretroviral treatment regimens for HIV carry a lower risk of inducing drug resistance mutations. We estimated changes in incidence rates (IRs) of new mutations in HIV-infected individuals receiving highly active antiretroviral therapy (HAART). METHODS: Population-based data...... were obtained from the Danish HIV Cohort Study and the Danish HIV Sequence Database. We included treatment-naive patients initiating HAART after December 1997 and computed time to first drug resistance mutation, identified as new mutations detected within 1 year after a 60-day period of treatment.......077). The IR of PI resistance decreased from 7.5 (1.4-21.8) in 1999 to 2.9 (0.7-11.4) in 2002-2003 (P=0.148). The IRs were low for specific resistance mutations, except for M184V (IR 5.6 [4.0-7.9]) and K103N (IR 8.2 [5.6-12.0]). CONCLUSIONS: The incidence of acquired drug resistance has decreased among HIV...

  8. Epidemiology meets econometrics: using time-series analysis to observe the impact of bed occupancy rates on the spread of multidrug-resistant bacteria.

    Science.gov (United States)

    Kaier, K; Meyer, E; Dettenkofer, M; Frank, U

    2010-10-01

    Two multivariate time-series analyses were carried out to identify the impact of bed occupancy rates, turnover intervals and the average length of hospital stay on the spread of multidrug-resistant bacteria in a teaching hospital. Epidemiological data on the incidences of meticillin-resistant Staphylococcus aureus (MRSA) and extended-spectrum beta-lactamase (ESBL)-producing bacteria were collected. Time-series of bed occupancy rates, turnover intervals and the average length of stay were tested for inclusion in the models as independent variables. Incidence was defined as nosocomial cases per 1000 patient-days. This included all patients infected or colonised with MRSA/ESBL more than 48h after admission. Between January 2003 and July 2008, a mean incidence of 0.15 nosocomial MRSA cases was identified. ESBL was not included in the surveillance until January 2005. Between January 2005 and July 2008 the mean incidence of nosocomial ESBL was also 0.15 cases per 1000 patient-days. The two multivariate models demonstrate a temporal relationship between bed occupancy rates in general wards and the incidence of nosocomial MRSA and ESBL. Similarly, the temporal relationship between the monthly average length of stay in intensive care units (ICUs) and the incidence of nosocomial MRSA and ESBL was demonstrated. Overcrowding in general wards and long periods of ICU stay were identified as factors influencing the spread of multidrug-resistant bacteria in hospital settings. Copyright 2010 The Hospital Infection Society. Published by Elsevier Ltd. All rights reserved.

  9. A Mechanism of Unidirectional Transformation, Leading to Antibiotic Resistance, Occurs within Nasopharyngeal Pneumococcal Biofilm Consortia.

    Science.gov (United States)

    Lattar, Santiago M; Wu, Xueqing; Brophy, Jennifer; Sakai, Fuminori; Klugman, Keith P; Vidal, Jorge E

    2018-05-15

    Streptococcus pneumoniae acquires genes for resistance to antibiotics such as streptomycin (Str) or trimethoprim (Tmp) by recombination via transformation of DNA released by other pneumococci and closely related species. Using naturally transformable pneumococci, including strain D39 serotype 2 (S2) and TIGR4 (S4), we studied whether pneumococcal nasopharyngeal transformation was symmetrical, asymmetrical, or unidirectional. Incubation of S2 Tet and S4 Str in a bioreactor simulating the human nasopharynx led to the generation of Spn Tet/Str recombinants. Double-resistant pneumococci emerged soon after 4 h postinoculation at a recombination frequency (rF) of 2.5 × 10 -4 while peaking after 8 h at a rF of 1.1 × 10 -3 Acquisition of antibiotic resistance genes by transformation was confirmed by treatment with DNase I. A high-throughput serotyping method demonstrated that all double-resistant pneumococci belonged to one serotype lineage (S2 Tet/Str ) and therefore that unidirectional transformation had occurred. Neither heterolysis nor availability of DNA for transformation was a factor for unidirectional transformation given that the density of each strain and extracellular DNA (eDNA) released from both strains were similar. Unidirectional transformation occurred regardless of the antibiotic-resistant gene carried by donors or acquired by recipients and regardless of whether competence-stimulating peptide-receptor cross talk was allowed. Moreover, unidirectional transformation occurred when two donor strains (e.g., S4 Str and S19F Tmp ) were incubated together, leading to S19F Str/Tmp but at a rF 3 orders of magnitude lower (4.9 × 10 -6 ). We finally demonstrated that the mechanism leading to unidirectional transformation was due to inhibition of transformation of the donor by the recipient. IMPORTANCE Pneumococcal transformation in the human nasopharynx may lead to the acquisition of antibiotic resistance genes or genes encoding new capsular variants

  10. Udder pathogens and their resistance to antimicrobial agents in dairy cows in Estonia

    Directory of Open Access Journals (Sweden)

    Orro Toomas

    2011-02-01

    Full Text Available Abstract Background The goal of this study was to estimate the distribution of udder pathogens and their antibiotic resistance in Estonia during the years 2007-2009. Methods The bacteriological findings reported in this study originate from quarter milk samples collected from cows on Estonian dairy farms that had clinical or subclinical mastitis. The samples were submitted by local veterinarians to the Estonian Veterinary and Food Laboratory during 2007-2009. Milk samples were examined by conventional bacteriology. In vitro antimicrobial susceptibility testing was performed with the disc diffusion test. Logistic regression with a random herd effect to control for clustering was used for statistical analysis. Results During the study period, 3058 clinical mastitis samples from 190 farms and 5146 subclinical mastitis samples from 274 farms were investigated. Positive results were found in 57% of the samples (4680 out of 8204, and the proportion did not differ according to year (p > 0.05. The proportion of bacteriologically negative samples was 22.3% and that of mixed growth was 20.6%. Streptococcus uberis (Str. uberis was the bacterium isolated most frequently (18.4% from cases of clinical mastitis, followed by Escherichia coli (E. coli (15.9% and Streptococcus agalactiae (Str. agalactiae (11.9%. The bacteria that caused subclinical mastitis were mainly Staphylococcus aureus (S. aureus (20% and coagulase-negative staphylococci (CNS (15.4%. The probability of isolating S. aureus from milk samples was significantly higher on farms that had fewer than 30 cows, when compared with farms that had more than 100 cows (p Str. agalactiae infection was found on farms with more than 600 cows (p = 0.034 compared with smaller farms. The proportion of S. aureus and CNS isolates that were resistant to penicillin was 61.4% and 38.5%, respectively. Among the E. coli isolates, ampicillin, streptomycin and tetracycline resistance were observed in 24.3%, 15.6% and 13

  11. High rate of mutation K103N causing resistance to nevirapine in Indian children with acquired immunodeficiency syndrome

    Directory of Open Access Journals (Sweden)

    Sehgal S

    2008-01-01

    Full Text Available In north India the number of paediatric cases with acquired immunodeficiency syndrome (AIDS is on the rise. Most drug combinations used for treatment of AIDS incorporate nevirapine, resistance to which develops very fast if given singly or because of unplanned interruptions. This paper investigates presence of mutations at codon 103 and codon 215 of the HIV pol gene causing resistance to nevirapine and zidovudine (AZT respectively in 25 children with AIDS. Mutations T215Y and K103N were detected by a nested cum amplification refractory mutation system polymerase chain reaction (ARMS PCR and the results were confirmed by direct sequencing in five randomly selected cases. Nineteen patients had received nevirapine containing regimen and six were drug naive. Mutation K103N was observed in 56% (14/25 of the children while mutation T215Y was found in none. Two of the six drug naοve children also showed K103N mutation. Thus, Indian children drug naοve or treated with nevirapine containing regimens show a high rate of mutation conferring resistance to nevirapine which calls for a judicious use of nevirapine both in antenatal and postnatal setting.

  12. Autolysis of methicillin-resistant and -susceptible Staphylococcus aureus.

    Science.gov (United States)

    Gustafson, J E; Berger-Bächi, B; Strässle, A; Wilkinson, B J

    1992-01-01

    The autolytic activities, including unstimulated, Triton X-100-stimulated, and daptomycin-induced, of various sets of methicillin-resistant and related methicillin-susceptible strains were compared. Faster rates of autolysis were noted in two heterogeneous methicillin-resistant transductants than in their methicillin-susceptible parental recipients, in a heterogeneous resistant strain than in a susceptible derivative created by chemical mutagenesis, and in a homogeneous resistant strain than in a derivative that had decreased methicillin resistance and was created by transposon Tn551 mutagenesis. These results suggest that the presence of the methicillin resistance region, mec, either directly or indirectly through an interaction with other host genes, confers a faster rate of autolysis on strains. Various auxilliary genes are known to affect methicillin resistance expression, and one of these genes, femA, was necessary for the expression of this faster rate of autolysis. These differences in autolytic activities were not observed in isolated crude cell walls retaining autolytic activities, suggesting different modes of regulation of autolysins in intact cells and isolated walls. In contrast, one homogeneous, highly resistant strain, DU4916, had a lower autolytic activity than did derived heterogeneous resistant and susceptible strains created by chemical mutagenesis and a strain that had decreased resistance and was created by transposon mutagenesis. Our observations suggest that methicillin resistance expression is associated with an enhanced rate of autolysis, in heterogeneous resistant strains at least. Images PMID:1320363

  13. Nasal carriage rate of methicillin resistant Staphylococcus aureus among Iranian healthcare workers: a systematic review and meta-analysis.

    Science.gov (United States)

    Emaneini, Mohammad; Jabalameli, Fereshteh; Rahdar, Hosseinali; Leeuwen, Willem B van; Beigverdi, Reza

    2017-01-01

    Globally, methicillin-resistant Staphylococcus aureus (MRSA) remains a major cause of healthcare-associated infections. Healthcare workers (HCWs), patients and the environment may act as reservoirs for the spread of MRSA to patients and other HCWs. Screening and eradication of MRSA colonization is an effective method of reducing the MRSA infection rate. There are limited data on the prevalence of MRSA among Iranian HCWs. We performed a systematic search by using different electronic databases including Medline (via PubMed), Embase, Web of Science, and Iranian Databases (from January 2000 to July 2016). Meta-analysis was performed using the Comprehensive Meta-Analysis (Biostat V2.2) software. The meta-analyses showed that the prevalence of S. aureus and MRSA among HCWs were 22.7% [95% confidence interval (CI): 19.3-26.6] and 32.8% (95% CI: 26.0-40.4) respectively. The high rate of nasal MRSA carriage among Iranian HCWs has been attributed to poor compliance to hand hygiene, injudicious use of antibiotics, and ineffective infection control and prevention measures. The rational use of antibiotics plus strict infection control are the main pillars for controlling multidrug resistant microorganisms such as MRSA in the hospital setting. These measurements should be applied nationally.

  14. Occurrence and antimicrobial resistance of pathogenic Escherichia coli and Salmonella spp. in retail raw table eggs sold for human consumption in Enugu state, Nigeria

    Science.gov (United States)

    Okorie-Kanu, O. Josephine; Ezenduka, E. Vivienne; Okorie-Kanu, C. Onwuchokwe; Ugwu, L. Chinweokwu; Nnamani, U. John

    2016-01-01

    Aim: This study was conducted to investigate the occurrence of pathogenic Escherichia coli and Salmonella species in retail raw table eggs sold for human consumption in Enugu State and to determine the resistance of these pathogens to antimicrobials commonly used in human and veterinary practices in Nigeria. Materials and Methods: A total of 340 raw table eggs comprising 68 composite samples (5 eggs per composite sample) were collected from five selected farms (13 composite samples from the farms) and 10 retail outlets (55 composite samples from the retail outlets) in the study area over a period of 4-month (March-June, 2014). The eggs were screened for pathogenic E. coli and Salmonella species following standard procedures within 24 h of sample collection. Isolates obtained were subjected to in-vitro antimicrobial susceptibility test with 15 commonly used antimicrobials using the disk diffusion method. Results: About 37 (54.4%) and 7 (10.3%) of the 68 composite samples were positive for pathogenic E. coli and Salmonella species, respectively. The shells showed significantly higher (p0.05). The organisms obtained showed a multiple drug resistance. They were completely resistant to nitrofurantoin, sulfamethoxazole/trimethoprim, penicillin G and oxacillin. In addition to these, Salmonella spp. also showed 100% resistance to tetracycline. The pathogenic E. coli isolates obtained were 100% susceptible to gentamicin, neomycin, ciprofloxacin, and amoxicillin-clavulanic acid while Salmonella spp. showed 100% susceptibility to erythromycin, neomycin, and rifampicin. Both organisms showed varying degrees of resistance to streptomycin, amoxicillin, vancomycin, and doxycycline. Conclusion: From the results of the study, it can be concluded that the raw table eggs marketed for human consumption in Enugu State, Nigeria is contaminated with pathogenic E. coli and Salmonella species that showed multiple drug resistance to antimicrobial agents commonly used in veterinary and human

  15. Virulence characterisation of Salmonella enterica isolates of differing antimicrobial resistance recovered from UK livestock and imported meat samples.

    Directory of Open Access Journals (Sweden)

    Roderick eCard

    2016-05-01

    Full Text Available Salmonella enterica is a foodborne zoonotic pathogen of significant public health concern. We have characterised the virulence and antimicrobial resistance gene content of 95 Salmonella isolates from 11 serovars by DNA microarray recovered from UK livestock or imported meat. Genes encoding resistance to sulphonamides (sul1, sul2, tetracycline (tet(A, tet(B, streptomycin (strA, strB, aminoglycoside (aadA1, aadA2, beta-lactam (blaTEM, and trimethoprim (dfrA17 were common. Virulence gene content differed between serovars; S. Typhimurium formed two subclades based on virulence plasmid presence. Thirteen isolates were selected by their virulence profile for pathotyping using the Galleria mellonella pathogenesis model. Infection with a chicken invasive S. Enteritidis or S. Gallinarum isolate, a multidrug resistant S. Kentucky, or a S. Typhimurium DT104 isolate resulted in high mortality of the larvae; notably presence of the virulence plasmid in S. Typhimurium was not associated with increased larvae mortality. Histopathological examination showed that infection caused severe damage to the Galleria gut structure. Enumeration of intracellular bacteria in the larvae 24 hours post-infection showed increases of up to 7 log above the initial inoculum and transmission electron microscopy (TEM showed bacterial replication in the haemolymph. TEM also revealed the presence of vacuoles containing bacteria in the haemocytes, similar to Salmonella containing vacuoles observed in mammalian macrophages; although there was no evidence from our work of bacterial replication within vacuoles. This work shows that microarrays can be used for rapid virulence genotyping of S. enterica and that the Galleria animal model replicates some aspects of Salmonella infection in mammals. These procedures can be used to help inform on the pathogenicity of isolates that may be antibiotic resistant and have scope to aid the assessment of their potential public and animal health risk.

  16. Within-Subject Testing of the Signaled-Reinforcement Effect on Operant Responding as Measured by Response Rate and Resistance to Change

    Science.gov (United States)

    Reed, Phil; Doughty, Adam H.

    2005-01-01

    Response rates under random-interval schedules are lower when a brief (500 ms) signal accompanies reinforcement than when there is no signal. The present study examined this signaled-reinforcement effect and its relation to resistance to change. In Experiment 1, rats responded on a multiple random-interval 60-s random-interval 60-s schedule, with…

  17. Frequency of resistance to methicillin and other antimicrobial agents among Staphylococcus aureus strains isolated from pigs and their human handlers in Trinidad

    Directory of Open Access Journals (Sweden)

    Annika Gordon

    2014-04-01

    Full Text Available Background: Methicillin-resistant Staphylococcus aureus (MRSA has emerged recently worldwide in production animals, particularly pigs and veal calves, which act as reservoirs for MRSA strains for human infection. The study determined the prevalence of MRSA and other resistant strains of S. aureus isolated from the anterior nares of pigs and human handlers on pig farms in Trinidad. Methods: Isolation of S. aureus was done by concurrently inoculating Baird-Parker agar (BPA and Chromagar MRSA (CHROM with swab samples and isolates were identified using standard methods. Suspect MRSA isolates from Chromagar and BPA were subjected to confirmatory test using Oxoid PBP2 latex agglutination test. The disc diffusion method was used to determine resistance to antimicrobial agents. Results: The frequency of isolation of MRSA was 2.1% (15 of 723 for pigs but 0.0% (0 of 72 for humans. Generally, for isolates of S. aureus from humans there was a high frequency of resistance compared with those from pigs, which had moderate resistance to the following antimicrobials: penicillin G (54.5%, 51.5%, ampicillin (59.1%, 49.5%, and streptomycin (59.1%, 37.1%, respectively. There was moderate resistance to tetracycline (36.4%, 41.2% and gentamycin (27.2%, 23.7% for human and pig S. aureus isolates, respectively, and low resistance to sulfamethoxazole-trimethoprim (4.5%, 6.2% and norfloxacin (9.1%, 12.4%, respectively. The frequency of resistance to oxacillin by the disc method was 36.4 and 34.0% from S. aureus isolates from humans and pigs, respectively. Out of a total of 78 isolates of S. aureus from both human and pig sources that were resistant to oxacillin by the disc diffusion method, only 15 (19.2% were confirmed as MRSA by the PBP'2 latex test kit. Conclusions: The detection of MRSA strains in pigs, albeit at a low frequency, coupled with a high frequency of resistance to commonly used antimicrobial agents in pig and humans could have zoonotic and therapeutic

  18. Longitudinal study of distributions of similar antimicrobial-resistant Salmonella serovars in pigs and their environment in two distinct swine production systems.

    Science.gov (United States)

    Keelara, Shivaramu; Scott, H Morgan; Morrow, William M; Gebreyes, Wondwossen A; Correa, Maria; Nayak, Rajesh; Stefanova, Rossina; Thakur, Siddhartha

    2013-09-01

    The aim of this longitudinal study was to determine and compare the prevalences and genotypic profiles of antimicrobial-resistant (AR) Salmonella isolates from pigs reared in antimicrobial-free (ABF) and conventional production systems at farm, at slaughter, and in their environment. We collected 2,889 pig fecal and 2,122 environmental (feed, water, soil, lagoon, truck, and floor swabs) samples from 10 conventional and eight ABF longitudinal cohorts at different stages of production (farrowing, nursery, finishing) and slaughter (postevisceration, postchill, and mesenteric lymph nodes [MLN]). In addition, we collected 1,363 carcass swabs and 205 lairage and truck samples at slaughter. A total of 1,090 Salmonella isolates were recovered from the samples; these were isolated with a significantly higher prevalence in conventionally reared pigs (4.0%; n = 66) and their environment (11.7%; n = 156) than in ABF pigs (0.2%; n = 2) and their environment (0.6%; n = 5) (P antimicrobial resistance (AR) were exhibited to tetracycline (71%), sulfisoxazole (42%), and streptomycin (17%). Multidrug resistance (resistance to ≥ 3 antimicrobials; MDR) was detected in 27% (n = 254) of the Salmonella isolates from the conventional system. Our study reports a low prevalence of Salmonella in both production systems in pigs on farms, while a higher prevalence was detected among the carcasses at slaughter. The dynamics of Salmonella prevalence in pigs and carcasses were reciprocated in the farm and slaughter environment, clearly indicating an exchange of this pathogen between the pigs and their surroundings. Furthermore, the phenotypic and genotypic fingerprint profile results underscore the potential role played by environmental factors in dissemination of AR Salmonella to pigs.

  19. Occurrence of aminoglycoside-modifying enzymes among isolates of Escherichia coli exhibiting high levels of aminoglycoside resistance isolated from Korean cattle farms.

    Science.gov (United States)

    Belaynehe, Kuastros Mekonnen; Shin, Seung Won; Hong-Tae, Park; Yoo, Han Sang

    2017-08-01

    This study investigated 247 Escherichia coli isolates collected from four cattle farms to characterize aminoglycoside-modifying enzyme (AME) genes, their plasmid replicons and transferability. Out of 247 isolates a high number of isolates (total 202; 81.78%) were found to be resistant to various antibiotics by disc diffusion. Of the 247 strains, 139 (56.3%) were resistant to streptomycin, and other antibiotic resistances followed as tetracycline (12.15%), ampicillin (7%), chloramphenicol (5.7%) and trimethoprim-sulfamethoxazole (0.8%). Among 247 isolates B1 was the predominant phylogenetic group identified comprising 151 isolates (61.1%), followed by groups A (27.9%), D (7%) and B2 (4%). Out of 139 isolates investigated for AME, 130 (93.5%) isolates carried at least one AME gene. aph3″-1a and aph3″-1b (46%) were the principal genes detected, followed by aac3-IVa (34.5%). ant2″-1a was the least detected gene (2.2%). Nine (6.5%) strains carried no AME genes. Twelve (63.2%) among 19 isolates transferred an AME gene to a recipient and aph3΄-1a was the dominant transferred gene. Transferability mainly occurred via the IncFIB replicon type (52.6%). Pulsed-field gel electrophoresis typing demonstrated a higher degree of diversity with 14 distinct cluster types. This result suggests that commensal microflora from food-producing animals has a tremendous ability to harbor and transfer AME genes, and poses a potential risk by dissemination of resistance to humans through the food chain. © FEMS 2017. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  20. Effect of physiological age on radiation resistance of some bacteria that are highly radiation resistant

    International Nuclear Information System (INIS)

    Keller, L.C.; Maxcy, R.B.

    1984-01-01

    Physiological age-dependent variation in radiation resistance was studied for three bacteria that are highly radiation resistant: Micrococcus radiodurans, Micrococcus sp. isolate C-3, and Moraxella sp. isolate 4. Stationary-phase cultures of M. radiodurans and isolate C-3 were much more resistant to gamma radiation than were log-phase cultures. This pattern of relative resistance was reversed for isolate 4. Resistance of isolate 4 to UV light was also greater during log phase, although heat resistance and NaCl tolerance after heat stresses were greater during stationary phase. Radiation-induced injury of isolate 4 compared with injury of Escherichia coli B suggested that the injury process, as well as the lethal process, was affected by growth phase. The hypothesis that growth rate affects radiation resistance was tested, and results were interpreted in light of the probable confounding effect of methods used to alter growth rates of bacteria. These results indicate that dose-response experiments should be designed to measure survival during the most resistant growth phase of the organism under study. The timing is particularly important when extrapolations of survival results might be made to potential irradiation processes for foods. 17 references

  1. Susceptibility to antimicrobial agents of Streptococcus suis capsular type 2 strains isolated from pigs.

    Science.gov (United States)

    Seol, B; Kelneric, Z; Hajsig, D; Madic, J; Naglic, T

    1996-03-01

    The minimal inhibitory concentrations (MICs) for thirty-three epidemiologicaly unrelated clinical isolates of Streptococcus suis capsular type 2 were determined in relation to ampicillin, ampicillin-sulbactam, amoxicillin, clavulanate-amoxicillin, penicillin G, cephalexin, gentamicin, streptomycin, erythromycin, tylosin and doxycycline, using the microtitre broth dilution procedure described by the U.S. National Committee for Clinical Laboratory Standards (NCCLS). Gentamicin was the most active compound tested, with an MIC for 90% of the strains tested (MIC(90)) of 0.4 mg/L. Overall, 70% of strains were resistant to doxycycline (MIC(90) > or = 100.0 mg/L), followed by penicillin G (51% of strains) (MIC(90) + or = 100.0 mg/L). Resistance to amoxicillin and ampicillin was 36.4% (MIC(90) 12.5 mg/L) and 33.3% (MIC(90) 50.0 mg/L), respectively. 15.2% of S. suis strains were resistant to streptomycin, tylosin and cephalexin with MIC90 values of 25.0 mg/L, 12.5 mg/L and 25.0 mg/L, respectively. A combination of ampicillin and sulbactam (MIC(90) 6.3 mg/L) and a combination of amoxicillin and clavulanate (MIC(90) 3.1 mg/L) as well as erythromycin (1.6 mg/L) were of the same efficacy, with a total of 9.1% resistant S. suis strains. This high percentage of resistance to doxycycline and penicillin G precludes the use of these antibiotics as empiric therapy of swine diseases.

  2. Influence of heating rates on in situ resistance measurements of a bronze route Nb-Sn-Cu-Ta multifilamentary conductor

    International Nuclear Information System (INIS)

    Tan, K.S.; Hopkins, S.C.; Glowacki, B.A.

    2004-01-01

    The superconducting properties of a bronze process multifilamentary conductor are controlled by the structure, dimensions and composition of the intermetallic layers, which are strongly influenced by the details of the heat treatments applied to the conductor. It has previously been reported that the electrical resistivity of a Vacuumschmelze bronze process conductor varies during heat treatment, and that analysis of the conductor as a set of parallel resistors allows the features of the resistivity variation to be assigned to the progress of Nb 3 Sn intermetallic phase formation. The behaviour of NSP2 Nb-Sn-Cu-Ta bronze process multifilamentary conductors (Imperial Metal Industries) is now reported as a function of the heating rate, in preparation for more complex non-isothermal heat treatment procedures. It is shown that the resistance of the wire measured in situ by an alternating current (AC) technique can be used to observe the progress of the formation of Nb 3 Sn, and that the comparison of resistometric measurements at different heating rates can give an indication of other processes (such as recovery and recrystallisation) occurring at lower temperatures during the heating up process prior to isothermal annealing. In addition, this wire containing only about 1% of copper was carefully chosen because of the broken tantalum barriers around individual copper filaments. Therefore, the resistometric measurements were used to attempt to detect the diffusion of tin from the bronze matrix into the copper filaments at lower temperatures without noticeable influence on Nb 3 Sn phase formation. Treating the NSP2 wire as a set of parallel resistors also permits estimates to be made of the intermetallic layer thicknesses from resistometric measurements, and these are shown to be in good agreement with estimates from scanning electron microscopy. The difference in critical temperature, T c , between wires heated at different rates, with the presence of the bronze matrix

  3. Effects of vildagliptin versus sitagliptin, on cardiac function, heart rate variability and mitochondrial function in obese insulin-resistant rats

    Science.gov (United States)

    Apaijai, Nattayaporn; Pintana, Hiranya; Chattipakorn, Siriporn C; Chattipakorn, Nipon

    2013-01-01

    Background and Purpose Long-term high-fat diet (HFD) consumption has been shown to cause insulin resistance, which is characterized by hyperinsulinaemia with metabolic inflexibility. Insulin resistance is associated with cardiac sympathovagal imbalance, cardiac dysfunction and cardiac mitochondrial dysfunction. Dipeptidyl peptidase-4 (DPP-4) inhibitors, vildagliptin and sitagliptin, are oral anti-diabetic drugs often prescribed in patients with cardiovascular disease. Therefore, in this study, we sought to determine the effects of vildagliptin and sitagliptin in a murine model of insulin resistance. Experimental Approach Male Wistar rats weighing 180–200 g, were fed either a normal diet (20% energy from fat) or a HFD (59% energy from fat) for 12 weeks. These rats were then divided into three subgroups to receive vildagliptin (3 mg·kg−1·day−1), sitagliptin (30 mg·kg−1·day−1) or vehicle for another 21 days. Metabolic parameters, oxidative stress, heart rate variability (HRV), cardiac function and cardiac mitochondrial function were determined. Key Results Rats that received HFD developed insulin resistance characterized by increased body weight, plasma insulin, total cholesterol and oxidative stress levels along with a decreased high-density lipoprotein (HDL) level. Moreover, cardiac dysfunction, depressed HRV, cardiac mitochondrial dysfunction and cardiac mitochondrial morphology changes were observed in HFD rats. Both vildagliptin and sitagliptin decreased plasma insulin, total cholesterol and oxidative stress as well as increased HDL level. Furthermore, vildagliptin and sitagliptin attenuated cardiac dysfunction, prevented cardiac mitochondrial dysfunction and completely restored HRV. Conclusions and Implications Both vildagliptin and sitagliptin share similar efficacy in cardioprotection in obese insulin-resistant rats. PMID:23488656

  4. Knockdown resistance, Rdl alleles, and the annual entomological Inoculation rate of wild mosquito populations from Lower Moshi, Northern Tanzania

    Directory of Open Access Journals (Sweden)

    Aneth M Mahande

    2012-01-01

    Full Text Available Aim: Understanding vector behavioral response due to ecological factors is important in the control of disease vectors. This study was conducted to determine the knockdown resistance (kdr alleles, dieldrin resistance alleles, and entomological inoculation rates (EIRs of malaria vectors in lower Moshi irrigation schemes for the mitigation of disease transmission. Materials and Methods: The study was longitudinal design conducted for 14 months. Mosquitoes were collected fortnightly by using a CDC miniature light trap in 20 houses. Mosquitoes were identified morphologically in the field, of which 10% of this population was identified to species level by using molecular techniques. Samples from this study population were taken for kdr and resistance to dieldrin (rdl genes detection. Results: A total of 6220 mosquitoes were collected by using a light trap, of which 86.0% (n=5350 were Anopheles gambiae sensu lato and 14.0% (n=870 were Culex quinquefasciatus. Ten percent of the An. gambiae s.l. (n=535 collected were taken for species identification, of which 99.8% (n=534 were identified as An. arabiensis while 0.2% (n=1 were An. gambiae sensu stricto. Of the selected mosquitoes, 3.5% (n=19 were sporozoite positive. None of the mosquitoes tested had the kdr gene. The rdl resistant allele was detected at a frequency of 0.48 throughout the year. EIR was determined to be 0.54 ib/trap/year. Conclusion: The findings of this study suggest that the homozygous and the heterozygous resistance present in rdl genes demonstrated the effect of pesticide residues on resistance selection pressure in mosquitoes. A better insecticide usage protocol needs to be developed for farmers to use in order to avoid excessive use of pesticides. Key words: An. arabiensis, EIR, Knockdown mutation, Moshi, rdl locus, Tanzania

  5. Having your cake and eating it - Staphylococcus aureus small colony variants can evolve faster growth rate without losing their antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Gerrit Brandis

    2017-08-01

    Full Text Available Staphylococcus aureus can produce small colony variants (SCVs during infections. These cause significant clinical problems because they are difficult to detect in standard microbiological screening and are associated with persistent infections. The major causes of the SCV phenotype are mutations that inhibit respiration by inactivation of genes of the menadione or hemin biosynthesis pathways. This reduces the production of ATP required to support fast growth. Importantly, it also decreases cross-membrane potential in SCVs, resulting in decreased uptake of cationic compounds, with reduced susceptibility to aminoglycoside antibiotics as a consequence. Because SCVs are slow-growing (mutations in men genes are associated with growth rates in rich medium ~30% of the wild-type growth rate bacterial cultures are very susceptible to rapid takeover by faster-growing mutants (revertants or suppressors. In the case of reversion, the resulting fast growth is obviously associated with the loss of antibiotic resistance. However, direct reversion is relatively rare due to the very small genetic target size for such mutations. We explored the phenotypic consequences of SCVs evolving faster growth by routes other than direct reversion, and in particular whether any of those routes allowed for the maintenance of antibiotic resistance. In a recent paper (mBio 8: e00358-17 we demonstrated the existence of several different routes of SCV evolution to faster growth, one of which maintained the antibiotic resistance phenotype. This discovery suggests that SCVs might be more adaptable and problematic that previously thought. They are capable of surviving as a slow-growing persistent form, before evolving into a significantly faster-growing form without sacrificing their antibiotic resistance phenotype.

  6. Characterization of multiple antibiotic resistance of culturable microorganisms and metagenomic analysis of total microbial diversity of marine fish sold in retail shops in Mumbai, India.

    Science.gov (United States)

    Naik, Onkar A; Shashidhar, Ravindranath; Rath, Devashish; Bandekar, Jayant R; Rath, Archana

    2018-03-01

    Marine fish species were analyzed for culturable and total metagenomic microbial diversity, antibiotic resistance (AR) pattern, and horizontal gene transfer in culturable microorganisms. We observed a high AR microbial load of 3 to 4 log CFU g -1 . Many fish pathogens like Providencia, Staphylococcus, Klebsiella pneumoniae, Enterobacter, Vagococcus, and Aeromonas veronii were isolated. Photobacterium and Vibrio were two major fish and human pathogens which were identified in the fish metagenome. Other pathogens that were identified were Shewanella, Acinetobacter, Psychrobacter, and Flavobacterium. Most of these pathogens were resistant to multiple antibiotics such as erythromycin, kanamycin, neomycin, streptomycin, penicillin, cefotaxime, bacitracin, rifampicin, trimethoprim, ciprofloxacin, and doxycycline with a high multiple antibiotic resistance index of 0.54-0.77. The fish microflora showed high prevalence of AR genes like bla TEM , Class I integron, tetA, aph(3')-IIIa, ermB, aadA, and sul1. Nineteen of 26 AR isolates harbored Class I integrons showing high co-resistance to trimethoprim, kanamycin, doxycycline, and cefotaxime. Mobile R-plasmids from 6 of the 12 AR pathogens were transferred to recipient E. coli after conjugation. The transconjugants harbored the same R-plasmid carrying bla CTX-M , dfr1, tetA, bla TEM , and cat genes. This study confirms that fish is a potential carrier of AR pathogens which can enter the human gut via food chain. To the best of our knowledge, this is the first study in the Indian subcontinent reporting a direct evidence of spread of AR pathogens to humans from specific marine fish consumption.

  7. Multiplex TaqMan® detection of pathogenic and multi-drug resistant Salmonella.

    Science.gov (United States)

    Singh, Prashant; Mustapha, Azlin

    2013-09-02

    Overuse of antibiotics in the medical and animal industries is one of the major causes for the development of multi-drug-resistant (MDR) food pathogens that are often difficult to treat. In the past few years, higher incidences of outbreaks caused by MDR Salmonella have been increasingly documented. The objective of this study was to develop a rapid multiplex real-time polymerase chain reaction (PCR) assay for simultaneous detection of pathogenic and MDR Salmonella spp. A multiplex TaqMan®real-time PCR was designed by targeting the invasin virulence gene (invA), and four commonly found antibiotic resistance genes, viz. ampicillin, chloramphenicol, streptomycin and tetracycline. To avoid false negative results and to increase the reliability of the assay, an internal amplification control (IAC) was added which was detected using a locked nucleic acid (LNA) probe. In serially diluted (5 ng-50 fg) DNA samples, the assay was able to detect 100 genomic equivalents of Salmonella, while in a multiplex format, the sensitivity was 1000 genomic equivalents. The assay performed equally well on artificially contaminated samples of beef trim, ground beef of different fat contents (73:27, 80:20, 85:15 and 93:7), chicken rinse, ground chicken, ground turkey, egg, spinach and tomato. While the detection limit for un-enriched inoculated food samples was 10(4) CFU/g, this was improved to 10 CFU/g after a 12-h enrichment in buffered peptone water, with 100% reproducibility. The multiplex real-time assay developed in this study can be used as a valuable tool to detect MDR virulent Salmonella, thus enhancing the safety of food. © 2013.

  8. Dispersion of the vancomycin resistance genes vanA and vanC of Enterococcus isolated from Nile tilapia on retail sale: A public health hazard

    Directory of Open Access Journals (Sweden)

    Kamelia Mahmoud Osman

    2016-08-01

    Full Text Available Although normally regarded harmless commensals, enterococci may cause a range of different infections in humans, including urinary tract infections, sepsis, and endocarditis. The acquisition of vancomycin resistance by enterococci (VRE has seriously affected the treatment and infection control of these organisms. VRE are frequently resistant to all antibiotics that are effective treatment for vancomycin-susceptible enterococci, which leaves clinicians treating VRE infections with limited therapeutic options. With VRE emerging as a global threat to public health, we aimed to isolate, identify enterococci species from tilapia and their resistance to van-mediated glycopeptide (vanA and vanC as well as the presence of enterococcal surface protein (esp using conventional and molecular methods. The cultural, biochemical (Vitek 2 system and PCR results revealed eight Enterococcus isolates from the 80 fish samples (10% to be further identified as E. faecalis (6/8, 75% and E gallinarum (2/8, 25%. Intraperitoneal injection of healthy Nile tilapia with the eight Enterococcus isolates caused significant morbidity (70% within 3 days and 100% mortality at 6 days post injection with general signs of septicemia. All of the eight Enterococcus isolates were found to be resistant to tetracycline. The 6/6 E. faecalis isolates were susceptible for penicillin, nitrofurantoin, gentamicin, and streptomycin. On the other hand 5/6 were susceptible for ampicillin, vancomycin, chloramphenicol and ciprofloxacin. The two isolates of E. gallinarum were sensitive to rifampicin and ciprofloxacin and resistant to vancomycin, chloramphenicol and erythromycin. Molecular characterization proved that they all presented the prototypic vanC element. On the whole, one of the two vancomycin resistance gene was present in 3/8 of the enterococci isolates, while the esp virulence gene was present in 1/8 of the enterococci isolates. The results in this study emphasise the potential role

  9. Characterisation of recently emerged multiple antibiotic-resistant Salmonella enterica serovar typhimurium DT104 and other multiresistant phage types from Danish pig herds

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1998-01-01

    A total of 670 isolates of Salmonella enterica were isolated from Danish pig herds, phage typed and tested for susceptibility to amoxycillin + clavulanate, ampicillin, colistin, enrofloxacin, gentamicin, neomycin, spectinomycin, streptomycin, tetracyclines, and trimethoprim + sulphadiazine. S...

  10. Development of Resistive Micromegas for Sampling Calorimetry

    Science.gov (United States)

    Geralis, T.; Fanourakis, G.; Kalamaris, A.; Nikas, D.; Psallidas, A.; Chefdeville, M.; Karyotakis, I.; Koletsou, I.; Titov, M.

    2018-02-01

    Resistive micromegas is proposed as an active element for sampling calorimetry. Future linear collider experiments or the HL-LHC experiments can profit from those developments for Particle Flow Calorimetry. Micromegas possesses remarkable properties concerning gain stability, reduced ion feedback, response linearity, adaptable sensitive element granularity, fast response and high rate capability. Recent developments on Micromegas with a protective resistive layer present excellent results, resolving the problem of discharges caused by local high charge deposition, thanks to its RC-slowed charge evacuation. Higher resistivity though, may cause loss of the response linearity at high rates. We have scanned a wide range of resistivities and performed laboratory tests with X-rays that demonstrate excellent response linearity up to rates of (a few) times 10MHz/cm2, with simultaneous mitigation of discharges. Beam test studies at SPS/CERN with hadrons have also shown a remarkable stability of the resistive Micromegas and low currents for rates up to 15MHz/cm2. We present results from the aforementioned studies confronted with MC simulation

  11. Occurrence of antimicrobial resistance among bacterial pathogens and indicator bacteria in pigs in different European countries from year 2002 – 2004: the ARBAO-II study

    Directory of Open Access Journals (Sweden)

    Hendriksen Rene S

    2008-06-01

    Full Text Available Abstract Background The project "Antibiotic resistance in bacteria of animal origin – II" (ARBAO-II was funded by the European Union (FAIR5-QLK2-2002-01146 for the period 2003–05. The aim of this project was to establish a program for the continuous monitoring of antimicrobial susceptibility of pathogenic and indicator bacteria from food animals using validated and harmonised methodologies. In this report the first data on the occurrence of antimicrobial resistance among bacteria causing infections in pigs are reported. Methods Susceptibility data from 17,642 isolates of pathogens and indicator bacteria including Actinobacillus pleuropneumoniae, Streptococcus suis and Escherichia coli isolated from pigs were collected from fifteen European countries in 2002–2004. Results Data for A. pleuropneumoniae from infected pigs were submitted from five countries. Most of the isolates from Denmark were susceptible to all drugs tested with the exceptions of a low frequency of resistance to tetracycline and trimethoprim – sulphonamide. Data for S. suis were obtained from six countries. In general, a high level of resistance to tetracycline (48.0 – 92.0% and erythromycin (29.1 – 75.0% was observed in all countries whereas the level of resistance to ciprofloxacin and penicillin differed between the reporting countries. Isolates from England (and Wales, France and The Netherlands were all susceptible to penicillin. In contrast the proportion of strains resistant to ciprofloxacin ranged from 12.6 to 79.0% (2004 and to penicillin from 8.1 – 13.0% (2004 in Poland and Portugal. Data for E. coli from infected and healthy pigs were obtained from eleven countries. The data reveal a high level of resistance to tetracyclines, streptomycin and ampicillin among infected pigs whereas in healthy pigs the frequency of resistance was lower. Conclusion Bacterial resistance to some antimicrobials was frequent with different levels of resistance being observed to

  12. Comparative antibiogram of coagulase-negative Staphylococci (CNS) associated with subclinical and clinical mastitis in dairy cows.

    Science.gov (United States)

    Bansal, B K; Gupta, D K; Shafi, T A; Sharma, S

    2015-03-01

    The present study was planned to determine the in vitro antibiotic susceptibility of coagulase-negative Staphylococci (CNS) strains isolated from clinical and subclinical cases of mastitis in dairy cows. Antibiotic sensitivity profile will be helpful to recommend early therapy at the field level prior to availability of CST results. The milk samples from cases of clinical mastitis received in Mastitis Laboratory, Guru Angad Dev Veterinary and Animal Sciences University, Ludhiana and those of subclinical mastitis collected during routine screening of state dairy farms, were subjected to microbial culture. Identification of CNS organisms was done by standard biochemical tests. Antibiotic sensitivity testing, based on 30 antibiotics belonging to 12 groups, was done on 58 randomly selected CNS isolates (clinical isolates: 41, subclinical isolates: 17). Isolates were highly susceptible to chloramphenicol (98.3%), gentamicin (93.1%), streptomycin (91.4%), linezolid (91.4%), ceftixozime (87.9%), cloxacillin (86.2%), clotrimazole (86.2%), bacitracin (86.2%), enrofloxacin (84.5%) and ceftrioxone + tazobactum (70.7%), while resistance was observed against amoxicillin (77.6%), penicillin (75.9%), ampicillin (74.1%) and cefoperazone (51.7%). Overall, isolates from clinical cases of mastitis had a higher resistance than subclinical isolates. CNS isolates were susceptible to chloramphenicol, gentamicin and streptomycin, while higher resistance was recorded against routinely used penicillin group.

  13. THE ANTI-TB DRUG SENSITIVITY OF MYCOBACTERIUM TUBERCULOSIS FROM CEREBROSPINAL FLUID AND BONE TISSUE BIOPSY SPECIMENS OF PATIENTS SUSPECTED TUBERCULOUS MENINGITIS AND SPINAL TB IN DR SOETOMO HOSPITAL INDONESIA

    Directory of Open Access Journals (Sweden)

    Ni Made Mertaniasih

    2014-09-01

    Full Text Available Tuberculous meningitis (TBM is an infection of meningens which potentially life threatening with significant morbidity and mortality. Spinal TB has the same problem with TBM, infection in bone and joint, the delayed diagnosis worsens the prognosis. The rapid and accurate diagnosis plus promt adequate treatment is essential for the good outcome. The aim of this research is to study the first line drug sensitivity of Mycobacterium tuberculosis isolated from specimens of cerebrospinal fluid from suspected tuberculous meningitis patients and bone tissue biopsy from suspected spinal TB patients. The method of this research is TB Laboratory examination in Department of Clinical Microbiology – Dr. Soetomo General Hospital, Indonesia, using the gold standard liquid culture method MGIT 960 System (Becton Dickinson and solid culture method with Lowenstein-Jensen medium. The specimens CSF from 50 TBM patients at January 2013 until May 2014. Positive isolate detection of Mycobacterium tuberculosis complex were 11 isolates (22%, which sensitivity 100% (11/11 isolates to Rifampin (R, Pyrazinamide (Z, Ethambutol (E, and Streptomycin (S; one isolate resistant to Isoniazid, sensitivity to Isoniazid 90,90% (10/11; and received 21 specimens of bone tissue biopsy which positive 5 isolates (23%, all isolates sensitive 100% (5/5 isolates to Rifampin and Pyrazinamide, and 1 isolates resistant to Isoniazid, Ethambutol, and Streptomycin, in which sensitivity 80% (4/5 isolates to Isoniazid, Ethambutol, and Streptomycin. The conclusion of this research is positivity detection 22% of CSF specimens, and 23% of bone tissue biopsy were low. All isolates sensitive 100% to Rifampin and Pyrazinamide, and 80-90% sensitive to Isoniazid.

  14. Partial Sequencing of 16S rRNA Gene of Selected Staphylococcus aureus Isolates and its Antibiotic Resistance

    Directory of Open Access Journals (Sweden)

    Harsi Dewantari Kusumaningrum

    2016-08-01

    Full Text Available The choice of primer used in 16S rRNA sequencing for identification of Staphylococcus species found in food is important. This study aimed to characterize Staphylococcus aureus isolates by partial sequencing based on 16S rRNA gene employing primers 16sF, 63F or 1387R. The isolates were isolated from milk, egg dishes and chicken dishes and selected based on the presence of sea gene that responsible for formation of enterotoxin-A. Antibiotic susceptibility of the isolates towards six antibiotics was also tested. The use of 16sF resulted generally in higher identity percentage and query coverage compared to the sequencing by 63F or 1387R. BLAST results of all isolates, sequenced by 16sF, showed 99% homology to complete genome of four S. aureus strains, with different characteristics on enterotoxin production and antibiotic resistance. Considering that all isolates were carrying sea gene, indicated by the occurence of 120 bp amplicon after PCR amplification using primer SEA1/SEA2,  the isolates were most in agreeing to S. aureus subsp. aureus ST288. This study indicated that 4 out of 8 selected isolates were resistant towards streptomycin. The 16S rRNA gene sequencing using 16sF is useful for identification of S. aureus. However, additional analysis such as PCR employing specific gene target, should give a valuable supplementary information, when specific characteristic is expected.

  15. Technological properties and probiotic potential of Lactobacillus fermentum strains isolated from West African fermented millet dough

    DEFF Research Database (Denmark)

    Owusu-Kwarteng, James; Tano-Debrah, Kwaku; Akabanda, Fortune

    2015-01-01

    resistance to bile salts, bile salt hydrolysis, antimicrobial property, haemolysis and antibiotics resistance. L. fermentum strains clustered into 3 groups represented by 36 %, 47 % and 17 % as fast, medium and slow acidifiers respectively. About 8 %, 78 % and 14 % of the strains showed strong, weak...... activity was observed towards Listeria monocytogenes and Staphylococcus aureus but not E. coli and Salmonella enteritidis. Lactobacillus fermentum strains were generally susceptible to antibiotics except 6 strains which showed resistance towards streptomycin, gentamicin and kanamycin. CONCLUSION: In vitro...... good candidates for further studies to elucidate their full potential and possible application as novel probiotic starter cultures....

  16. Sobrevivência e perfil de resistência a antimicrobianos de Salmonella sp. isoladas em um sistema de tratamento de dejetos de suínos Survival and resistance patterns of Salmonella sp. isolated in a pig slurry treatment plant

    Directory of Open Access Journals (Sweden)

    Verônica Schmidt

    2003-10-01

    Full Text Available No presente estudo, foi avaliada a sobrevivência de Salmonella sp., presente em dejetos suínos, durante tratamento em um sistema de separação física e lagoas de estabilização ligadas em série. Nas amostras de Salmonella sp. isoladas foi determinado o perfil de resistência pelo método de difusão em ágar, usando 14 antimicrobianos. Das 20 coletas realizadas, foi possível isolar Salmonella sp. em 13 coletas no ponto correspondente ao início do sistema de tratamento e em apenas uma no ponto final do mesmo. Amostras de Salmonella sp. isoladas (161/163 pertenciam ao sorotipo Typhimurium e demonstraram resistência contra sulfonamida (100%, tetraciclina (99,4%, estreptomicina (90,1%, sulfa/trimetoprima (84,5%, ácido nalidíxico (77,6%, ampicilina (76,4%, cloranfenicol (29,2%, cefaclor (25,5%, tobramicina (13,7%, gentamicina (6,2%, amoxacilina/ácido clavulânico (5%, neomicina (5% e amicacina (3,7%. A maioria (94,5% das amostras isoladas foram resistente a 4 ou mais antimicrobianos e apresentaram grande variabilidade nos perfis de resistência. O nível de resistência e a variabilidade dos perfis mantiveram-se em nível semelhante ao longo do sistema.The survival of Salmonella sp. in pig slurry submitted to treatment in successive stabilization ponds on a pig-breeding farm was investigated. Furthermore, the isolated Salmonella strains were tested for their resistance against 14 antibiotics, using the agar diffusion method. Of a total of 20 samples taken from different points in the stabilization ponds system, 13 were positive for Salmonella sp. in the beginning and only one at the end of the system. Most of the isolated Salmonella strains (161/163 belonged to sorovar Typhimurium. These strains were resistant to sulfonamide (100%, tetracycline (9.4%, sulfamethoxazole/trimethoprin (84.5%, ampicillin (76.4%, cloramphenicol (29.2%, streptomycin (90.1%, nalidixic acid (77.6%, tobramycin (13.7%, neomycin (5%, amikacin (3.7%, cefaclor (25

  17. Antimicrobial Resistance status and prevalence rates of Extended Spectrum Beta-Lactamase (ESBL producers isolated from a mixed human population.

    Directory of Open Access Journals (Sweden)

    Ruth A. Afunwa

    2011-05-01

    Full Text Available Owing to the increasing epidemiological and therapeutic challenges associated with infections due to ESBL producers, ESBL prevalence rate among some bacteria isolates from healthy and non-healthy human population in a metropolitan Nigerian setting was evaluated.A total of one hundred and forty-five (145 bacteria strains were isolated from a total of four hundred and sixty (460 samples collected from urine, wound, throat and anal swabs of 220 healthy volunteers in the community and from 240 patients in 2 secondary and 2 tertiary hospitals (altogether, 4 in Enugu metropolis. The presumptive confirmatory test used for ESBL detection was the Double Disc Synergy Test (DDST method. Conjugation and plasmid curing studies were also done for resistance factor determination.Of the 145 isolates, 20 were ESBL producers with 35% of these ESBL producers being of community origin and 65% from hospitals. This translates to 4.8% and 9% incidences (comparably higher than established prevalence of 4.4% and 7.5 respectively for community and hospital infections respectively. The ESBL isolates showed high resistance to tetracycline, gentamicin, pefloxacin, ceftriaxone, cefuroxime, ciprofloxacin and Augmentin® (Amoxicilin and clavulanic acid combination. Conjugation studies for Resistance plasmid transfer showed non-transference of resistance determinants between the ESBL transconjugants and recipient strains. Correspondingly, the plasmid curing studies revealed that the acridine orange could not effect a cure on the isolates as they still retained high resistance to the antibiotics after the treatment.This study confirms the growing incidences/pool of ESBL strains in Nigeria and call for widespread and continuous monitoring towards an effective management of the potential therapeutic hurdle posed by this trend.

  18. Antimicrobial susceptibilities and molecular typing of neisseria gonorrhoeae isolates at a medical centre in Taiwan, 2001-2013 with an emphasis on high rate of azithromycin resistance among the isolates.

    Science.gov (United States)

    Liu, Yen-Hung; Huang, Yu-Tsung; Liao, Chun-Hsing; Hsueh, Po-Ren

    2018-05-01

    A high prevalence of gonococcal resistance to various antimicrobials and Neisseria gonorrhoeae isolates exhibiting resistance to extended-spectrum cephalosporins have been reported in the past few decades. A total of 226 N. gonorrhoeae isolates obtained from the National Taiwan University Hospital from 2001 to 2013 were evaluated. The minimum inhibitory concentrations (MICs) of the isolates to antimicrobials were determined by the agar dilution method and interpreted using the 2017 clinical breakpoints or epidemiological cut-off values recommended by the Clinical and Laboratory Standards Institute (CLSI) and European Committee on Antimicrobial Susceptibility Testing (EUCAST). The genetic relatedness of these isolates was determined by multilocus sequence typing. None of the isolates was resistant to ceftriaxone and cefotaxime, and the resistance rates to cefixime, spectinomycin, cefpodoxime, ciprofloxacin, and penicillin were 0.4%, 0.4%, 13.3%, 91.6%, and 87.6%, respectively. The rate of isolates resistant to azithromycin was 14.6% (EUCAST criteria), which is higher than in previous surveillance studies. A total of 57 sequence types (ST) were identified, and ST1901, ST7365, and ST1927 prevailed. Isolates of ST8143 emerged after 2011. ST1901 isolates had relatively higher MIC values for ceftriaxone and azithromycin than those of the other STs. In conclusion, ceftriaxone remains an effective drug of choice for gonorrhoeal management in Taiwan. High rates of azithromycin resistance among N. gonorrhoeae isolates were found. The circulating ST1901 strains with high MIC values for ceftriaxone and azithromycin and the emerging ST8143 strains were alarming. Copyright © 2018 Elsevier B.V. and International Society of Chemotherapy. All rights reserved.

  19. The resistive tearing instability for generalized resistivity models: Applications

    International Nuclear Information System (INIS)

    Birk, G.T.; Otto, A.

    1991-01-01

    The dispersion relation for the resistive tearing mode is investigated with a general form of the resistivity that allows for evaluating the dependence of the mode on the anomalous dissipation caused by the lower-hybrid-drift turbulence and the ion-acoustic turbulence. The coupling of the plasma dynamic and radiative processes due to a temperature-dependent Spitzer resistivity are also discussed. The dispersion relation is solved numerically for two-dimensional equilibrium configurations with applications to the Earth's magnetosphere and the solar corona. In the case of the parameter regimes of the magnetopause and the solar corona, growth rates that result in realistic time scales for the respective dynamic processes as flux transfer events and solar flares were found. Moreover, the influence of a nonvanishing component of the magnetic field normal to the current sheet on the dispersion relation is examined. The normal field component leads to overstable modes and the growth rate of the pure tearing mode is not altered, whereas the radiative tearing mode is damped effectively

  20. The effect of antibiotics on associated bacterial community of stored product mites.

    Directory of Open Access Journals (Sweden)

    Jan Kopecky

    Full Text Available Bacteria are associated with the gut, fat bodies and reproductive organs of stored product mites (Acari: Astigmata. The mites are pests due to the production of allergens. Addition of antibiotics to diets can help to characterize the association between mites and bacteria.Ampicillin, neomycin and streptomycin were added to the diets of mites and the effects on mite population growth (Acarus siro, Lepidoglyphus destructor and Tyrophagus putrescentiae and associated bacterial community structure were assessed. Mites were treated by antibiotic supplementation (1 mg g(-1 of diet for 21 days and numbers of mites and bacterial communities were analyzed and compared to the untreated control. Bacterial quantities, determined by real-time PCR, significantly decreased in antibiotic treated specimens from 5 to 30 times in A. siro and T. putrescentiae, while no decline was observed in L. destructor. Streptomycin treatment eliminated Bartonella-like bacteria in the both A. siro and T. putrescentiae and Cardinium in T. putrescentiae. Solitalea-like bacteria proportion increased in the communities of neomycin and streptomycin treated A. siro specimens. Kocuria proportion increased in the bacterial communities of ampicillin and streptomycin treated A. siro and neomycin and streptomycin treated L. destructor.The work demonstrated the changes of mite associated bacterial community under antibiotic pressure in pests of medical importance. Pre-treatment of mites by 1 mg g(-1 antibiotic diets improved mite fitness as indicated accelerated population growth of A. siro pretreated streptomycin and neomycin and L. destructor pretreated by neomycin. All tested antibiotics supplemented to diets caused the decrease of mite growth rate in comparison to the control diet.

  1. Novel resistance functions uncovered using functional metagenomic investigations of resistance reservoirs

    Directory of Open Access Journals (Sweden)

    Erica C. Pehrsson

    2013-06-01

    Full Text Available Rates of infection with antibiotic-resistant bacteria have increased precipitously over the past several decades, with far-reaching healthcare and societal costs. Recent evidence has established a link between antibiotic resistance genes in human pathogens and those found in non-pathogenic, commensal, and environmental organisms, prompting deeper investigation of natural and human-associated reservoirs of antibiotic resistance. Functional metagenomic selections, in which shotgun-cloned DNA fragments are selected for their ability to confer survival to an indicator host, have been increasingly applied to the characterization of many antibiotic resistance reservoirs. These experiments have demonstrated that antibiotic resistance genes are highly diverse and widely distributed, many times bearing little to no similarity to known sequences. Through unbiased selections for survival to antibiotic exposure, functional metagenomics can improve annotations by reducing the discovery of false-positive resistance and by allowing for the identification of previously unrecognizable resistance genes. In this review, we summarize the novel resistance functions uncovered using functional metagenomic investigations of natural and human-impacted resistance reservoirs. Examples of novel antibiotic resistance genes include those highly divergent from known sequences, those for which sequence is entirely unable to predict resistance function, bifunctional resistance genes, and those with unconventional, atypical resistance mechanisms. Overcoming antibiotic resistance in the clinic will require a better understanding of existing resistance reservoirs and the dissemination networks that govern horizontal gene exchange, informing best practices to limit the spread of resistance-conferring genes to human pathogens.

  2. Apramycin treatment affects selection and spread of a multidrug-resistant Escherichia coli strain able to colonize the human gut in the intestinal microbiota of pigs

    DEFF Research Database (Denmark)

    Herrero-Fresno, Ana; Zachariasen, Camilla; Hansen, Monica Hegstad

    2016-01-01

    . E. coli 912 was shown to spread to non-inoculated pigs in both groups. The selective effect did not persist beyond 3 days post-treatment, and the strain was not detected from this time point in pen 2. We demonstrated that E. coli 912 was able to spread between pigs in the same pen irrespective....../gentamicin, sulphonamide, tetracycline, hygromycin B, β-lactams and streptomycin [aac(3)-IV, sul2, tet(X), aph(4), bla TEM-1 and strA/B], with all but tet(X) located on the same conjugative plasmid. Nineteen pigs were randomly allocated into two inoculation groups, one treated with apramycin (pen 2) and one non......-treated (pen 3), along with a non-inoculated control group (pen 1). Two pigs of pen 2 and 3 were inoculated intragastrically with a rifampicin resistant variant of the strain. Apramycin treatment in pen 2 was initiated immediately after inoculation. Strain colonization was assessed in the feces from all pigs...

  3. Biological effects of N+ ion implantation and UV radiation on streptomyces albus

    International Nuclear Information System (INIS)

    Wu Jian; Dai Guifu

    2005-01-01

    The results of both 30 keV N + ion implantation and UV irradiation of Streptomyces albus showed complicate biological effects. The 'saddle shape' pattern of the dose-dependent curve formed by N + ion implantation with low energy was studied, and it proved that vacuum was not the reason, and the fact, the 'saddle shape' curve may be regarded as a HRS/IRR (hyper-radiosensitivity/increased radiaoresistance) effect caused by low dose irradiation. But Streptomyces albus UV irradiated after vacuum treatment only showed IRR effect or hormesis (survival rate >100%). The streptomycin resistance mutation of Streptomyces albus caused by low energy N + ion implantation and UV irradiation was also studied. the results showed that UV radiation is one effective means for streptomyces albus breeding. (authors)

  4. Multidrug resistant Salmonella enterica isolated from conventional pig farms using antimicrobial agents in preventative medicine programmes.

    Science.gov (United States)

    Cameron-Veas, Karla; Fraile, Lorenzo; Napp, Sebastian; Garrido, Victoria; Grilló, María Jesús; Migura-Garcia, Lourdes

    2018-04-01

    A longitudinal study was conducted to investigate the presence of multidrug antimicrobial resistance (multi-AR) in Salmonella enterica in pigs reared under conventional preventative medicine programmes in Spain and the possible association of multi-AR with ceftiofur or tulathromycin treatment during the pre-weaning period. Groups of 7-day-old piglets were treated by intramuscular injection with ceftiofur on four farms (n=40 piglets per farm) and with tulathromycin on another four farms (n=40 piglets per farm). A control group of untreated piglets (n=30 per farm) was present on each farm. Faecal swabs were collected for S. enterica culture prior to treatment, at 2, 7 and 180days post-treatment, and at slaughter. Minimal inhibitory concentrations of 14 antimicrobial agents, pulsed-field gel electrophoresis and detection of resistance genes representing five families of antimicrobial agents were performed. Plasmids carrying cephalosporin resistant (CR) genes were characterised. Sixty-six S. enterica isolates were recovered from five of eight farms. Forty-seven isolates were multi-AR and four contained bla CTX-M genes harboured in conjugative plasmids of the IncI1 family; three of these isolates were recovered before treatment with ceftiofur. The most frequent AR genes detected were tet(A) (51/66, 77%), sul1 (17/66, 26%); tet(B) (15/66, 23%) and qnrB (10/66, 15%). A direct relation between the use of ceftiofur in these conditions and the occurrence of CR S. enterica was not established. However, multi-AR was common, especially for ampicillin, streptomycin, sulphonamides and tetracycline. These antibiotics are used frequently in veterinary medicine in Spain and, therefore, should be used sparingly to minimise the spread of multi-AR. Copyright © 2018 The Authors. Published by Elsevier Ltd.. All rights reserved.

  5. Characterization of class 1 integrons associated with R-plasmids in clinical Aeromonas salmonicida isolates from various geographical areas

    DEFF Research Database (Denmark)

    Schmidt, A.S.; Bruun, Morten Sichlau; Larsen, J.L.

    2001-01-01

    Class 1 integrons were found in 26 of 40 antibiotic-resistant isolates of the fish pathogen Aeromonas salmonicida from Northern Europe and North America. Three different dhfr genes, conferring trimethoprim resistance, and one ant(3 " )1a aminoglycoside resistance gene were identified as gene...... inserts. The gene cassettes tended to be conserved among isolates from a particular geographical area. Nineteen isolates transferred R- plasmids carrying different tet determinants to Escherichia coli in filter mating assays, and in 15 cases, the class 1 integrons were co-transferred. Transferable...... sulphadiazine, trimethoprim and streptomycin resistances were invariably encoded by integrons. It thus appears that integron-encoded antibiotic resistance genes contribute substantially to the horizontal spread of antimicrobial resistance within this species, being associated with conjugative plasmids....

  6. Risk factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil.

    Science.gov (United States)

    Fregona, Geisa; Cosme, Lorrayne Belique; Moreira, Cláudia Maria Marques; Bussular, José Luis; Dettoni, Valdério do Valle; Dalcolmo, Margareth Pretti; Zandonade, Eliana; Maciel, Ethel Leonor Noia

    2017-04-27

    To analyze the prevalence and factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil. This is a cross-sectional study of cases of tuberculosis tested for first-line drugs (isoniazid, rifampicin, pyrazinamide, ethambutol, and streptomycin) in Espírito Santo between 2002 and 2012. We have used laboratory data and registration of cases of tuberculosis - from the Sistema Nacional de Agravos de Notificação and Sistema para Tratamentos Especiais de Tuberculose. Individuals have been classified as resistant and non-resistant and compared in relation to the sociodemographic, clinical, and epidemiological variables. Some variables have been included in a logistic regression model to establish the factors associated with resistance. In the study period, 1,669 individuals underwent anti-tuberculosis drug susceptibility testing. Of these individuals, 10.6% showed resistance to any anti-tuberculosis drug. The rate of multidrug resistance observed, that is, to rifampicin and isoniazid, has been 5%. After multiple analysis, we have identified as independent factors associated with resistant tuberculosis: history of previous treatment of tuberculosis [recurrence (OR = 7.72; 95%CI 4.24-14.05) and re-entry after abandonment (OR = 3.91; 95%CI 1.81-8.43)], smoking (OR = 3.93; 95%CI 1.98-7.79), and positive culture for Mycobacterium tuberculosis at the time of notification of the case (OR = 3.22; 95%CI 1.15-8.99). The partnership between tuberculosis control programs and health teams working in the network of Primary Health Care needs to be strengthened. This would allow the identification and monitoring of individuals with a history of previous treatment of tuberculosis and smoking. Moreover, the expansion of the offer of the culture of tuberculosis and anti-tuberculosis drug susceptibility testing would provide greater diagnostic capacity for the resistant types in Espírito Santo. Analisar a prevalência e fatores associados à tuberculose resistente

  7. First identification of methicillin-resistant Staphylococcus pseudintermedius strains among coagulase-positive staphylococci isolated from dogs with otitis externa in Trinidad, West Indies

    Directory of Open Access Journals (Sweden)

    Francis Dziva

    2015-12-01

    Full Text Available Background: Otitis externa is a common inflammatory ear disease in dogs caused by a variety of pathogens, and coagulase-positive staphylococci are frequently isolated from such infections. Objective: To identify antimicrobial susceptibility profiles and methicillin-resistant strains among coagulase-positive staphylococci isolated from otitis externa in dogs. Methods: A cross-sectional study was performed over 2 years on 114 client-owned dogs presented to the Veterinary Teaching Hospital with a primary complaint of ear infections. Swabs were obtained from both ears and cultured for staphylococci which were subsequently confirmed as coagulase-positive using rabbit plasma. Antimicrobial susceptibility assays were assessed on all isolates followed by subsequent genetic analysis for species identification and detection of the mecA gene. Results: Sixty-five coagulase-positive staphylococci were isolated from 114 client-owned dogs. The isolates exhibited resistance against neomycin (58.5%, streptomycin (49.2%, penicillin (49.2%, polymyxin B (44.6%, tetracycline (36.9%, sulphamethoxazole/trimethoprim (33.8%, kanamycin (33.8%, doxycycline (32.3%, norfloxacin (23.1%, amoxicillin/clavulanate (20%, ciprofloxacin (20%, enrofloxacin (18.5%, gentamicin (16.9%, and cephalothin (9.2%. Forty (61.5% of the isolates were resistant to at least three or more antimicrobials and 10 were sensitive to all. Using a multiplex polymerase chain reaction assay based on species-specific regions of the thermonuclease (nuc gene, 38/65 (58.5% isolates were classified as Staphylococcus aureus, 23/65 (35.4% as S. pseudintermedius, 2/65 (3.1% as S. intermedius, and 2/65 (3.1% as S. schleiferi. Analysis for the mecA gene revealed two positive isolates of S. pseudintermedius which were oxacillin-resistant, representing a first report of such organisms in the Caribbean. Conclusion: Despite the relatively high prevalence of multidrug-resistant coagulase-positive staphylococci in

  8. Antimicrobial resistance and prevalence of resistance genes of obligate anaerobes isolated from periodontal abscesses.

    Science.gov (United States)

    Xie, Yi; Chen, Jiazhen; He, Junlin; Miao, Xinyu; Xu, Meng; Wu, Xingwen; Xu, Beiyun; Yu, Liying; Zhang, Wenhong

    2014-02-01

    This study attempts to determine the antimicrobial resistance profiles of obligate anaerobic bacteria that were isolated from a periodontal abscess and to evaluate the prevalence of resistance genes in these bacteria. Forty-one periodontal abscess samples were cultivated on selective and non-selective culture media to isolate the oral anaerobes. Their antibiotic susceptibilities to clindamycin, doxycycline, amoxicillin, imipenem, cefradine, cefixime, roxithromycin, and metronidazole were determined using the agar dilution method, and polymerase chain reaction assays were performed to detect the presence of the ermF, tetQ, nim, and cfxA drug resistance genes. A total of 60 different bacterial colonies was isolated and identified. All of the isolates were sensitive to imipenem. Of the strains, 6.7%, 13.3%, 16.7%, and 25% were resistant to doxycycline, metronidazole, cefixime, and amoxicillin, respectively. The resistance rate for both clindamycin and roxithromycin was 31.7%. Approximately 60.7% of the strains had the ermF gene, and 53.3% of the amoxicillin-resistant strains were found to have the cfxA gene. Two nim genes that were found in eight metronidazole-resistant strains were identified as nimB. In the present study, the Prevotella species are the most frequently isolated obligate anaerobes from periodontal abscesses. The current results show their alarmingly high resistance rate against clindamycin and roxithromycin; thus, the use of these antibiotics is unacceptable for the empirical therapy of periodontal abscesses. A brief prevalence of four resistance genes in the anaerobic bacteria that were isolated was also demonstrated.

  9. R-plasmic transfer from Serratia liquefaciens to Escherichia coli in vitro and in vivo in the digestive tract of gnotobiotic mice associated with human fecal flora.

    OpenAIRE

    Duval-Iflah, Y; Raibaud, P; Tancrede, C; Rousseau, M

    1980-01-01

    It was shown that a strain of Serratia liquefaciens harbors a conjugative R-plasmid responsible for reistance to the following 14 antibiotics: ampicillin, carbenicillin, cephalothin, butirosin, neomycin, paramomycin, kanamycin, lividomycin, gentamicin, tobramycin, streptomycin, tetracycline, sulfonamide, and chloramphenicol, which belong to five families, the beta-lactamines, the aminoglycosides, the tetracyclines, the sulfonamides, and the phenicols. Resistance to th 14 antibiotics was cotra...

  10. Draft Genome Sequence of Nafulsella turpanensis ZLM-10T, a Novel Member of the Family Flammeovirgaceae.

    Science.gov (United States)

    Zhang, Lei; Si, Meiru; Zhu, Lingfang; Li, Changfu; Wei, Yahong; Shen, Xihui

    2014-04-03

    Nafulsella turpanensis ZLM-10(T) is a slightly halophilic, Gram-negative, rod-shaped, gliding, pale-pink-pigmented bacterium in the family Flammeovirgaceae, and it shows resistance to gentamicin, kanamycin, neomycin, and streptomycin. Here, we report the genome sequence of N. turpanensis strain ZLM-10(T), which has a 4.8-Mb genome and a G+C content of 45.67%.

  11. Draft Genome Sequence of Nafulsella turpanensis ZLM-10T, a Novel Member of the Family Flammeovirgaceae

    OpenAIRE

    Zhang, Lei; Si, Meiru; Zhu, Lingfang; Li, Changfu; Wei, Yahong; Shen, Xihui

    2014-01-01

    Nafulsella turpanensis ZLM-10T is a slightly halophilic, Gram-negative, rod-shaped, gliding, pale-pink-pigmented bacterium in the family Flammeovirgaceae, and it shows resistance to gentamicin, kanamycin, neomycin, and streptomycin. Here, we report the genome sequence of N. turpanensis strain ZLM-10T, which has a 4.8-Mb genome and a G+C content of 45.67%.

  12. [Potentialization of antibiotics by lytic enzymes].

    Science.gov (United States)

    Brisou, J; Babin, P; Babin, R

    1975-01-01

    Few lytic enzymes, specially papaine and lysozyme, acting on the membrane and cell wall structures facilitate effects of bacitracine, streptomycine and other antibiotics. Streptomycino resistant strains became sensibles to this antibiotic after contact with papaine and lysozyme. The results of tests in physiological suspensions concern only the lytic activity of enzymes. The results on nutrient medium concern together lytic, and antibiotic activities.

  13. Entropy resistance minimization: An alternative method for heat exchanger analyses

    International Nuclear Information System (INIS)

    Cheng, XueTao

    2013-01-01

    In this paper, the concept of entropy resistance is proposed based on the entropy generation analyses of heat transfer processes. It is shown that smaller entropy resistance leads to larger heat transfer rate with fixed thermodynamic force difference and smaller thermodynamic force difference with fixed heat transfer rate, respectively. For the discussed two-stream heat exchangers in which the heat transfer rates are not given and the three-stream heat exchanger with prescribed heat capacity flow rates and inlet temperatures of the streams, smaller entropy resistance leads to larger heat transfer rate. For the two-stream heat exchangers with fixed heat transfer rate, smaller entropy resistance leads to larger effectiveness. Furthermore, it is shown that smaller values of the concepts of entropy generation numbers and modified entropy generation number do not always correspond to better performance of the discussed heat exchangers. - Highlights: • The concept of entropy resistance is defined for heat exchangers. • The concepts based on entropy generation are used to analyze heat exchangers. • Smaller entropy resistance leads to better performance of heat exchangers. • The applicability of entropy generation minimization is conditional

  14. Distribution of antibiotic resistance in urban watershed in Japan

    International Nuclear Information System (INIS)

    Ham, Young-Sik; Kobori, Hiromi; Kang, Joo-Hyon; Matsuzaki, Takayuki; Iino, Michiyo; Nomura, Hayashi

    2012-01-01

    Antibiotic-resistant E. coli concentrations showed large spatial and temporal variations, with greater concentrations observed in tributaries and downstream than in the upstream and midstream. Twenty percent of the geometric mean concentrations of antibiotic-resistant E. coli in the Tama River basin (Japan) exceeded the maximum acceptable concentration of indicator E. coli established by the USEPA. The indicator E. coli concentrations were positively correlated with those of antibiotic-resistant E. coli and multiple-antibiotic-resistant E. coli (resistance to more than two kinds of antibiotics), respectively, but not the detection rate of antibiotic-resistant E. coli, implying that use of antibiotic-resistant E. coli concentration rather than the detection rate can be a better approach for water quality assessment. Multiple-antibiotic-resistant E. coli is a useful indicator for estimating the resistance diffusion, water quality degradation and public health risk potential. This assessment provides beneficial information for setting national regulatory or environmental standards and managing integrated watershed areas. - Highlights: ► We extensively observed antibiotic-resistant E. coli (AREc) in Tama River (Japan). ► AREc count rather than the detection rate is better approach for water quality test. ► Multiple-AREc is resistant to the antibiotic to which single-AREc has no resistance. ► Multiple-AREc increase will accelerate the diffusion of antibiotic resistance. - Multiple-antibiotic-resistant E. coli in the watershed can cause the diffusion of conventionally rare antibiotic resistance.

  15. M. tuberculosis genotypic diversity and drug susceptibility pattern in HIV- infected and non-HIV-infected patients in northern Tanzania

    Directory of Open Access Journals (Sweden)

    van Soolingen Dick

    2007-05-01

    Full Text Available Abstract Background Tuberculosis (TB is a major health problem and HIV is the major cause of the increase in TB. Sub-Saharan Africa is endemic for both TB and HIV infection. Determination of the prevalence of M. tuberculosis strains and their drug susceptibility is important for TB control. TB positive culture, BAL fluid or sputum samples from 130 patients were collected and genotyped. The spoligotypes were correlated with anti-tuberculous drug susceptibility in HIV-infected and non-HIV patients from Tanzania. Results One-third of patients were TB/HIV co-infected. Forty-seven spoligotypes were identified. Fourteen isolates (10.8% had new and unique spoligotypes while 116 isolates (89.2% belonged to 33 known spoligotypes. The major spoligotypes contained nine clusters: CAS1-Kili 30.0%, LAM11- ZWE 14.6%, ND 9.2%, EAI 6.2%, Beijing 5.4%, T-undefined 4.6%, CAS1-Delhi 3.8%, T1 3.8% and LAM9 3.8%. Twelve (10.8% of the 111 phenotypically tested strains were resistant to anti-TB drugs. Eight (7.2% were monoresistant strains: 7 to isoniazid (INH and one to streptomycin. Four strains (3.5% were resistant to multiple drugs: one (0.9% was resistant to INH and streptomycin and the other three (2.7% were MDR strains: one was resistant to INH, rifampicin and ethambutol and two were resistant to all four anti-TB drugs. Mutation in the katG gene codon 315 and the rpoB hotspot region showed a low and high sensitivity, respectively, as predictor of phenotypic drug resistance. Conclusion CAS1-Kili and LAM11-ZWE were the most common families. Strains of the Beijing family and CAS1-Kili were not or least often associated with resistance, respectively. HIV status was not associated with spoligotypes, resistance or previous TB treatment.

  16. Where antibiotic resistance mutations meet quorum-sensing

    Directory of Open Access Journals (Sweden)

    Rok Krašovec

    2014-06-01

    Full Text Available We do not need to rehearse the grim story of the global rise of antibiotic resistant microbes. But what if it were possible to control the rate with which antibiotic resistance evolves by de novo mutation? It seems that some bacteria may already do exactly that: they modify the rate at which they mutate to antibiotic resistance dependent on their biological environment. In our recent study [Krašovec, et al. Nat. Commun. (2014, 5, 3742] we find that this modification depends on the density of the bacterial population and cell-cell interactions (rather than, for instance, the level of stress. Specifically, the wild-type strains of Escherichia coli we used will, in minimal glucose media, modify their rate of mutation to rifampicin resistance according to the density of wild-type cells. Intriguingly, the higher the density, the lower the mutation rate (Figure 1. Why this novel density-dependent ‘mutation rate plasticity’ (DD-MRP occurs is a question at several levels. Answers are currently fragmentary, but involve the quorum-sensing gene luxS and its role in the activated methyl cycle.

  17. Prevalence and characterization of plasmids carrying sulfonamide resistance genes among Escherichia coli from pigs, pig carcasses and human.

    Science.gov (United States)

    Wu, Shuyu; Dalsgaard, Anders; Hammerum, Anette M; Porsbo, Lone J; Jensen, Lars B

    2010-07-30

    Sulfonamide resistance is very common in Escherichia coli. The aim of this study was to characterize plasmids carrying sulfonamide resistance genes (sul1, sul2 and sul3) in E. coli isolated from pigs and humans with a specific objective to assess the genetic diversity of plasmids involved in the mobility of sul genes. A total of 501 E. coli isolates from pig feces, pig carcasses and human stools were tested for their susceptibility to selected antimicrobial. Multiplex PCR was conducted to detect the presence of three sul genes among the sulfonamide-resistant E. coli isolates. Fifty-seven sulfonamide-resistant E. coli were selected based on presence of sul resistance genes and subjected to conjugation and/or transformation experiments. S1 nuclease digestion followed by pulsed-field gel electrophoresis was used to visualize and determine the size of plasmids. Plasmids carrying sul genes were characterized by PCR-based replicon typing to allow a comparison of the types of sul genes, the reservoir and plasmid present. A total of 109/501 isolates exhibited sulfonamide resistance. The relative prevalences of sul genes from the three reservoirs (pigs, pig carcasses and humans) were 65%, 45% and 12% for sul2, sul1, and sul3, respectively. Transfer of resistance through conjugation was observed in 42/57 isolates. Resistances to streptomycin, ampicillin and trimethoprim were co-transferred in most strains. Class 1 integrons were present in 80% of sul1-carrying plasmids and 100% of sul3-carrying plasmids, but only in 5% of sul2-carrying plasmids. The sul plasmids ranged from 33 to 160-kb in size and belonged to nine different incompatibility (Inc) groups: FII, FIB, I1, FIA, B/O, FIC, N, HI1 and X1. IncFII was the dominant type in sul2-carrying plasmids (52%), while IncI1 was the most common type in sul1 and sul3-carrying plasmids (33% and 45%, respectively). Multireplicons were found associated with all three sul genes. Sul genes were distributed widely in E. coli isolated

  18. Prevalence and characterization of plasmids carrying sulfonamide resistance genes among Escherichia coli from pigs, pig carcasses and human

    Directory of Open Access Journals (Sweden)

    Hammerum Anette M

    2010-07-01

    Full Text Available Abstract Background Sulfonamide resistance is very common in Escherichia coli. The aim of this study was to characterize plasmids carrying sulfonamide resistance genes (sul1, sul2 and sul3 in E. coli isolated from pigs and humans with a specific objective to assess the genetic diversity of plasmids involved in the mobility of sul genes. Methods A total of 501 E. coli isolates from pig feces, pig carcasses and human stools were tested for their susceptibility to selected antimicrobial. Multiplex PCR was conducted to detect the presence of three sul genes among the sulfonamide-resistant E. coli isolates. Fifty-seven sulfonamide-resistant E. coli were selected based on presence of sul resistance genes and subjected to conjugation and/or transformation experiments. S1 nuclease digestion followed by pulsed-field gel electrophoresis was used to visualize and determine the size of plasmids. Plasmids carrying sul genes were characterized by PCR-based replicon typing to allow a comparison of the types of sul genes, the reservoir and plasmid present. Results A total of 109/501 isolates exhibited sulfonamide resistance. The relative prevalences of sul genes from the three reservoirs (pigs, pig carcasses and humans were 65%, 45% and 12% for sul2, sul1, and sul3, respectively. Transfer of resistance through conjugation was observed in 42/57 isolates. Resistances to streptomycin, ampicillin and trimethoprim were co-transferred in most strains. Class 1 integrons were present in 80% of sul1-carrying plasmids and 100% of sul3-carrying plasmids, but only in 5% of sul2-carrying plasmids. The sul plasmids ranged from 33 to 160-kb in size and belonged to nine different incompatibility (Inc groups: FII, FIB, I1, FIA, B/O, FIC, N, HI1 and X1. IncFII was the dominant type in sul2-carrying plasmids (52%, while IncI1 was the most common type in sul1 and sul3-carrying plasmids (33% and 45%, respectively. Multireplicons were found associated with all three sul genes

  19. Lodging Resistance of Japonica Rice (Oryza Sativa L.): Morphological and Anatomical Traits due to top-Dressing Nitrogen Application Rates.

    Science.gov (United States)

    Zhang, Wujun; Wu, Longmei; Wu, Xiaoran; Ding, Yanfeng; Li, Ganghua; Li, Jingyong; Weng, Fei; Liu, Zhenghui; Tang, She; Ding, Chengqiang; Wang, Shaohua

    2016-12-01

    Lodging in rice production often limits grain yield and quality by breaking or bending stems. Excessive nitrogen (N) fertilizer rates are the cause of poor lodging resistance in rice, but little is known about the effect of top-dressing N application rates on the mechanical strength of japonica rice plants, especially how the anatomical structure in culms is affected by N. In this study, field experiments on two japonica rice varieties with three top-dressing N application rates, 0 kg N ha(-1) (LN), 135 kg N ha(-1) (MN), and 270 kg N ha(-1) (HN) as urea, were conducted. Wuyunjing23, a lodging-resistant japonica rice cultivar and W3668, a lodging-susceptible japonica rice cultivar were used. The lodging index, breaking strength, morphological and anatomical traits in culms were measured in this study. The visual lodging rate in japonica rice differed remarkably between genotypes and top-dressing N treatments. The higher lodging index of rice plants was primarily attributed to the weak breaking strength of the lower internodes. The longer elongated basal internodes were responsible for higher plant height and a higher lodging index. Correlation analysis showed that breaking strength was significantly and positively correlated with the thickness of the mechanical tissue but was significantly and negatively correlated with the inner diameter of the major axis (b2). With increasing top-dressing N rates, the sclerenchyma cells of the mechanical tissues and the vascular bundles of the Wuyunjing23 cultivar varied little. The plant height, inner diameter of the minor axis (a2) and b2 increased significantly, but the area of the large vascular bundle (ALVB) and the area of the small vascular bundle (ASVB) decreased significantly and resulted in lower stem strength and a higher lodging index under higher top-dressing N conditions. The culm diameter of the W3668 cultivar increased slightly with no significant difference, and the sclerenchyma cells in the mechanical

  20. First report in Africa of two clinical isolates of Proteus mirabilis carrying Salmonella genomic island (SGI1) variants, SGI1-PmABB and SGI1-W.

    Science.gov (United States)

    Soliman, Ahmed M; Ahmed, Ashraf M; Shimamoto, Toshi; El-Domany, Ramadan A; Nariya, Hirofumi; Shimamoto, Tadashi

    2017-07-01

    Two Proteus mirabilis strains, designated PmTAN59 and PmKAF126, were isolated from two different Egyptian cities in 2014 and 2015, respectively. PmTAN59 was isolated from a sputum swab from a pneumonia patient in Tanta University Teaching Hospital. PmKAF126 was isolated from a patient with a diabetic foot infection in a hospital in the city of Kafr El-Sheikh. The two isolates were identified with bacterial small ribosomal RNA (16S rRNA) gene amplification and sequencing and tested for antimicrobial sensitivity with a Kirby-Bauer disk diffusion assay. The two strains were resistant to amoxicillin/clavulante, ampicillin, cefotaxime, cefoxitin, ceftriaxone, chloramphenicol, ciprofloxacin, colistin, gentamicin, kanamycin, nalidixic acid, spectinomycin, streptomycin, sulfamethoxazole/trimethoprime, and tetracycline, but sensitive to aztreonam, imipenem, and meropenem. Molecular characterization was used to map the entire backbone, including the multiple antibiotic resistance (MDR) region, of Salmonella genomic island 1 (SGI1). Both isolates carried a structure similar to SGI1, with two different MDR regions corresponding to SGI1-PmABB in PmTAN59 and SGI1-W in PmKAF126. SGI1-PmABB carried an integron of ~1.5kb with a two-gene cassette, aacCA5-aadA7, which confers resistance to gentamicin, streptomycin, and spectinomycin, whereas SGI1-W carried an integron of ~1.9kb containing aadA2-lnuF, which confers resistance to spectinomycin, streptomycin, and lincosamides. PmKAF126 carried the entire SGI1 sequence, however PmTAN59 carried a SGI1 structure with a deletion in the region from ORF S005 to ORF S009 and accompanied by insertion of IS1359 (1258bp). Furthermore, PmTAN59 carried class 2 integron of ~2.2kb containing dfrA1-sat2-aadA1. An ERIC-PCR analysis detected no clonal relationship between the two strains. Molecular screening for other antimicrobial resistance genes and a plasmid analysis indicated that PmTAN59 carried an IncFIB plasmid type. This strain also carried bla

  1. Emergence of resistant pathogens against colistin

    OpenAIRE

    Rakesh Kumar; Baljit Jassal; Bhupinder Kumar

    2015-01-01

    Emergence of resistant strain to antimicrobials is a growing problem worldwide. Here, we report a case of multidrug-resistant Klebsiella pneumoniae and Acinectobacter baumannii, Gram-negative bacilli, which was only intermediate sensitive to colistin; a polymyxin E. Colistin has attracted more interest recently because of its significant activity against multi-resistant Pseudomonas aeruginosa, A. baumannii and K. pneumoniae, and the low resistance rates to it. The decrease in sensitivity of c...

  2. Antimicrobial susceptibility of lactic acid bacteria isolated from human and food-producing animal feces in Khon Kaen Province, Thailand.

    Science.gov (United States)

    Sornplang, Pairat; Sakulsawasdiphan, Kattinet; Piyadeatsoontorn, Sudthidol; Surasorn, Benyapha

    2016-12-01

    The aim of this study was to investigate the susceptibility of 93 Lactobacillus strains to seven antimicrobial agents, i.e., penicillin G, amoxicillin-clavulanic acid, vancomycin, tetracycline, streptomycin, ciprofloxacin, and sulfamethoxazole-trimethoprim, by disk diffusion test. The Lactobacillus strains were isolated from fecal samples taken from 90 healthy, food-producing animals (fattening pigs, free-grazing ducks, and beef cattle) and 30 healthy human subjects (1- to 6-year-olds) in Khon Kaen. The minimum inhibitory concentration (MIC) values of tetracycline and ciprofloxacin against all strains were determined using the E-test. All 93 Lactobacillus isolates were identified at the species level using 16S rRNA gene sequencing. The most common species of Lactobacillus isolated from fattening pigs, free-grazing ducks, beef cattle, and humans were L. reuteri (30 %), L. salivarius (46.7 %), L. acetotolerans (20 %), and L. gasseri (33.3 %), respectively. A total of 83 Lactobacillus strains were resistant to the examined antibiotics. Some strains were resistant to two to six types of antibiotics. More than 50 % of Lactobacillus species were intrinsically resistant to vancomycin, streptomycin, ciprofloxacin, and sulfamethoxazole-trimethoprim. The prevalence of acquired resistance to tetracycline was observed for Lactobacillus isolates from fattening pigs, humans, free-grazing ducks, and beef cattle at 92.3, 85.7, 77.8, and 68.4 %, respectively. These results demonstrate the impact of antibiotic use in human and veterinary medicine on antibiotic treatment efficacy and may support the spread of transferable antibiotic resistant genes to other bacteria via the food chain.

  3. Wild-type MIC distributions for aminoglycoside and cyclic polypeptide antibiotics used for treatment of Mycobacterium tuberculosis infections.

    Science.gov (United States)

    Juréen, P; Angeby, K; Sturegård, E; Chryssanthou, E; Giske, C G; Werngren, J; Nordvall, M; Johansson, A; Kahlmeter, G; Hoffner, S; Schön, T

    2010-05-01

    The aminoglycosides and cyclic polypeptides are essential drugs in the treatment of multidrug-resistant tuberculosis, underscoring the need for accurate and reproducible drug susceptibility testing (DST). The epidemiological cutoff value (ECOFF) separating wild-type susceptible strains from non-wild-type strains is an important but rarely used tool for indicating susceptibility breakpoints against Mycobacterium tuberculosis. In this study, we established wild-type MIC distributions on Middlebrook 7H10 medium for amikacin, kanamycin, streptomycin, capreomycin, and viomycin using 90 consecutive clinical isolates and 21 resistant strains. Overall, the MIC variation between and within runs did not exceed +/-1 MIC dilution step, and validation of MIC values in Bactec 960 MGIT demonstrated good agreement. Tentative ECOFFs defining the wild type were established for all investigated drugs, including amikacin and viomycin, which currently lack susceptibility breakpoints for 7H10. Five out of seven amikacin- and kanamycin-resistant isolates were classified as susceptible to capreomycin according to the current critical concentration (10 mg/liter) but were non-wild type according to the ECOFF (4 mg/liter), suggesting that the critical concentration may be too high. All amikacin- and kanamycin-resistant isolates were clearly below the ECOFF for viomycin, and two of them were below the ECOFF for streptomycin, indicating that these two drugs may be considered for treatment of amikacin-resistant strains. Pharmacodynamic indices (peak serum concentration [Cmax]/MIC) were more favorable for amikacin and viomycin compared to kanamycin and capreomycin. In conclusion, our data emphasize the importance of establishing wild-type MIC distributions for improving the quality of drug susceptibility testing against Mycobacterium tuberculosis.

  4. A prospective study on evaluation of pathogenesis, biofilm formation, antibiotic susceptibility of microbial community in urinary catheter

    Science.gov (United States)

    Younis, Khansa Mohammed; Usup, Gires; Ahmad, Asmat

    2015-09-01

    This study is aimed to isolate, detect biofilm formation ability and antibiotic susceptibility of urinary catheter adherent microorganisms from elderly hospitalized patient at the Universiti Kebangsaan Malaysia Medical Center. Microorganisms were isolated from three samples of urinary catheters (UC) surface; one of the acute vascular rejection patient (UCB) and two from benign prostate hyperplasia patients (UCC and UCD). A total of 100 isolates was isolated with 35 from UCB, 38 (UCC) and 28 (UCD). Ninety six were identified as Gram-negative bacilli, one Gram-positive bacilli and three yeasts. Results of biofilm forming on sterile foley catheter showed that all the isolates can form biofilm at different degrees; strong biofilm forming: 32% from the 35 isolates (UCB), 25% out of 38 isolates (UCC), 26% out of 28 isolates (UCD). As for moderate biofilm forming; 3% from UCB, 10% from UCC and 2% from UCD. Weak biofilm forming in UCC (3%). The antibiotic susceptibility for (UCB) isolates showed highly resistant to ampicillin, novobiocin and penicillin 100 (%), kanamycin (97%), tetracycline (94%), chloramphenicol (91%), streptomycin (77%) and showed low level of resistance to gentamycin (17%), while all the isolates from (UCC-D) showed high resistant towards ampicillin and penicillin, novobiocin (94%), tetracycline (61%), streptomycin (53%), gentamycin (50%) and low level of resistance to kanamycin (48%), chloramphenicol (47%). The findings indicate that these isolates can spread within the community on urinary catheters surface and produce strong biofilm, therefore, monitoring antibiotic susceptibility of bacteria isolated in the aggregation is recommended.

  5. Recent amendments of the KTA 2101.2 fire barrier resistance rating method for German NPP and comparison to the Eurocode t-equivalent method

    Energy Technology Data Exchange (ETDEWEB)

    Forell, Burkhard [Gesellschaft fuer Anlagen- und Reaktorsicherheit (GRS) gGmbH, Koeln (Germany)

    2015-12-15

    The German nuclear standard KTA2101 on ''Fire Protection in Nuclear Power Plants'', Part 2: ''Fire Protection of Structural Plant Components'' includes a simplified method for the fire resistance rating of fire barrier elements based on the t-equivalent approach. The method covers the specific features of compartments in nuclear power plant buildings in terms of the boundary conditions which have to be expected in the event of fire. The method has proven to be relatively simple and straightforward to apply. The paper gives an overview of amendments with respect to the rating method made within the regular review of the KTA 2101.2. A comparison to the method of the non-nuclear Eurocode 1 is also provided. The Eurocode method is closely connected to the German standard DIN 18230 on structural fire protection in industrial buildings. Special emphasis of the comparison is given to the ventilation factor, which has a large impact on the required fire resistance.

  6. Changes in transpiration rate of SO/sub 2/-resistant and -sensitive plants with SO/sub 2/ fumigation and the participation of abscisic acid

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, N.; Sugahara, K.

    1978-01-01

    Peanut and tomato plants were resistant to 2.0 ppm SO/sub 2/, while radish, perilla and spinach plants were sensitive. The amounts of SO/sub 2/ absorbed by peanut and tomato were obviously less than those absorbed by radish, perilla and spinach. Transpiration rates of peanut and tomato began to decrease within 5 min after the commencement of SO/sub 2/ fumigation and reached minimum levels, i.e., 10 and 50% for the initial levels, respectively, after initiation of fumigation, then declined. Those of radish and spinach did not change for about 20 and 30 min, then decreased gradually. The content of abscisic acid (ABA) was highest in peanut. The content in tomato was also high, but low in radish, perilla and spinach. Radish supplied with exogenous ABA began to decrease its transpiration rate immediately after SO/sub 2/ fumigation and was markedly resistant to SO/sub 2/. ABA in leaves may control the rapid stomatal closure following SO/sub 2/ fumigation. 26 references.

  7. Arterial Stiffness and Autonomic Modulation After Free-Weight Resistance Exercises in Resistance Trained Individuals.

    Science.gov (United States)

    Kingsley, J Derek; Mayo, Xián; Tai, Yu Lun; Fennell, Curtis

    2016-12-01

    Kingsley, JD, Mayo, X, Tai, YL, and Fennell, C. Arterial stiffness and autonomic modulation after free-weight resistance exercises in resistance trained individuals. J Strength Cond Res 30(12): 3373-3380, 2016-We investigated the effects of an acute bout of free-weight, whole-body resistance exercise consisting of the squat, bench press, and deadlift on arterial stiffness and cardiac autonomic modulation in 16 (aged 23 ± 3 years; mean ± SD) resistance-trained individuals. Arterial stiffness, autonomic modulation, and baroreflex sensitivity (BRS) were assessed at rest and after 3 sets of 10 repetitions at 75% 1-repetition maximum on each exercise with 2 minutes of rest between sets and exercises. Arterial stiffness was analyzed using carotid-femoral pulse wave velocity (cf-PWV). Linear heart rate variability (log transformed [ln] absolute and normalized units [nu] of low-frequency [LF] and high-frequency [HF] power) and nonlinear heart rate complexity (Sample Entropy [SampEn], Lempel-Ziv Entropy [LZEn]) were measured to determine autonomic modulation. BRS was measured by the sequence method. A 2 × 2 repeated measures analysis of variance (ANOVA) was used to analyze time (rest, recovery) across condition (acute resistance exercise, control). There were significant increases in cf-PWV (p = 0.05), heart rate (p = 0.0001), normalized LF (LFnu; p = 0.001), and the LF/HF ratio (p = 0.0001). Interactions were also noted for ln HF (p = 0.006), HFnu (p = 0.0001), SampEn (p = 0.001), LZEn (p = 0.005), and BRS (p = 0.0001) such that they significantly decreased during recovery from the resistance exercise compared with rest and the control. There was no effect on ln total power, or ln LF. These data suggest that a bout of resistance exercise using free-weights increases arterial stiffness and reduces vagal activity and BRS in comparison with a control session. Vagal tone may not be fully recovered up to 30 minutes after a resistance exercise bout.

  8. Solid-Liquid Interface Thermal Resistance Affects the Evaporation Rate of Droplets from a Surface: A Study of Perfluorohexane on Chromium Using Molecular Dynamics and Continuum Theory.

    Science.gov (United States)

    Han, Haoxue; Schlawitschek, Christiane; Katyal, Naman; Stephan, Peter; Gambaryan-Roisman, Tatiana; Leroy, Frédéric; Müller-Plathe, Florian

    2017-05-30

    We study the role of solid-liquid interface thermal resistance (Kapitza resistance) on the evaporation rate of droplets on a heated surface by using a multiscale combination of molecular dynamics (MD) simulations and analytical continuum theory. We parametrize the nonbonded interaction potential between perfluorohexane (C 6 F 14 ) and a face-centered-cubic solid surface to reproduce the experimental wetting behavior of C 6 F 14 on black chromium through the solid-liquid work of adhesion (quantity directly related to the wetting angle). The thermal conductances between C 6 F 14 and (100) and (111) solid substrates are evaluated by a nonequilibrium molecular dynamics approach for a liquid pressure lower than 2 MPa. Finally, we examine the influence of the Kapitza resistance on evaporation of droplets in the vicinity of a three-phase contact line with continuum theory, where the thermal resistance of liquid layer is comparable with the Kapitza resistance. We determine the thermodynamic conditions under which the Kapitza resistance plays an important role in correctly predicting the evaporation heat flux.

  9. Class 1 integrons and plasmid-mediated multiple resistance genes of the Campylobacter species from pediatric patient of a university hospital in Taiwan.

    Science.gov (United States)

    Chang, Yi-Chih; Tien, Ni; Yang, Jai-Sing; Lu, Chi-Cheng; Tsai, Fuu-Jen; Huang, Tsurng-Juhn; Wang, I-Kuan

    2017-01-01

    The Campylobacter species usually causes infection between humans and livestock interaction via livestock breeding. The studies of the Campylobacter species thus far in all clinical isolates were to show the many kinds of antibiotic phenomenon that were produced. Their integrons cause the induction of antibiotic resistance between bacterial species in the Campylobacter species. The bacterial strains from the diarrhea of pediatric patient which isolated by China Medical University Hospital storage bank. These isolates were identified by MALDI-TOF mass spectrometry. The anti-microbial susceptibility test showed that Campylobacter species resistant to cefepime, streptomycin, tobramycin and trimethoprim/sulfamethoxazole (all C. jejuni and C. coli isolates), ampicillin (89% of C. jejuni ; 75% of C. coli ), cefotaxime (78% of C. jejuni ; 100% of C. coli ), nalidixic acid (78% of C. jejuni ; 100% of C. coli ), tetracycline (89% of C. jejuni ; 25% C. coli ), ciprofloxacin (67% of C. jejuni ; 50% C. coli ), kanamycin (33% of C. jejuni ; 75% C. coli ) and the C. fetus isolate resisted to ampicillin, cefotaxime, nalidixic acid, tetracycline, ciprofloxacin, kanamycin by disc-diffusion method. The effect for ciprofloxacin and tetracycline of the Campylobacter species was tested using an E-test. The tet, erm , and integron genes were detected by PCR assay. According to the sequencing analysis (type I: dfr12 - gcuF - aadA2 genes and type II: dfrA7 gene), the cassette type was identified. The most common gene cassette type (type I: 9 C. jejuni and 2 C. coli isolates; type II: 1 C. coli isolates) was found in 12 class I integrase-positive isolates. Our results suggested an important information in the latency of Campylobacter species with resistance genes, and irrational antimicrobial use should be concerned.

  10. Global Fluoroquinolone Resistance Epidemiology and Implictions for Clinical Use

    Science.gov (United States)

    Dalhoff, Axel

    2012-01-01

    This paper on the fluoroquinolone resistance epidemiology stratifies the data according to the different prescription patterns by either primary or tertiary caregivers and by indication. Global surveillance studies demonstrate that fluoroquinolone resistance rates increased in the past years in almost all bacterial species except S. pneumoniae and H. influenzae, causing community-acquired respiratory tract infections. However, 10 to 30% of these isolates harbored first-step mutations conferring low level fluoroquinolone resistance. Fluoroquinolone resistance increased in Enterobacteriaceae causing community acquired or healthcare associated urinary tract infections and intraabdominal infections, exceeding 50% in some parts of the world, particularly in Asia. One to two-thirds of Enterobacteriaceae producing extended spectrum β-lactamases were fluoroquinolone resistant too. Furthermore, fluoroquinolones select for methicillin resistance in Staphylococci. Neisseria gonorrhoeae acquired fluoroquinolone resistance rapidly; actual resistance rates are highly variable and can be as high as almost 100%, particularly in Asia, whereas resistance rates in Europe and North America range from 30% in established sexual networks. In general, the continued increase in fluoroquinolone resistance affects patient management and necessitates changes in some guidelines, for example, treatment of urinary tract, intra-abdominal, skin and skin structure infections, and traveller's diarrhea, or even precludes the use in indications like sexually transmitted diseases and enteric fever. PMID:23097666

  11. Exercise order affects the total training volume and the ratings of perceived exertion in response to a super-set resistance training session

    Directory of Open Access Journals (Sweden)

    Balsamo S

    2012-02-01

    Full Text Available Sandor Balsamo1–3, Ramires Alsamir Tibana1,2,4, Dahan da Cunha Nascimento1,2, Gleyverton Landim de Farias1,2, Zeno Petruccelli1,2, Frederico dos Santos de Santana1,2, Otávio Vanni Martins1,2, Fernando de Aguiar1,2, Guilherme Borges Pereira4, Jéssica Cardoso de Souza4, Jonato Prestes41Department of Physical Education, Centro Universitário UNIEURO, Brasília, 2GEPEEFS (Resistance training and Health Research Group, Brasília/DF, 3Graduate Program in Medical Sciences, School of Medicine, Universidade de Brasília (UnB, Brasília, 4Graduation Program in Physical Education, Catholic University of Brasilia (UCB, Brasília/DF, BrazilAbstract: The super-set is a widely used resistance training method consisting of exercises for agonist and antagonist muscles with limited or no rest interval between them – for example, bench press followed by bent-over rows. In this sense, the aim of the present study was to compare the effects of different super-set exercise sequences on the total training volume. A secondary aim was to evaluate the ratings of perceived exertion and fatigue index in response to different exercise order. On separate testing days, twelve resistance-trained men, aged 23.0 ± 4.3 years, height 174.8 ± 6.75 cm, body mass 77.8 ± 13.27 kg, body fat 12.0% ± 4.7%, were submitted to a super-set method by using two different exercise orders: quadriceps (leg extension + hamstrings (leg curl (QH or hamstrings (leg curl + quadriceps (leg extension (HQ. Sessions consisted of three sets with a ten-repetition maximum load with 90 seconds rest between sets. Results revealed that the total training volume was higher for the HQ exercise order (P = 0.02 with lower perceived exertion than the inverse order (P = 0.04. These results suggest that HQ exercise order involving lower limbs may benefit practitioners interested in reaching a higher total training volume with lower ratings of perceived exertion compared with the leg extension plus leg curl

  12. Diversity and antimicrobial susceptibility of Salmonella enterica serovars isolated from pig farms in Ibadan, Nigeria

    DEFF Research Database (Denmark)

    Fashae, Kayode; Hendriksen, Rene S.

    2014-01-01

    of plasmid-mediated quinolone resistance (PMQR) genes in pigs in Ibadan, Nigeria. Pooled fresh pen floor fecal samples of pigs collected from 31 pig farms were cultured; the Salmonella isolates were serotyped and their antimicrobial susceptibility was determined. PMQR genes were screened by polymerase chain...... Kingston (n = 13; 5.7 %). The most widely distributed serovars among the farms were Salmonella Give (six farms) and Salmonella Elisaberthville (six farms). Resistance to chloramphenicol, sulfonamides, nalidixic acid, streptomycin, and tetracycline ranged from 11.6 % (n = 26) to 22.8 % (n = 51). Resistance....... Other PMQR genes were not detected. Pigs constitute an important source of diverse Salmonella serovars in Ibadan. The isolates were more resistant to old antimicrobials with some multiple resistant. Control measures and regulation of antimicrobials are warranted....

  13. Avaliação da sensibilidade a antimicrobianos de 87 amostras clínicas de enterococos resistentes à vancomicina Antimicrobial susceptibility testing of 87 clinical isolates of vancomycin-resistant enterococci

    Directory of Open Access Journals (Sweden)

    I.H. Saraiva

    1997-09-01

    tratamento de infecções causadas por enterococos multirresistentes ainda é um desafio, e vários esquemas já vêm sendo propostos na literatura. São necessários, no entanto, mais trabalhos analisando a efetividade clínica dessas combinações de antibióticos antes que recomendações definitivas possam ser feitas.OBJECTIVES. 1 To evaluate the antimicrobial susceptibility pattern of vancomycin-resistant enterococci to the antimicrobial agents that are commonly used to treat enterococci infections and to some alternative drugs. 2 To evaluate the accuracy of E test for susceptibility testing enterococci. MATERIAL AND METHOD. We evaluated 87 clinical VRE isolates that were selected from a previous study which analyzed 1936 clinical isolates collected and processed in 97 US medical centers in the last quarter of 1992. The isolates were identified to the species level by using the API 20S System, the Vitek gram-positive identification cards and a modified version of the conventional method proposed by Facklam and Collins. The in vitro susceptibility testing was performed by broth microdilution, E test and disk diffusion methods, following the criteria described by the National Committee for Clinical Laboratory Standards (NCCLS. The VRE isolates were tested against antimicrobial agents commonly used to treat enterococci infections (vancomycin, teicoplanin, ampicillin, penicillin, gentamicin and streptomycin and against ten potential alternative drugs (chloramphenicol, doxycycline, sparfloxacin, ciprofloxacin, clinafloxacin, erythromycin, spectinomycin, trospectomycin, trimetoprim-sulfametoxazol and novobiocin. RESULTS. Our results showed a high rate of resistance to ampicillin and penicillin (86%. High level resistance to gentamicin and streptomycin was demonstrated by 82% and 85% respectively. Although teicoplanin and vancomycin belong to the same antibiotic group (glycopeptide, 29% of VRE were susceptible to teicoplanin. Among the alternative drugs, trospectomycin

  14. Measuring Resistance to Change at the Within-Session Level

    Science.gov (United States)

    Tonneau, Francois; Rios, Americo; Cabrera, Felipe

    2006-01-01

    Resistance to change is often studied by measuring response rate in various components of a multiple schedule. Response rate in each component is normalized (that is, divided by its baseline level) and then log-transformed. Differential resistance to change is demonstrated if the normalized, log-transformed response rate in one component decreases…

  15. LiF Reduces MICs of Antibiotics against Clinical Isolates of Gram-Positive and Gram-Negative Bacteria

    Directory of Open Access Journals (Sweden)

    H. C. Syed

    2012-01-01

    Full Text Available Antibiotic resistance is an ever-growing problem yet the development of new antibiotics has slowed to a trickle, giving rise to the use of combination therapy to eradicate infections. The purpose of this study was to evaluate the combined inhibitory effect of lithium fluoride (LiF and commonly used antimicrobials on the growth of the following bacteria: Enterococcus faecalis, Staphyloccoccus aureus, Escherichia coli, Pseudomonas aeruginosa, Acinetobacter baumannii, Klebsiella pneumoniae, Serratia marcescens, and Streptococcus pneumoniae. The in vitro activities of ceftazidime, sulfamethoxazole-trimethoprim, streptomycin, erythromycin, amoxicillin, and ciprofloxacin, doxycycline, alone or combined with LiF were performed by microdilution method. MICs were determined visually following 18–20 h of incubation at 37°C. We observed reduced MICs of antibiotics associated with LiF ranging from two-fold to sixteen-fold. The strongest decreases of MICs observed were for streptomycin and erythromycin associated with LiF against Acinetobacter baumannii and Streptococcus pneumoniae. An eight-fold reduction was recorded for streptomycin against S. pneumoniae whereas an eight-fold and a sixteen-fold reduction were obtained for erythromycin against A. baumannii and S. pneumoniae. This suggests that LiF exhibits a synergistic effect with a wide range of antibiotics and is indicative of its potential as an adjuvant in antibiotic therapy.

  16. Development of pVCR94ΔX from Vibrio cholerae, a prototype for studying multidrug resistant IncA/C conjugative plasmids.

    Science.gov (United States)

    Carraro, Nicolas; Sauvé, Maxime; Matteau, Dominick; Lauzon, Guillaume; Rodrigue, Sébastien; Burrus, Vincent

    2014-01-01

    Antibiotic resistance has grown steadily in Vibrio cholerae over the last few decades to become a major threat in countries affected by cholera. Multi-drug resistance (MDR) spreads among clinical and environmental V. cholerae strains by lateral gene transfer often mediated by integrative and conjugative elements (ICEs) of the SXT/R391 family. However, in a few reported but seemingly isolated cases, MDR in V. cholerae was shown to be associated with other self-transmissible genetic elements such as conjugative plasmids. IncA/C conjugative plasmids are often found associated with MDR in isolates of Enterobacteriaceae. To date, IncA/C plasmids have not been commonly found in V. cholerae or other species of Vibrio. Here we present a detailed analysis of pVCR94ΔX derived from pVCR94, a novel IncA/C conjugative plasmid identified in a V. cholerae clinical strain isolated during the 1994 Rwandan cholera outbreak. pVCR94 was found to confer resistance to sulfamethoxazole, trimethoprim, ampicillin, streptomycin, tetracycline, and chloramphenicol and to transfer at very high frequency. Sequence analysis revealed its mosaic nature as well as high similarity of the core genes responsible for transfer and maintenance with other IncA/C plasmids and ICEs of the SXT/R391 family. Although IncA/C plasmids are considered a major threat in antibiotics resistance, their basic biology has received little attention, mostly because of the difficulty to genetically manipulate these MDR conferring elements. Therefore, we developed a convenient derivative from pVCR94, pVCR94Δ X, a 120.5-kb conjugative plasmid which only codes for sulfamethoxazole resistance. Using pVCR94Δ X, we identified the origin of transfer (oriT) and discovered an essential gene for transfer, both located within the shared backbone, allowing for an annotation update of all IncA/C plasmids. pVCR94Δ X may be a useful model that will provide new insights on the basic biology of IncA/C conjugative plasmids.

  17. Lytic phages obscure the cost of antibiotic resistance in Escherichia coli.

    Science.gov (United States)

    Tazzyman, Samuel J; Hall, Alex R

    2015-03-17

    The long-term persistence of antibiotic-resistant bacteria depends on their fitness relative to other genotypes in the absence of drugs. Outside the laboratory, viruses that parasitize bacteria (phages) are ubiquitous, but costs of antibiotic resistance are typically studied in phage-free experimental conditions. We used a mathematical model and experiments with Escherichia coli to show that lytic phages strongly affect the incidence of antibiotic resistance in drug-free conditions. Under phage parasitism, the likelihood that antibiotic-resistant genetic backgrounds spread depends on their initial frequency, mutation rate and intrinsic growth rate relative to drug-susceptible genotypes, because these parameters determine relative rates of phage-resistance evolution on different genetic backgrounds. Moreover, the average cost of antibiotic resistance in terms of intrinsic growth in the antibiotic-free experimental environment was small relative to the benefits of an increased mutation rate in the presence of phages. This is consistent with our theoretical work indicating that, under phage selection, typical costs of antibiotic resistance can be outweighed by realistic increases in mutability if drug resistance and hypermutability are genetically linked, as is frequently observed in clinical isolates. This suggests the long-term distribution of antibiotic resistance depends on the relative rates at which different lineages adapt to other types of selection, which in the case of phage parasitism is probably extremely common, as well as costs of resistance inferred by classical in vitro methods.

  18. Comparative antibiogram of coagulase-negative Staphylococci (CNS associated with subclinical and clinical mastitis in dairy cows

    Directory of Open Access Journals (Sweden)

    B. K. Bansal

    2015-03-01

    Full Text Available Aim: The present study was planned to determine the in vitro antibiotic susceptibility of coagulase-negative Staphylococci (CNS strains isolated from clinical and subclinical cases of mastitis in dairy cows. Antibiotic sensitivity profile will be helpful to recommend early therapy at the field level prior to availability of CST results. Materials and Methods: The milk samples from cases of clinical mastitis received in Mastitis Laboratory, Guru Angad Dev Veterinary and Animal Sciences University, Ludhiana and those of subclinical mastitis collected during routine screening of state dairy farms, were subjected to microbial culture. Identification of CNS organisms was done by standard biochemical tests. Antibiotic sensitivity testing, based on 30 antibiotics belonging to 12 groups, was done on 58 randomly selected CNS isolates (clinical isolates: 41, subclinical isolates: 17. Results: Isolates were highly susceptible to chloramphenicol (98.3%, gentamicin (93.1%, streptomycin (91.4%, linezolid (91.4%, ceftixozime (87.9%, cloxacillin (86.2%, clotrimazole (86.2%, bacitracin (86.2%, enrofloxacin (84.5% and ceftrioxone + tazobactum (70.7%, while resistance was observed against amoxicillin (77.6%, penicillin (75.9%, ampicillin (74.1% and cefoperazone (51.7%. Overall, isolates from clinical cases of mastitis had a higher resistance than subclinical isolates. Conclusion: CNS isolates were susceptible to chloramphenicol, gentamicin and streptomycin, while higher resistance was recorded against routinely used penicillin group.

  19. Surveillance of drug resistance for tuberculosis control: why and how?

    Science.gov (United States)

    Chaulet, P; Boulahbal, F; Grosset, J

    1995-12-01

    The resistance of Mycobacterium tuberculosis to antibiotics, which reflects the quality of the chemotherapy applied in the community, is one of the elements of epidemiological surveillance used in national tuberculosis programmes. Measurement of drug resistance poses problems for biologists in standardization of laboratory methods and quality control. The definition of rates of acquired and primary drug resistance also necessitates standardization in the methods used to collect information transmitted by clinicians. Finally, the significance of the rates calculated depends on the choice of the patients sample on which sensitivity tests have been performed. National surveys of drug resistance therefore require multidisciplinary participation in order to select the only useful indicators: rates of primary resistance and of acquired resistance. These indicators, gathered in representative groups of patients over a long period, are a measurement of the impact of modern chemotherapy regimens on bacterial ecology.

  20. Determination of Abundance of Tellurite-Resistant Bacteria and the Ability of Remove of them from Qom Province Industrial Wastewater, Qom, Iran

    Directory of Open Access Journals (Sweden)

    Mahboubeh Soleimani Sasani

    2013-02-01

    Full Text Available Background and Objectives: Accumulation of toxic oxyanion of potassium tellurite, which has increased in the environment due to industrial activities, can cause complications in human, such as skin irritation, dermatitis, anorexia, tremor, nausea, vomiting, nervous system stimulation, convulsion, and respiratory arrest. The purpose of this research was to isolate tellurite-resistant bacteria, determine the minimum inhibitory concentration (MIC, and evaluate bioreduction of tellurite in strains.Methods: MIC was measured by agar dilution method in 84 resistant strains isolated from wastewater. QWTm6 strain with tolerance of tellurite concentration of 6684μg/ml was selected as superior strain. Toleration of this level of tellurite has not been reported yet. Results: According to biochemical, phenotypic, and physiological characteristics, QWTm6 was initially classified into Staphylococcus genus. Using the spectrophotometric technique and DDTC reagent (A340nm & sodium diethyldithiocarbamate trihydrate, maximum elimination was seen in 0.4mM concentration of potassium tellurite in 24 hours. The strain showed high ability in the elimination of toxic oxyanion of potassium tellurite under a wide range of factors such as pH=(5-11, temperature (15-50◦C, blender speed (50, 100, 150, and 200rpm, various oxyanion concentrations (0.04-1mM, and different percentages of NaCl (0-20%. This strain was also resistant to penicillin, cefixime, tetracycline, chloramphenicol, streptomycin, neomycin, erythromycin, gentamicin, kanamycin, norfloxacin, and ciprofloxacin.Conclusion: The results of this study showed that QWTm6 could be introduced in the international societies as an acceptable candidate for bioremediation, because of its high ability in removal and reduction of potassium tellurite.