WorldWideScience

Sample records for sputter-assisted plasma cvd

  1. Plasma ``anti-assistance'' and ``self-assistance'' to high power impulse magnetron sputtering

    Science.gov (United States)

    Anders, André; Yushkov, Georgy Yu.

    2009-04-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering.

  2. Plasma 'anti-assistance' and 'self-assistance' to high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Anders, Andre; Yushkov, Georgy Yu.

    2009-01-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering

  3. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  4. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  5. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  6. One-dimensional analysis of the rate of plasma-assisted sputter deposition

    International Nuclear Information System (INIS)

    Palmero, A.; Rudolph, H.; Habraken, F. H. P. M.

    2007-01-01

    In this article a recently developed model [A. Palmero, H. Rudolph, and F. H. P. M. Habraken, Appl. Phys. Lett. 89, 211501 (2006)] is applied to analyze the transport of sputtered material from the cathode toward the growing film when using a plasma-assisted sputtering deposition technique. The argon pressure dependence of the deposition rate of aluminum, silicon, vanadium, chromium, germanium, tantalum, and tungsten under several different experimental conditions has been analyzed by fitting experimental results from the literature to the above-mentioned theory. Good fits are obtained. Three quantities are deduced from the fit: the temperature of the cathode and of the growing film, and the value of the effective cross section for thermalization due to elastic scattering of a sputtered particle on background gas atoms. The values derived from the fits for the growing film and cathode temperature are very similar to those experimentally determined and reported in the literature. The effective cross sections have been found to be approximately the corresponding geometrical cross section divided by the average number of collisions required for the thermalization, implying that the real and effective thermalization lengths have a similar value. Finally, the values of the throw distance appearing in the Keller-Simmons model, as well as its dependence on the deposition conditions have been understood invoking the values of the cathode and film temperature, as well as of the value of the effective cross section. The analysis shows the overall validity of this model for the transport of sputtered particles in sputter deposition

  7. Study of sterilization-treatment in pure and N- doped carbon thin films synthesized by inductively coupled plasma assisted pulsed-DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Javid, Amjed [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Textile Processing, National Textile University, Faisalabad 37610 (Pakistan); Kumar, Manish, E-mail: manishk@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Han, Jeon Geon, E-mail: hanjg@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2017-01-15

    Highlights: • Pure and N-doped nanocrystallie carbon films are synthesized by ICP assisted pulsed DC plasma process. • ICP power induces the increase in average graphitic crystallite size from 4.86 nm to 6.42 nm. • Beneficial role of ICP source assistance to achieve high sputtering throughput (deposition rate ∼55 nm/min). • Post-sterilization electron-transport study shows N-doped carbon films having promising stability. - Abstract: Electrically-conductive nanocrystalline carbon films, having non-toxic and non-immunogenic characteristics, are promising candidates for reusable medical devices. Here, the pure and N- doped nanocrystalline carbon films are deposited by the assistance of inductively coupled plasma (ICP) in an unbalanced facing target pulsed-DC magnetron sputtering process. Through the optical emission spectroscopy study, the role of ICP assistance and N-doping on the reactive components/radicals during the synthesis is presented. The N-doping enhances the three fold bonding configurations by increasing the ionization and energies of the plasma species. Whereas, the ICP addition increases the plasma density to control the deposition rate and film structure. As a result, sputtering-throughput (deposition rate: 31–55 nm/min), electrical resistivity (4–72 Ωcm) and water contact angle (45.12°–54°) are significantly tailored. Electric transport study across the surface microchannel confirms the superiority of N-doped carbon films for sterilization stability over the undoped carbon films.

  8. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  9. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  10. Plasma-assisted synthesis of MoS2

    Science.gov (United States)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  11. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  12. Dust cloud evolution in sub-stellar atmospheres via plasma deposition and plasma sputtering

    Science.gov (United States)

    Stark, C. R.; Diver, D. A.

    2018-04-01

    Context. In contemporary sub-stellar model atmospheres, dust growth occurs through neutral gas-phase surface chemistry. Recently, there has been a growing body of theoretical and observational evidence suggesting that ionisation processes can also occur. As a result, atmospheres are populated by regions composed of plasma, gas and dust, and the consequent influence of plasma processes on dust evolution is enhanced. Aim. This paper aims to introduce a new model of dust growth and destruction in sub-stellar atmospheres via plasma deposition and plasma sputtering. Methods: Using example sub-stellar atmospheres from DRIFT-PHOENIX, we have compared plasma deposition and sputtering timescales to those from neutral gas-phase surface chemistry to ascertain their regimes of influence. We calculated the plasma sputtering yield and discuss the circumstances where plasma sputtering dominates over deposition. Results: Within the highest dust density cloud regions, plasma deposition and sputtering dominates over neutral gas-phase surface chemistry if the degree of ionisation is ≳10-4. Loosely bound grains with surface binding energies of the order of 0.1-1 eV are susceptible to destruction through plasma sputtering for feasible degrees of ionisation and electron temperatures; whereas, strong crystalline grains with binding energies of the order 10 eV are resistant to sputtering. Conclusions: The mathematical framework outlined sets the foundation for the inclusion of plasma deposition and plasma sputtering in global dust cloud formation models of sub-stellar atmospheres.

  13. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  14. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  15. Novel magnetic controlled plasma sputtering method

    International Nuclear Information System (INIS)

    Axelevich, A.; Rabinovich, E.; Golan, G.

    1996-01-01

    A novel method to improve thin film vacuum sputtering is presented. This method is capable of controlling the sputtering plasma via an external set of magnets, in a similar fashion to the tetrode sputtering method. The main advantage of the Magnetic Controlled Plasma Sputtering (MCPS) is its ability to independently control all deposition parameters without any interference or cross-talk. Deposition rate, using the MCPS, is found to be almost twice the rate of triode and tetrode sputtering techniques. Experimental results using the MCPS to deposit Ni layers are described. It was demonstrated that using the MCPS method the ion beam intensity at the target is a result of the interaction of a homogeneous external magnetic field and the controlling magnetic fields. The MCPS method was therefore found to be beneficial for the production of pure stoichiometric thin solid films with high reproducibility. This method could be used for the production of compound thin films as well. (authors)

  16. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  17. Synthesis of ZnO nanorods-Au nanoparticles hybrids via in-situ plasma sputtering-assisted method for simultaneous electrochemical sensing of ascorbic acid and uric acid

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Chao [College of Life Information Science & Instrument Engineering, Hangzhou Dianzi University, Hangzhou 310018 (China); Liu, Hongying, E-mail: liuhongying@hdu.edu.cn [College of Life Information Science & Instrument Engineering, Hangzhou Dianzi University, Hangzhou 310018 (China); State Key Laboratory of Analytical Chemistry for Life Science, School of Chemistry & Chemical Engineering, Nanjing University, Nanjing 210093 (China); Zhang, Dan; Yang, Chi [Department of Pharmacy, Nantong University, Nantong 226001 (China); Zhang, Mingzhen [College of Life Information Science & Instrument Engineering, Hangzhou Dianzi University, Hangzhou 310018 (China)

    2016-05-05

    In this study, ZnO nanorods-Au nanoparticles (ZnO NRs-Au NPs) hybrids were prepared using an in-situ plasma sputtering-assisted method without any template. Characterization results from scanning electron microscopy, high-resolution transmission electron microscopy, and energy dispersive X-ray spectroscopy showed that Au NPs are highly dispersed and tightly anchored on the surface of ZnO NRs. The size and surface coverage of Au NPs were well controlled by plasma sputtering time. Moreover, the hybrids exhibited excellent electrocatalytic properties towards oxidation of ascorbic acid (AA) and uric acid (UA) due to large surface area of Au NPs and ZnO NRs, and thus can be used as electrochemical sensors. Differential pulse voltammetry results showed that AA and UA could be detected simultaneously by ZnO NRs-Au NPs hybrids modified glassy carbon electrode. The linear ranges for AA and UA are 0.1 to 4 mM and 0.01 to 0.4 mM, respectively. The results suggest promising future applications in clinical diagnosis. - Highlights: • ZnO nanorods-Au nanoparticles were synthesized by in-situ plasma sputtering method. • Influence of sputtering time on the formation of Au nanoparticles was studied. • It exhibited a strong electrocatalytic activity toward the oxidation of ascorbic acid and uric acid. • A portable and cheap approach for simultaneous detection of ascorbic acid and uric acid was developed.

  18. Synthesis of ZnO nanorods-Au nanoparticles hybrids via in-situ plasma sputtering-assisted method for simultaneous electrochemical sensing of ascorbic acid and uric acid

    International Nuclear Information System (INIS)

    Hou, Chao; Liu, Hongying; Zhang, Dan; Yang, Chi; Zhang, Mingzhen

    2016-01-01

    In this study, ZnO nanorods-Au nanoparticles (ZnO NRs-Au NPs) hybrids were prepared using an in-situ plasma sputtering-assisted method without any template. Characterization results from scanning electron microscopy, high-resolution transmission electron microscopy, and energy dispersive X-ray spectroscopy showed that Au NPs are highly dispersed and tightly anchored on the surface of ZnO NRs. The size and surface coverage of Au NPs were well controlled by plasma sputtering time. Moreover, the hybrids exhibited excellent electrocatalytic properties towards oxidation of ascorbic acid (AA) and uric acid (UA) due to large surface area of Au NPs and ZnO NRs, and thus can be used as electrochemical sensors. Differential pulse voltammetry results showed that AA and UA could be detected simultaneously by ZnO NRs-Au NPs hybrids modified glassy carbon electrode. The linear ranges for AA and UA are 0.1 to 4 mM and 0.01 to 0.4 mM, respectively. The results suggest promising future applications in clinical diagnosis. - Highlights: • ZnO nanorods-Au nanoparticles were synthesized by in-situ plasma sputtering method. • Influence of sputtering time on the formation of Au nanoparticles was studied. • It exhibited a strong electrocatalytic activity toward the oxidation of ascorbic acid and uric acid. • A portable and cheap approach for simultaneous detection of ascorbic acid and uric acid was developed.

  19. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  20. New materials for advanced lithium battery. Especially on plasma-assisted CVD of TiS sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Kikkawa, Shin' ichi [Osaka Univ. (Japan)

    1989-03-15

    Intercalation can be applied to cathode reaction of rechargeable battery. Three kinds of candidate materials, TiS{sub 2}, NbS{sub 3} and alkali transition metal dioxides such as LiCoO{sub 2} were studied as the battery cathode. TiS{sub 2} is closest to a practical usage. Lithium ion has to diffuse in the TiS{sub 2} crystal lattice during the intercalation. Large surface area is necessary to obtain high current density. Preferred orientation of the crystallite is also required in its film formation to further enhance its current density. CVD reactor was used to obtain TiS{sub 2} fine powder and its thin film. Black fine power was obtained on a thin film deposited on a glass substrate. The powder was obtained in high yield under a pressure of 120 Pa at the electrode center where the plasma density was high. In conclusion, TiS{sub 2} fine power with submicron diameter and also highly preferred oriented TiS{sub 2} thin film were obtained using plasma-CVD. 21 refs., 8 figs.

  1. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  2. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  3. Plasma properties of RF magnetron sputtering system using Zn target

    Energy Technology Data Exchange (ETDEWEB)

    Nafarizal, N.; Andreas Albert, A. R.; Sharifah Amirah, A. S.; Salwa, O.; Riyaz Ahmad, M. A. [Microelectronic and Nanotechnology - Shamsuddin Research Centre (MiNT-SRC), Faculty of Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia 86400 Parit Raja, Batu Pahat, Johor (Malaysia)

    2012-06-29

    In the present work, we investigate the fundamental properties of magnetron sputtering plasma using Zn target and its deposited Zn thin film. The magnetron sputtering plasma was produced using radio frequency (RF) power supply and Argon (Ar) as ambient gas. A Langmuir probe was used to collect the current from the plasma and from the current intensity, we calculate the electron density and electron temperature. The properties of Zn sputtering plasma at various discharge conditions were studied. At the RF power ranging from 20 to 100 W and gas pressure 5 mTorr, we found that the electron temperature was almost unchanged between 2-2.5 eV. On the other hand, the electron temperature increased drastically from 6 Multiplication-Sign 10{sup 9} to 1 Multiplication-Sign 10{sup 10}cm{sup -3} when the discharge gas pressure increased from 5 to 10 mTorr. The electron microscope images show that the grain size of Zn thin film increase when the discharge power is increased. This may be due to the enhancement of plasma density and sputtered Zn density.

  4. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  5. Arc generation from sputtering plasma-dielectric inclusion interactions

    International Nuclear Information System (INIS)

    Wickersham, C.E. Jr.; Poole, J.E.; Fan, J.S.

    2002-01-01

    Arcing during sputter deposition and etching is a significant cause of particle defect generation during device fabrication. In this article we report on the effect of aluminum oxide inclusion size, shape, and orientation on the propensity for arcing during sputtering of aluminum targets. The size, shape, and orientation of a dielectric inclusion plays a major role in determining the propensity for arcing and macroparticle emission. In previous studies we found that there is a critical inclusion size required for arcing to occur. In this article we used high-speed videos, electric arc detection, and measurements of particle defect density on wafers to study the effect of Al 2 O 3 inclusion size, shape, and orientation on arc rate, intensity, and silicon wafer particle defect density. We found that the cross-sectional area of the inclusion exposed to the sputtering plasma is the critical parameter that determines the arc rate and rate of macroparticle emission. Analysis of the arc rate, particle defect density, and the intensity of the optical emission from the arcing plasma indicates that the critical aluminum oxide inclusion area for arcing is 0.22±0.1 mm2 when the sputtering plasma sheath dark-space λ d , is 0.51 mm. Inclusions with areas greater than this critical value readily induce arcing and macroparticle ejection during sputtering. Inclusions below this critical size do not cause arcing or macroparticle ejection. When the inclusion major axis is longer than 2λ d and lies perpendicular to the sputter erosion track tangent, the arcing activity increases significantly over the case where the inclusion major axis lies parallel to the erosion track tangent

  6. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  7. Feasibility of arc-discharge and plasma-sputtering methods in cleaning plasma-facing and diagnostics components of fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Hakola, Antti, E-mail: antti.hakola@vtt.fi [VTT Technical Research Centre of Finland, VTT (Finland); Likonen, Jari [VTT Technical Research Centre of Finland, VTT (Finland); Karhunen, Juuso; Korhonen, Juuso T. [Department of Applied Physics, Aalto University (Finland); Aints, Märt; Laan, Matti; Paris, Peeter [Department of Physics, University of Tartu (Estonia); Kolehmainen, Jukka; Koskinen, Mika; Tervakangas, Sanna [DIARC-Technology Oy, Espoo (Finland)

    2015-10-15

    Highlights: • Feasibility of the arc-discharge and plasma-sputtering techniques in removing deposited layers from ITER-relevant samples demonstrated. • Samples with the size of an A4 paper can be cleaned from 1-μm thick deposited layers in 10–20 minutes by the arc-discharge method. • The plasma-sputtering method is 5–10 times slower but the resulting surfaces are very smooth. • Arc-discharge method could be used for rapid cleaning of plasma-facing components during maintenance shutdowns of ITER, plasma sputtering is preferred for diagnostics mirrors. - Abstract: We have studied the feasibility of arc-discharge and plasma-sputtering methods in removing deposited layers from ITER-relevant test samples. Prototype devices have been designed and constructed for the experiments and the cleaning process is monitored by a spectral detection system. The present version of the arc-discharge device is capable of removing 1-μm thick layers from 350-mm{sup 2} areas in 4–8 s, but due to the increased roughness of the cleaned surfaces and signs of local melting, mirror-like surfaces cannot be treated by this technique. The plasma-sputtering approach, for its part, is some 5–10 times slower in removing the deposited layers but no changes in surface roughness or morphology of the samples could be observed after the cleaning phase. The arc-discharge technique could therefore be used for rapid cleaning of plasma-facing components during maintenance shutdowns of ITER while in the case of diagnostics mirrors plasma sputtering is preferred.

  8. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  9. Investigations into the Anti-Felting Properties of Sputtered Wool Using Plasma Treatment

    International Nuclear Information System (INIS)

    Borghei, S. M.; Shahidi, S.; Ghoranneviss, M.; Abdolahi, Z.

    2013-01-01

    In this research the effects of mordant and plasma sputtering treatments on the crystallinity and morphological properties of wool fabrics were investigated. The felting behavior of the treated samples was also studied. We used madder as a natural dye and copper sulfate as a metal mordant. We also used copper as the electrode material in a DC magnetron plasma sputtering device. The anti-felting properties of the wool samples before and after dying was studied, and it was shown that the shrink resistance and anti-felting behavior of the wool had been significantly improved by the plasma sputtering treatment. In addition, the percentage of crystallinity and the size of the crystals were investigated using an X-ray diffractometer, and a scanning electron microscope was used for morphological analysis. The amount of copper particles on the surface of the mordanted and sputtered fabrics was studied using the energy dispersive X-ray (EDX) method, and the hydrophobic properties of the samples were examined using the water drop test. The results show that with plasma sputtering treatment, the hydrophobic properties of the surface of wool become super hydrophobic.

  10. Plasma properties during magnetron sputtering of lithium phosphorous oxynitride thin films

    DEFF Research Database (Denmark)

    Christiansen, Ane Sælland; Stamate, Eugen; Thydén, Karl Tor Sune

    2015-01-01

    The nitrogen dissociation and plasma parameters during radio frequency sputtering of lithium phosphorus oxynitride thin films in nitrogen gas are investigated by mass appearance spectrometry, electrostatic probes and optical emission spectroscopy, and the results are correlated with electrochemical...... properties and microstructure of the films. Low pressure and moderate power are associated with lower plasma density, higher electron temperature, higher plasma potential and larger diffusion length for sputtered particles. This combination of parameters favors the presence of more atomic nitrogen, a fact...

  11. Dependence of plasma characteristics on dc magnetron sputter parameters

    International Nuclear Information System (INIS)

    Wu, S.Z.

    2005-01-01

    Plasma discharge characteristics of a dc magnetron system were measured by a single Langmuir probe at the center axis of the dual-side process chamber. Plasma potential, floating potential, electron and ion densities, and electron temperature were extracted with varying dc power and gas pressure during sputter deposition of a metal target; strong correlations were shown between these plasma parameters and the sputter parameters. The electron density was controlled mostly by secondary electron generation in constant power mode, while plasma potential reflects the confinement space variation due to change of discharge voltage. When discharge pressure was varied, plasma density increases with the increased amount of free stock molecules, while electron temperature inversely decreased, due to energy-loss collision events. In low-pressure discharges, the electron energy distribution function measurements show more distinctive bi-Maxwellian distribution, with the fast electron temperature gradually decreases with increased gas pressure

  12. Growth of carbon allotropes and plasma characterization in linear antenna microwave plasma CVD system

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Davydova, Marina; Ižák, Tibor; Čada, Martin; Kromka, Alexander

    2014-01-01

    Roč. 53, č. 5 (2014), "05FP04-1"-"05FP04-3" ISSN 0021-4922 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Grant - others:AVČR(CZ) M100100902 Institutional support: RVO:68378271 Keywords : antenna linear * CVD system * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.127, year: 2014

  13. Plasma diagnostics during magnetron sputtering of aluminum doped zinc oxide

    DEFF Research Database (Denmark)

    Stamate, Eugen; Crovetto, Andrea; Sanna, Simone

    2016-01-01

    Plasma parameters during magnetron sputtering of aluminum-doped zinc oxide are investigated with optical emission spectroscopy, electrostatic probes and mass spectrometry with the aim of understanding the role of negative ions of oxygen during the film growth and improving the uniformity of the f......Plasma parameters during magnetron sputtering of aluminum-doped zinc oxide are investigated with optical emission spectroscopy, electrostatic probes and mass spectrometry with the aim of understanding the role of negative ions of oxygen during the film growth and improving the uniformity...

  14. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  15. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  16. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  17. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  18. Biopolymer nanostructures induced by plasma irradiation and metal sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Juřík, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Malinský, P.; Macková, A. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, Rez, Prague 25068 (Czech Republic); Faculty of Science, J.E. Purkyně University, Ústí nad Labem (Czech Republic); Kasálková, N. Slepičková; Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Modification based on polymer surface exposure to plasma treatment exhibits an easy and cheap technique for polymer surface nanostructuring. The influence of argon plasma treatment on biopolymer poly(L-lactide acid (PLLA) will be presented in this paper. The combination of Ar{sup +} ion irradiation, consequent sputter metallization (platinum) and thermal annealing of polymer surface will be summarized. The surface morphology was studied using atomic force microscopy. The Rutherford Backscattering Spectroscopy and X-ray Photoelectron Spectroscopy were used as analytical methods. The combination of plasma treatment with consequent thermal annealing and/or metal sputtering led to the change of surface morphology and its elemental ratio. The surface roughness and composition has been strongly influenced by the modification parameters and metal layer thickness. By plasma treatment of polymer surface combined with consequent annealing or metal deposition can be prepared materials applicable both in tissue engineering as cell carriers, but also in integrated circuit manufacturing.

  19. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  20. Hexagonal Boron Nitride assisted transfer and encapsulation of large area CVD graphene

    Science.gov (United States)

    Shautsova, Viktoryia; Gilbertson, Adam M.; Black, Nicola C. G.; Maier, Stefan A.; Cohen, Lesley F.

    2016-07-01

    We report a CVD hexagonal boron nitride (hBN-) assisted transfer method that enables a polymer-impurity free transfer process and subsequent top encapsulation of large-area CVD-grown graphene. We demonstrate that the CVD hBN layer that is utilized in this transfer technique acts as a buffer layer between the graphene film and supporting polymer layer. We show that the resulting graphene layers possess lower doping concentration, and improved carrier mobilities compared to graphene films produced by conventional transfer methods onto untreated SiO2/Si, SAM-modified and hBN covered SiO2/Si substrates. Moreover, we show that the top hBN layer used in the transfer process acts as an effective top encapsulation resulting in improved stability to ambient exposure. The transfer method is applicable to other CVD-grown 2D materials on copper foils, thereby facilitating the preparation of van der Waals heterostructures with controlled doping.

  1. Spatiotemporal synchronization of drift waves in a magnetron sputtering plasma

    Czech Academy of Sciences Publication Activity Database

    Martines, E.; Zuin, M.; Cavazzana, R.; Adámek, Jiří; Antoni, V.; Serianni, G.; Spolaore, M.; Vianello, N.

    2014-01-01

    Roč. 21, č. 10 (2014), s. 102309-102309 ISSN 1070-664X Institutional support: RVO:61389021 Keywords : Drift waves * Magnetron sputtering plasma * Spatiotemporal synchronization Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.142, year: 2014 http://dx.doi.org/10.1063/1.4898693

  2. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  3. Study on re-sputtering during CN{sub x} film deposition through spectroscopic diagnostics of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Peipei; Yang, Xu; Li, Hui; Cai, Hua [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Sun, Jian; Xu, Ning [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Wu, Jiada, E-mail: jdwu@fudan.edu.cn [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Fudan University, Shanghai 200433 (China)

    2015-10-15

    A nitrogen-carbon plasma was generated during the deposition of carbon nitride (CN{sub x}) thin films by pulsed laser ablation of a graphite target in a discharge nitrogen plasma, and the optical emission of the generated nitrogen-carbon plasma was measured for the diagnostics of the plasma and the characterization of the process of CN{sub x} film deposition. The nitrogen-carbon plasma was recognized to contain various species including nitrogen molecules and molecular ions excited in the ambient N{sub 2} gas, carbon atoms and atomic ions ablated from the graphite target and CN radicals. The temporal evolution and spatial distribution of the CN emission and their dependence on the substrate bias voltage show two groups of CN radicals flying in opposite directions. One represents the CN radicals formed as the products of the reactions occurring in the nitrogen-carbon plasma, revealing the reactive deposition of CN{sub x} film due to the reactive expansion of the ablation carbon plasma in the discharge nitrogen plasma and the effective formation of gaseous CN radicals as precursors for CN{sub x} film growth. The other one represents the CN radicals re-sputtered from the growing CN{sub x} film by energetic plasma species, evidencing the re-sputtering of the growing film accompanying film growth. And, the re-sputtering presents ion-induced sputtering features.

  4. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  5. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  6. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  7. Targets on the basis of ferrites and high-temperature superconductors for ion-plasma sputtering

    International Nuclear Information System (INIS)

    Lepeshev, A.A.; Saunin, V.N.; Telegin, S.V.; Polyakova, K.P.; Seredkin, V.A.; Pol'skij, A.I.

    2000-01-01

    Paper describes a method to produce targets for ion-plasma sputtering using plasma splaying of the appropriate powders on a cooled metal basis. Application of the plasma process was demonstrated to enable to produce complex shaped targets under the controlled atmosphere on the basis of ceramic materials ensuring their high composition homogeneity, as well as, reliable mechanical and thermal contact of the resultant coating with the base. One carried out experiments in ion-plasma sputtering of targets to prepare ferrite polycrystalline films to be used in magnetooptics and to prepare high-temperature superconductor epitaxial films [ru

  8. Arc generation from sputtering plasma-dielectric inclusion interactions

    CERN Document Server

    Wickersham, C E J; Fan, J S

    2002-01-01

    Arcing during sputter deposition and etching is a significant cause of particle defect generation during device fabrication. In this article we report on the effect of aluminum oxide inclusion size, shape, and orientation on the propensity for arcing during sputtering of aluminum targets. The size, shape, and orientation of a dielectric inclusion plays a major role in determining the propensity for arcing and macroparticle emission. In previous studies we found that there is a critical inclusion size required for arcing to occur. In this article we used high-speed videos, electric arc detection, and measurements of particle defect density on wafers to study the effect of Al sub 2 O sub 3 inclusion size, shape, and orientation on arc rate, intensity, and silicon wafer particle defect density. We found that the cross-sectional area of the inclusion exposed to the sputtering plasma is the critical parameter that determines the arc rate and rate of macroparticle emission. Analysis of the arc rate, particle defect...

  9. A condition for scrape-off plasmas in self-sputtering

    International Nuclear Information System (INIS)

    Sengoku, Seio; Azumi, Masahumi; Matsumoto, Yasuo; Maeda, Hikosuke; Shimomura, Yasuo

    1978-10-01

    Behavior of self-sputtered impurities from limiters or divertor neutralizer plates was investigated. The upper limit of boundary plasma temperature determined under the condition that the impurities of wall materials was not on increase is shown to be low. (author)

  10. Low-loss interference filter arrays made by plasma-assisted reactive magnetron sputtering (PARMS) for high-performance multispectral imaging

    Science.gov (United States)

    Broßmann, Jan; Best, Thorsten; Bauer, Thomas; Jakobs, Stefan; Eisenhammer, Thomas

    2016-10-01

    Optical remote sensing of the earth from air and space typically utilizes several channels in the visible and near infrared spectrum. Thin-film optical interference filters, mostly of narrow bandpass type, are applied to select these channels. The filters are arranged in filter wheels, arrays of discrete stripe filters mounted in frames, or patterned arrays on a monolithic substrate. Such multi-channel filter assemblies can be mounted close to the detector, which allows a compact and lightweight camera design. Recent progress in image resolution and sensor sensitivity requires improvements of the optical filter performance. Higher demands placed on blocking in the UV and NIR and in between the spectral channels, in-band transmission and filter edge steepness as well as scattering lead to more complex filter coatings with thicknesses in the range of 10 - 25μm. Technological limits of the conventionally used ion-assisted evaporation process (IAD) can be overcome only by more precise and higher-energetic coating technologies like plasma-assisted reactive magnetron sputtering (PARMS) in combination with optical broadband monitoring. Optics Balzers has developed a photolithographic patterning process for coating thicknesses up to 15μm that is fully compatible with the advanced PARMS coating technology. This provides the possibility of depositing multiple complex high-performance filters on a monolithic substrate. We present an overview of the performance of recently developed filters with improved spectral performance designed for both monolithic filter-arrays and stripe filters mounted in frames. The pros and cons as well as the resulting limits of the filter designs for both configurations are discussed.

  11. Measurements of sputtering yields for low-energy plasma ions

    International Nuclear Information System (INIS)

    Nishi, M.; Yamada, M.; Suckewer, S.; Rosengaus, E.

    1979-04-01

    Sputtering yields of various wall/limiter materials of fusion devices have been extensively measured in the relevant plasma environment for low-energy light ions (E 14 cm -3 and electron temperature up to 10eV. Target materials used were C (graphite), Ti, Mo, Ta, W, and Fe (stainless steel). In order to study the dependence of the sputtering yields on the incident energy of ions, the target samples were held at negative bias voltage up to 300V. The sputtering yields were determined by a weight-loss method and by spectral line intensity measurements. The data obtained in the present experiment agree well with those previously obtained at the higher energies (E greater than or equal to 200eV) by other authors using different schemes; the present data also extend to substantially lower energies (E approx. > 30eV) than hitherto

  12. Room temperature deposition of crystalline indium tin oxide films by cesium-assisted magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Deuk Yeon; Baik, Hong-Koo

    2008-01-01

    Indium tin oxide (ITO) films were deposited on a Si (1 0 0) substrate at room temperature by cesium-assisted magnetron sputtering. Including plasma characteristics, the structural, electrical, and optical properties of deposited films were investigated as a function of cesium partial vapor pressure controlled by cesium reservoir temperature. We calculated the cesium coverage on the target surface showing maximum formation efficiency of negative ions by means of the theoretical model. Cesium addition promotes the formation efficiency of negative ions, which plays important role in enhancing the crystallinity of ITO films. In particular, the plasma density was linearly increased with cesium concentrations. The resultant decrease in specific resistivity and increase in transmittance (82% in the visible region) at optimum cesium concentration (4.24 x 10 -4 Ω cm at 80 deg. C of reservoir temperature) may be due to enhanced crystallinity of ITO films. Excess cesium incorporation into ITO films resulted in amorphization of its microstructure leading to degradation of ITO crystallinity. We discuss the cesium effects based on the growth mechanism of ITO films and the plasma density

  13. Ion-assisted sputter deposition of molybdenum--silicon multilayers

    International Nuclear Information System (INIS)

    Vernon, S.P.; Stearns, D.G.; Rosen, R.S.

    1993-01-01

    X-ray multilayer (ML) structures that are fabricated by the use of magnetron-sputter deposition exhibit a degradation in structural quality as the deposition pressure is increased. The observed change in morphology is attributed to a reduced mobility of surface adsorbed atoms, which inhibits the formation of smooth, continuous layers. The application of a negative substrate bias produces ion bombardment of the growing film surface by sputtering gas ions extracted from the plasma and permits direct control of the energy density supplied to the film surface during thin-film growth. The technique supplements the energy lost to thermalization in high-pressure deposition and permits the fabrication of high-quality ML structures at elevated processing pressures. A threefold improvement in the soft-x-ray normal-incidence reflectance at 130 A results for substrate bias voltages of the order of ∼-150 V for Mo--Si ML's deposited at 10-mTorr Ar

  14. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  15. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    the magnet has also shown a better radial confinement with magnets exhibiting high length over diameter ratios. In addition, the numerical study corroborates the results of the experimental study, i.e. an ECR coupling region close to the equatorial plane of the magnet and not near the end of the coaxial microwave line. Finally, these results have been successfully applied to plasma assisted sputtering of targets allowing, in particular, their uniform erosion. (author)

  16. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  17. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  18. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  19. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  20. ERO modeling of Cr sputtering in the linear plasma device PSI-2

    Science.gov (United States)

    Eksaeva, A.; Borodin, D.; Kreter, A.; Nishijima, D.; Pospieszczyk, A.; Schlummer, T.; Ertmer, S.; Terra, A.; Unterberg, B.; Kirschner, A.; Romazanov, J.; Brezinsek, S.; Rasinski, M.; Henderson, S.; O'Mullane, M.; Summers, H.; Bluteau, M.; Marenkov, E.

    2017-12-01

    The prediction of the first wall deterioration and possible plasma contamination by impurities is a high priority task for ITER. 3D Monte-Carlo code ERO is a tool for modeling of eroded impurity transport and spectroscopy in plasma devices useful for experiment interpretation. Chromium (Cr) is a fusion-relevant reactor wall element (e.g. component of RAFM steels expected for use in DEMO). Linear plasma devices including PSI-2 are effective tools for investigations of plasma-surface interaction effects, allowing continuous plasma operation and good control over irradiation parameters. Experiments on Cr sputtering were conducted at PSI-2. In these experiments the Cr erosion was measured by three techniques: mass loss of the sample, quartz micro-balance of deposited impurities at a distance from it and optical emission spectroscopy. Experiments were modeled with the 3D Monte-Carlo code ERO, previously validated by application to similar experiments with tungsten (W). The simulations are demonstrated to reproduce the main experimental outcomes proving the quality of the sputtering data used. A significant focuses of the paper is the usage and validation of atomic data (resent metastable-resolved dataset from ADAS) for interpretation of Cr spectroscopy. Initial population of quasi-metastable state was fitted by matching the modeling with the experimental line intensity profiles.

  1. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  2. Hydrophobization of track membrane surface by ion-plasma sputtering method

    Science.gov (United States)

    Kuklin, I. E.; Khlebnikov, N. A.; Barashev, N. R.; Serkov, K. V.; Polyakov, E. V.; Zdorovets, M. V.; Borgekov, D. B.; Zhidkov, I. S.; Cholakh, S. O.; Kozlovskiy, A. L.

    2017-09-01

    This article reviews the possibility of applying inorganic coatings of metal compounds on PTM by ion-plasma sputtering. The main aim of this research is to increase the contact angle of PTM surfaces and to impart the properties of a hydrophobic material to it. After the modification, the initial contact angle increased from 70° to 120°.

  3. Modeling and analysis of surface roughness effects on sputtering, reflection, and sputtered particle transport

    International Nuclear Information System (INIS)

    Brooks, J.N.; Ruzic, D.N.

    1990-01-01

    The microstructure of the redeposited surface in tokamaks may affect sputtering and reflection properties and subsequent particle transport. This subject has been studied numerically using coupled models/codes for near-surface plasma particle kinetic transport (WBC code) and rough surface sputtering (fractal-TRIM). The coupled codes provide an overall Monte Carlo calculation of the sputtering cascade resulting from an initial flux of hydrogen ions. Beryllium, carbon, and tungsten surfaces are analyzed for typical high recycling, oblique magnetic field, divertor conditions. Significant variations in computed sputtering rates are found with surface roughness. Beryllium exhibits high D-T and self-sputtering coefficients for the plasma regime studied (T e = 30-75 eV). Carbon and tungsten sputtering is significantly lower. 9 refs., 6 figs., 1 tab

  4. Morphology and structure evolution of Cu(In,Ga)S{sub 2} films deposited by reactive magnetron co-sputtering with electron cyclotron resonance plasma assistance

    Energy Technology Data Exchange (ETDEWEB)

    Nie, Man, E-mail: man.nie@helmholtz-berlin.de; Ellmer, Klaus [Department of Solar Fuels and Energy Storage Materials, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D14109 Berlin (Germany)

    2014-02-28

    Cu(In,Ga)S{sub 2} (CIGS) films were deposited on Mo coated soda lime glass substrates using an electron cyclotron resonance plasma enhanced one-step reactive magnetron co-sputtering process (ECR-RMS). The crystalline quality and the morphology of the Cu(In,Ga)S{sub 2} films were investigated by X-ray diffraction, atomic force microscopy, scanning electron microscopy, and X-ray fluorescence. We also compared these CIGS films with films previously prepared without ECR assistance and find that the crystallinity of the CIGS films is correlated with the roughness evolution during deposition. Atomic force microscopy was used to measure the surface topography and to derive one-dimensional power spectral densities (1DPSD). All 1DPSD spectra of CIGS films exhibit no characteristic peak which is typical for the scaling of a self-affine surface. The growth exponent β, characterizing the roughness R{sub q} evolution during the film growth as R{sub q} ∼ d{sup β}, changes with film thickness. The root-mean-square roughness at low temperatures increases only slightly with a growth exponent β = 0.013 in the initial growth stage, while R{sub q} increases with a much higher exponent β = 0.584 when the film thickness is larger than about 270 nm. Additionally, we found that the H{sub 2}S content of the sputtering atmosphere and the Cu- to-(In + Ga) ratio has a strong influence of the morphology of the CIGS films in this one-step ECR-RMS process.

  5. Simulation calculations of physical sputtering and reflection coefficient of plasma-irradiated carbon surface

    International Nuclear Information System (INIS)

    Kawamura, T.; Ono, T.; Yamamura, Y.

    1994-08-01

    Physical sputtering yields from the carbon surface irradiated by the boundary plasma are obtained with the use of a Monte Carlo simulation code ACAT. The yields are calculated for many random initial energy and angle values of incident protons or deuterons with a Maxwellian velocity distribution, and then averaged. Here the temperature of the boundary plasma, the sheath potential and the angle δ between the magnetic field line and the surface normal are taken into account. A new fitting formula for an arrangement of the numerical data of sputtering yield is introduced, in which six fitting parameters are determined from the numerical results and listed. These results provide a way to estimate the erosion of carbon materials irradiated by boundary plasma. The particle reflection coefficients for deuterons and their neutrals from a carbon surface are also calculated by the same code and presented together with, for comparison, that for the case of monoenergetic normal incidence. (author)

  6. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  7. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  8. The comparison of the optical spectra of carbon coatings prepared by magnetron sputtering and microwave plasma enhanced chemical vapor deposition measured by the photothermal deflection spectroscopy

    Czech Academy of Sciences Publication Activity Database

    Remeš, Zdeněk; Pham, T.T.; Varga, Marián; Kromka, Alexander; Mao, H.B.

    2015-01-01

    Roč. 7, č. 4 (2015), s. 321-324 ISSN 2164-6627 R&D Projects: GA MŠk LH12186 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * amorphous carbon * magnetron sputtering * CVD * optical spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  9. Fabrication and characterization of He-charged ODS-FeCrNi films deposited by a radio-frequency plasma magnetron sputtering technique

    Science.gov (United States)

    Song, Liang; Wang, Xianping; Wang, Le; Zhang, Ying; Liu, Wang; Jiang, Weibing; Zhang, Tao; Fang, Qianfeng; Liu, Changsong

    2017-04-01

    He-charged oxide dispersion strengthened (ODS) FeCrNi films were prepared by a radio-frequency (RF) plasma magnetron sputtering method in a He and Ar mixed atmosphere at 150 °C. As a comparison, He-charged FeCrNi films were also fabricated at the same conditions through direct current (DC) plasma magnetron sputtering. The doping of He atoms and Y2O3 in the FeCrNi films was realized by the high backscattered rate of He ions and Y2O3/FeCrNi composite target sputtering method, respectively. Inductive coupled plasma (ICP) and x-ray photoelectron spectroscopy (XPS) analysis confirmed the existence of Y2O3 in FeCrNi films, and Y2O3 content hardly changed with sputtering He/Ar ratio. Cross-sectional scanning electron microscopy (SEM) shows that the FeCrNi films were composed of dense columnar nanocrystallines and the thickness of the films was obviously dependent on He/Ar ratio. Nanoindentation measurements revealed that the FeCrNi films fabricated through DC/RF plasma magnetron sputtering methods exhibited similar hardness values at each He/Ar ratio, while the dispersion of Y2O3 apparently increased the hardness of the films. Elastic recoil detection (ERD) showed that DC/RF magnetron sputtered FeCrNi films contained similar He amounts (˜17 at.%). Compared with the minimal change of He level with depth in DC-sputtered films, the He amount decreases gradually in depth in the RF-sputtered films. The Y2O3-doped FeCrNi films were shown to exhibit much smaller amounts of He owing to the lower backscattering possibility of Y2O3 and the inhibition effect of nano-sized Y2O3 particles on the He element.

  10. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  11. Dependence of Au- production upon the target work function in a plasma-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou; Sasao, Mamiko; Fujita, Junji; Yamaoka, Hitoshi; Wada, Motoi.

    1991-01-01

    A method to measure the work function of the target surface in a plasma-sputter-type negative ion source has been developed. The method can determine the work function by measuring the photoelectric current induced by two lasers (He-Ne, Ar + laser). The dependence of Au - production upon the work function of the target surface in the ion source was studied using this method. The time variation of the target work function and Au - production rate were measured during the cesium coverage decrease due to the plasma ion sputtering. The observed minimum work function of a cesiated gold surface in an Ar plasma was 1.3 eV. At the same time, the negative ion production rate (Au - current/target current) took the maximum value. The negative ion production rate indicated the same dependence on the incident ion energy as that of the sputtering rate when the work function was constant. (author)

  12. Comparative study of nanocomposites prepared by pulsed and dc sputtering combined with plasma polymerization suitable for photovoltaic device applications

    International Nuclear Information System (INIS)

    Hussain, Amreen A.; Pal, Arup R.; Kar, Rajib; Bailung, Heremba; Chutia, Joyanti; Patil, Dinkar S.

    2014-01-01

    Plasma processing, a single step method for production of large area composite films, is employed to deposit plasma polymerized aniline-Titanium dioxide (PPani-TiO 2 ) nanocomposite thin films. The deposition of PPani-TiO 2 nanocomposite films are made using reactive magnetron sputtering and plasma polymerization combined process. This study focuses on the direct comparison between continuous and pulsed dc magnetron sputtering techniques of titanium in combination with rf plasma polymerization of aniline. The deposited PPani-TiO 2 nanocomposite films are characterized and discussed in terms of structural, morphological and optical properties. A self powered hybrid photodetector has been developed by plasma based process. The proposed method provides a new route where the self-assembly of molecules, that is, the spontaneous association of atomic or molecular building blocks under plasma environment, emerge as a successful strategy to form well-defined structural and morphological units of nanometer dimensions. - Highlights: • PPani-TiO 2 nanocomposite by pulsed and dc sputtering with rf plasma polymerization. • In-situ and Ex-situ H 2 SO 4 doping in PPani-TiO 2 nanocomposite. • PPani-TiO 2 nanocomposite based self-powered-hybrid photodetector

  13. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  14. Experimental study of the discharge in the low pressure plasma jet sputtering system

    Czech Academy of Sciences Publication Activity Database

    Klusoň, J.; Kudrna, P.; Kolpaková, A.; Picková, I.; Hubička, Zdeněk; Tichý, M.

    2013-01-01

    Roč. 53, č. 1 (2013), s. 10-15 ISSN 0863-1042 Institutional support: RVO:68378271 Keywords : hollow cathode * plasma jet sputtering system * Langmuir probe Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.983, year: 2013

  15. Study of the chemical sputtering in Tore-Supra

    International Nuclear Information System (INIS)

    Cambe, A.

    2002-01-01

    The work presented in this thesis focuses on the interactions between energetic particles coming from thermonuclear plasma and the inner components of a fusion machine. This interaction induces two major problems: erosion of the wall, and tritium retention. This report treats the erosion of carbon based materials. The first part is devoted to chemical sputtering, that appears to be the principal erosion mechanism, compared to physical sputtering and radiation enhanced sublimation that both can be limited. Chemical sputtering has been studied in situ in the tokamak Tore-Supra for ohmic and lower hybrid (LH) heated discharges, by means of mass spectrometry and optical spectroscopy. We have shown that it is necessary to take into account both methane and heavier hydrocarbons (C 2 D x and C 3 D y ) in the determination of the chemical sputtering yield. It is found that for the ohmic discharges, the sputtering yield of CD 4 (Y CD4 ) is highly flux (φ) dependent, showing a variation of the form: Y CD4 ∝ φ -0.23 . The experimental study also reveals that an increase of the surface temperature induces an augmentation of Y CD4 . The interpretation and the modelling of the experimental results have been performed with a Monte Carlo code (BBQ. In the second part of this work, we have developed and installed an infrared spectroscopy diagnostic in the 0.8-1.6, μm wavelength range dedicated to the measurement of surface temperature, and the identification of atomic and molecular lines emitted during plasma/wall interactions. In the third part, we present the feasibility study of an in situ tungsten deposition process at low temperature(<80 deg C) in order to suppress the chemical sputtering. This study shows that, with this method call Plasma Assisted Chemical Vapor Deposition (PACVD), we are able to coat the whole inner vessel of a tokamak with 1 μm of tungsten. (author)

  16. Comparisons of physical and chemical sputtering in high density divertor plasmas with the Monte Carlo Impurity (MCI) transport model

    International Nuclear Information System (INIS)

    Evans, T.E.; Loh, Y.S.; West, W.P.; Finkenthal, D.F.

    1997-11-01

    The MCI transport model was used to compare chemical and physical sputtering for a DIII-D divertor plasma near detachment. With physical sputtering alone the integrated carbon influx was 8.4 x 10 19 neutral/s while physical plus chemical sputtering produced an integrated carbon influx of 1.7 x 10 21 neutrals/s. The average carbon concentration in the computational volume increased from 0.012% with only physical sputtering to 0.182% with both chemical and physical sputtering. This increase in the carbon inventory produced more radiated power which is in better agreement with experimental measurements

  17. Comparative study of nanocomposites prepared by pulsed and dc sputtering combined with plasma polymerization suitable for photovoltaic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Amreen A. [Physical Sciences Division, Institute of Advanced Study in Science and Technology, Guwahati, Assam (India); Pal, Arup R., E-mail: arpal@iasst.gov.in [Physical Sciences Division, Institute of Advanced Study in Science and Technology, Guwahati, Assam (India); Kar, Rajib [Laser and Plasma Technology Division, Bhabha Atomic Research Center, Trombay, Mumbai (India); Bailung, Heremba; Chutia, Joyanti [Physical Sciences Division, Institute of Advanced Study in Science and Technology, Guwahati, Assam (India); Patil, Dinkar S. [Laser and Plasma Technology Division, Bhabha Atomic Research Center, Trombay, Mumbai (India)

    2014-12-15

    Plasma processing, a single step method for production of large area composite films, is employed to deposit plasma polymerized aniline-Titanium dioxide (PPani-TiO{sub 2}) nanocomposite thin films. The deposition of PPani-TiO{sub 2} nanocomposite films are made using reactive magnetron sputtering and plasma polymerization combined process. This study focuses on the direct comparison between continuous and pulsed dc magnetron sputtering techniques of titanium in combination with rf plasma polymerization of aniline. The deposited PPani-TiO{sub 2} nanocomposite films are characterized and discussed in terms of structural, morphological and optical properties. A self powered hybrid photodetector has been developed by plasma based process. The proposed method provides a new route where the self-assembly of molecules, that is, the spontaneous association of atomic or molecular building blocks under plasma environment, emerge as a successful strategy to form well-defined structural and morphological units of nanometer dimensions. - Highlights: • PPani-TiO{sub 2} nanocomposite by pulsed and dc sputtering with rf plasma polymerization. • In-situ and Ex-situ H{sub 2}SO{sub 4} doping in PPani-TiO{sub 2} nanocomposite. • PPani-TiO{sub 2} nanocomposite based self-powered-hybrid photodetector.

  18. WO.sub.3./sub. thin films prepared by sedimentation and plasma sputtering

    Czech Academy of Sciences Publication Activity Database

    Olejníček, Jiří; Brunclíková, Michaela; Kment, Š.; Hubička, Zdeněk; Kmentová, N.; Kšírová, Petra; Čada, Martin; Zlámal, M.; Krýsa, J.

    2017-01-01

    Roč. 318, Jun (2017), s. 281-288 ISSN 1385-8947 R&D Projects: GA TA ČR(CZ) TF01000084; GA ČR(CZ) GA15-00863S; GA TA ČR TA03010743; GA ČR GAP108/12/2104 Institutional support: RVO:68378271 Keywords : WO 3 * thin films * water splitting * pulsed magnetron sputtering * sedimentation * photo-electro-chemistry Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 6.216, year: 2016

  19. Effect of Wall Material on H– Production in a Plasma Sputter-Type Ion Source

    Directory of Open Access Journals (Sweden)

    Y. D. M. Ponce

    2004-12-01

    Full Text Available The effect of wall material on negative hydrogen ion (H– production was investigated in a multicusp plasma sputter-type ion source (PSTIS. Steady-state cesium-seeded hydrogen plasma was generated by a tungsten filament, while H– was produced through surface production using a molybdenum sputter target. Plasma parameters and H– yields were determined from Langmuir probe and Faraday cup measurements, respectively. At an input hydrogen pressure of 1.2 mTorr and optimum plasma discharge parameters Vd = –90 V and Id = –2.25 A, the plasma parameters ne was highest and T–e was lowest as determined from Langmuir probe measurements. At these conditions, aluminum generates the highest ion current density of 0.01697 mA/cm2, which is 64% more than the 0.01085 mA/cm2 that stainless steel produces. The yield of copper, meanwhile, falls between the two materials at 0.01164 mA/cm2. The beam is maximum at Vt = –125 V. Focusing is achieved at VL = –70 V for stainless steel, Vt = –60 V for aluminum, and Vt = –50 V for copper. The results demonstrate that proper selection of wall material can greatly enhance the H– production of the PSTIS.

  20. Impact of Plasma Electron Flux on Plasma Damage-Free Sputtering of Ultrathin Tin-Doped Indium Oxide Contact Layer on p-GaN for InGaN/GaN Light-Emitting Diodes.

    Science.gov (United States)

    Son, Kwang Jeong; Kim, Tae Kyoung; Cha, Yu-Jung; Oh, Seung Kyu; You, Shin-Jae; Ryou, Jae-Hyun; Kwak, Joon Seop

    2018-02-01

    The origin of plasma-induced damage on a p -type wide-bandgap layer during the sputtering of tin-doped indium oxide (ITO) contact layers by using radiofrequency-superimposed direct current (DC) sputtering and its effects on the forward voltage and light output power (LOP) of light-emitting diodes (LEDs) with sputtered ITO transparent conductive electrodes (TCE) is systematically studied. Changing the DC power voltage from negative to positive bias reduces the forward voltages and enhances the LOP of the LEDs. The positive DC power drastically decreases the electron flux in the plasma obtained by plasma diagnostics using a cutoff probe and a Langmuir probe, suggesting that the repulsion of plasma electrons from the p -GaN surface can reduce plasma-induced damage to the p -GaN. Furthermore, electron-beam irradiation on p -GaN prior to ITO deposition significantly increases the forward voltages, showing that the plasma electrons play an important role in plasma-induced damage to the p -GaN. The plasma electrons can increase the effective barrier height at the ITO/deep-level defect (DLD) band of p -GaN by compensating DLDs, resulting in the deterioration of the forward voltage and LOP. Finally, the plasma damage-free sputtered-ITO TCE enhances the LOP of the LEDs by 20% with a low forward voltage of 2.9 V at 20 mA compared to LEDs with conventional e-beam-evaporated ITO TCE.

  1. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  2. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  3. Spatiotemporal synchronization of drift waves in a magnetron sputtering plasma

    Energy Technology Data Exchange (ETDEWEB)

    Martines, E.; Zuin, M.; Cavazzana, R.; Antoni, V.; Serianni, G.; Spolaore, M.; Vianello, N. [Consorzio RFX, Padova (Italy); Adámek, J. [Institute of Plasma Physics AS CR, Prague (Czech Republic)

    2014-10-15

    A feedforward scheme is applied for drift waves control in a magnetized magnetron sputtering plasma. A system of driven electrodes collecting electron current in a limited region of the explored plasma is used to interact with unstable drift waves. Drift waves actually appear as electrostatic modes characterized by discrete wavelengths of the order of few centimeters and frequencies of about 100 kHz. The effect of external quasi-periodic, both in time and space, travelling perturbations is studied. Particular emphasis is given to the role played by the phase relation between the natural and the imposed fluctuations. It is observed that it is possible by means of localized electrodes, collecting currents which are negligible with respect to those flowing in the plasma, to transfer energy to one single mode and to reduce that associated to the others. Due to the weakness of the external action, only partial control has been achieved.

  4. Spatiotemporal synchronization of drift waves in a magnetron sputtering plasma

    International Nuclear Information System (INIS)

    Martines, E.; Zuin, M.; Cavazzana, R.; Antoni, V.; Serianni, G.; Spolaore, M.; Vianello, N.; Adámek, J.

    2014-01-01

    A feedforward scheme is applied for drift waves control in a magnetized magnetron sputtering plasma. A system of driven electrodes collecting electron current in a limited region of the explored plasma is used to interact with unstable drift waves. Drift waves actually appear as electrostatic modes characterized by discrete wavelengths of the order of few centimeters and frequencies of about 100 kHz. The effect of external quasi-periodic, both in time and space, travelling perturbations is studied. Particular emphasis is given to the role played by the phase relation between the natural and the imposed fluctuations. It is observed that it is possible by means of localized electrodes, collecting currents which are negligible with respect to those flowing in the plasma, to transfer energy to one single mode and to reduce that associated to the others. Due to the weakness of the external action, only partial control has been achieved

  5. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  6. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  7. Plasma polymer films rf sputtered from PTFE under various argon pressures

    Czech Academy of Sciences Publication Activity Database

    Stelmashuk, Vitaliy; Biederman, H.; Slavinská, D.; Zemek, Josef; Trchová, Miroslava

    2005-01-01

    Roč. 77, č. 2 (2005), s. 131-137 ISSN 0042-207X R&D Projects: GA MŠk(CZ) OC 527.10; GA MŠk(CZ) OC 527.90 Grant - others:EUREKAΣ2080(XE) OE57 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z20430508 Keywords : RF sputtering * PTFE * fluorcarbon plasma polymers * thin film * teflon * deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.909, year: 2005

  8. Arc-discharge and magnetron sputtering combined equipment for nanocomposite coating deposition

    International Nuclear Information System (INIS)

    Koval, N.N.; Borisov, D.P.; Savostikov, V.M.

    2005-01-01

    its initiation and discharge current) or chemical phase composition and properties of coatings. By now, on the basis of arc discharge plasma assistance, nanocomposite coatings of doped titanium nitride with microhardness of 50 GPa (0.2 N load at 1 mm coating thickness). It has been also established that further application of arc gas discharge plasma at magnetron sputtering provides: - Actual control on operating pressure parameters and magnetron discharge current value, i.e. sputtering rate of coating material; - Cleaning and surface activation of a material prior to coating deposition, providing its good adhesion even at low temperature values (∼ 200 o C); - Control on chemical phase composition of deposited coatings and, correspondingly, their physical properties, in particular, increasing microhardness of coatings over 10 GPa. (author)

  9. Fluence-dependent sputtering yield of micro-architectured materials

    Energy Technology Data Exchange (ETDEWEB)

    Matthes, Christopher S.R.; Ghoniem, Nasr M., E-mail: ghoniem@ucla.edu; Li, Gary Z.; Matlock, Taylor S.; Goebel, Dan M.; Dodson, Chris A.; Wirz, Richard E.

    2017-06-15

    Highlights: • Sputtering yield is shown to be transient and heavily dependent on surface architecture. • Fabricated nano- and Microstructures cause geometric re-trapping of sputtered material, which leads to a self-healing mechanism. • Initially, the sputtering yield of micro-architectured Mo is approximately 1/2 the value as that of a planar surface. • The study demonstrates that the sputtering yield is a dynamic property, dependent on the surface structure of a material. • A developed phenomenological model mathematically describes the transient behavior of the sputtering yield as a function of plasma fluence. - Abstract: We present an experimental examination of the relationship between the surface morphology of Mo and its instantaneous sputtering rate as function of low-energy plasma ion fluence. We quantify the dynamic evolution of nano/micro features of surfaces with built-in architecture, and the corresponding variation in the sputtering yield. Ballistic deposition of sputtered atoms as a result of geometric re-trapping is observed, and re-growth of surface layers is confirmed. This provides a self-healing mechanism of micro-architectured surfaces during plasma exposure. A variety of material characterization techniques are used to show that the sputtering yield is not a fundamental property, but that it is quantitatively related to the initial surface architecture and to its subsequent evolution. The sputtering yield of textured molybdenum samples exposed to 300 eV Ar plasma is roughly 1/2 of the corresponding value for flat samples, and increases with ion fluence. Mo samples exhibited a sputtering yield initially as low as 0.22 ± 5%, converging to 0.4 ± 5% at high fluence. The sputtering yield exhibits a transient behavior as function of the integrated ion fluence, reaching a steady-state value that is independent of initial surface conditions. A phenomenological model is proposed to explain the observed transient sputtering phenomenon, and to

  10. Carbon dust formation in a cold plasma from cathode sputtering

    International Nuclear Information System (INIS)

    Arnas, C.; Mouberi, A.; Hassouni, K.; Michau, A.; Lombardi, G.; Bonnin, X.; Benedic, F.; Pegourie, B.

    2009-01-01

    Nanoparticles are produced in argon glow plasmas where carbon is introduced by sputtering of a graphite cathode. A scaling law of growth is reported on as a function of the discharge time. Two successive stages of growth of concomitant agglomeration and carbon deposition are observed, followed by a final stage of growth by carbon deposition. A model of formation of molecular precursors by coagulation of neutral clusters on the one hand and of neutral-negative clusters on the other hand is presented, based on formation enthalpy and cluster geometry.

  11. Carbon dust formation in a cold plasma from cathode sputtering

    Science.gov (United States)

    Arnas, C.; Mouberi, A.; Hassouni, K.; Michau, A.; Lombardi, G.; Bonnin, X.; Bénédic, F.; Pégourié, B.

    2009-06-01

    Nanoparticles are produced in argon glow plasmas where carbon is introduced by sputtering of a graphite cathode. A scaling law of growth is reported on as a function of the discharge time. Two successive stages of growth of concomitant agglomeration and carbon deposition are observed, followed by a final stage of growth by carbon deposition. A model of formation of molecular precursors by coagulation of neutral clusters on the one hand and of neutral-negative clusters on the other hand is presented, based on formation enthalpy and cluster geometry.

  12. Influence of substrate pre-treatments by Xe{sup +} ion bombardment and plasma nitriding on the behavior of TiN coatings deposited by plasma reactive sputtering on 100Cr6 steel

    Energy Technology Data Exchange (ETDEWEB)

    Vales, S., E-mail: sandra.vales@usp.br [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Brito, P., E-mail: ppbrito@gmail.com [Pontifícia Universidade Católica de Minas Gerais (PUC-MG), Av. Dom José Gaspar 500, 30535-901 Belo Horizonte, MG (Brazil); Pineda, F.A.G., E-mail: pipe8219@gmail.com [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Ochoa, E.A., E-mail: abigail_ochoa@hotmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Droppa, R., E-mail: roosevelt.droppa@ufabc.edu.br [Universidade Federal do ABC (UFABC), Av. dos Estados, 5001, Santo André, SP CEP 09210-580 (Brazil); Garcia, J., E-mail: jose.garcia@sandvik.com [Sandvik Coromant R& D, Lerkrogsvägen 19, SE-12680, Stockholm (Sweden); Morales, M., E-mail: monieriz@gmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Alvarez, F., E-mail: alvarez@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); and others

    2016-07-01

    In this paper the influence of pre-treating a 100Cr6 steel surface by Xe{sup +} ion bombardment and plasma nitriding at low temperature (380 °C) on the roughness, wear resistance and residual stresses of thin TiN coatings deposited by reactive IBAD was investigated. The Xe{sup +} ion bombardment was carried out using a 1.0 keV kinetic energy by a broad ion beam assistance deposition (IBAD, Kaufman cell). The results showed that in the studied experimental conditions the ion bombardment intensifies nitrogen diffusion by creating lattice imperfections, stress, and increasing roughness. In case of the combined pre-treatment with Xe{sup +} ion bombardment and subsequent plasma nitriding, the samples evolved relatively high average roughness and the wear volume increased in comparison to the substrates exposed to only nitriding or ion bombardment. - Highlights: • Effect of Xe ion bombardment and plasma nitriding on TiN coatings was investigated. • Xe ion bombardment with 1.0 KeV increases nitrogen retention in plasma nitriding. • 1.0 KeV ion impact energy causes sputtering, thus increasing surface roughness. • TiN coating wear is minimum after plasma nitriding due to lowest roughness.

  13. Deposition of nanostructured fluorocarbon plasma polymer films by RF magnetron sputtering of polytetrafluoroethylene

    Energy Technology Data Exchange (ETDEWEB)

    Kylian, Ondrej, E-mail: ondrej.kylian@gmail.com; Drabik, Martin; Polonskyi, Oleksandr; Cechvala, Juraj; Artemenko, Anna; Gordeev, Ivan; Choukourov, Andrei; Matolinova, Iva; Slavinska, Danka; Biederman, Hynek, E-mail: bieder@kmf.troja.mff.cuni.cz

    2011-07-29

    The RF magnetron sputtering of polytetrafluoroethylene target is studied with the aim to find out conditions leading to the deposition of super-hydrophobic thin films. It is shown that such coatings can be prepared at elevated pressures and a longer distance between the sputtered target and the substrate. This is explained by an increase in the density of longer C{sub x}F{sub y} molecules that reach the substrate and a lower flux of ions and CF{sub 2} radicals on the surface of growing film under such deposition conditions, as observed by optical emission spectroscopy and mass spectrometry. Such changes in plasma composition result in a deposition of rough films having F/C ratio close to 2 as observed by scanning electron microscopy and X-ray photoelectron spectroscopy, respectively. These findings clearly distinguish our results from the previous investigations of polytetrafluoroethylene sputtering performed at shorter distances from the target, where either low F/C ratio or low roughness of the deposited films did not allow reaching super-hydrophobic character of the coatings.

  14. Simulation of the electric potential and plasma generation coupling in magnetron sputtering discharges

    Science.gov (United States)

    Trieschmann, Jan; Krueger, Dennis; Schmidt, Frederik; Brinkmann, Ralf Peter; Mussenbrock, Thomas

    2016-09-01

    Magnetron sputtering typically operated at low pressures below 1 Pa is a widely applied deposition technique. For both, high power impulse magnetron sputtering (HiPIMS) as well as direct current magnetron sputtering (dcMS) the phenomenon of rotating ionization zones (also referred to as spokes) has been observed. A distinct spatial profile of the electric potential has been associated with the latter, giving rise to low, mid, and high energy groups of ions observed at the substrate. The adherent question of which mechanism drives this process is still not fully understood. This query is approached using Monte Carlo simulations of the heavy particle (i.e., ions and neutrals) transport consistently coupled to a pre-specified electron density profile via the intrinsic electric field. The coupling between the plasma generation and the electric potential, which establishes correspondingly, is investigated. While the system is observed to strive towards quasi-neutrality, distinct mechanisms governing the shape of the electric potential profile are identified. This work is supported by the German Research Foundation (DFG) in the frame of the transregional collaborative research centre TRR 87.

  15. Modeling of Plasma Assisted Combustion

    Science.gov (United States)

    Akashi, Haruaki

    2012-10-01

    Recently, many experimental study of plasma-assisted combustion has been done. However, numerous complex reactions in combustion of hydrocarbons are preventing from theoritical study for clarifying inside the plasma-assisted combustion, and the effect of plasma-assist is still not understood. Shinohara and Sasaki [1,2] have reported that the shortening of flame length by irradiating microwave without increase of gas temperature. And they also reported that the same phenomena would occur when applying dielectric barrier discharges to the flame using simple hydrocarbon, methane. It is suggested that these phenomena may result by the electron heating. To clarify this phenomena, electron behavior under microwave and DBD was examined. For the first step of DBD plasma-assisted combustion simulation, electron Monte Carlo simulation in methane, oxygen and argon mixture gas(0.05:0.14:0.81) [2] has been done. Electron swarm parameters are sampled and electron energy distribution function (EEDF)s are also determined. In the combustion, gas temperature is higher(>1700K), so reduced electric field E/N becomes relatively high(>10V/cm/Torr). The electrons are accelerated to around 14 eV. This result agree with the optical emission from argon obtained by the experiment of reference [2]. Dissociation frequency of methane and oxygens are obtained in high. This might be one of the effect of plasma-assist. And it is suggested that the electrons should be high enough to dissociate methane, but plasma is not needed.[4pt] [1] K. Shinohara et al, J. Phys. D:Appl. Phys., 42, 182008 (1-7) (2009).[0pt] [2] K. Sasaki, 64th Annual Gaseous Electronic Conference, 56, 15 CT3.00001(2011).

  16. Nanoscale morphogenesis of nylon-sputtered plasma polymer particles

    Science.gov (United States)

    Choukourov, Andrei; Shelemin, Artem; Pleskunov, Pavel; Nikitin, Daniil; Khalakhan, Ivan; Hanuš, Jan

    2018-05-01

    Sub-micron polymer particles are highly important in various fields including astrophysics, thermonuclear fusion and nanomedicine. Plasma polymerization offers the possibility to produce particles with tailor-made size, crosslink density and chemical composition to meet the requirements of a particular application. However, the mechanism of nucleation and growth of plasma polymer particles as well as diversity of their morphology remain far from being clear. Here, we prepared nitrogen-containing plasma polymer particles by rf magnetron sputtering of nylon in a gas aggregation cluster source with variable length. The method allowed the production of particles with roughly constant chemical composition and number density but with the mean size changing from 80 to 320 nm. Atomic Force Microscopy with super-sharp probes was applied to study the evolution of the particle surface topography as they grow in size. Height–height correlation and power spectral density functions were obtained to quantify the roughness exponent α  =  0.78, the growth exponent β  =  0.35, and the dynamic exponent 1/z  =  0.50. The set of critical exponents indicates that the particle surface evolves in a self-affine mode and the overall particle growth is caused by the accretion of polymer-forming species from the gas phase and not by coagulation. Redistribution of the incoming material over the surface coupled with the inhomogeneous distribution of inner stress is suggested as the main factor that determines the morphogenesis of the plasma polymer particles.

  17. Hybrid plasma system for magnetron deposition of coatings with ion assistance

    International Nuclear Information System (INIS)

    Vavilin, K V; Kralkina, E A; Nekludova, P A; Petrov, A. K; Nikonov, A M; Pavlov, V B; Airapetov, A A; Odinokov, V V; Pavlov, G Ya; Sologub, V A

    2016-01-01

    The results of the study of the plasma hybrid system based on the combined magnetron discharge and high-frequency inductive discharge located in the external magnetic field is presented. Magnetron discharge provides the generation of atoms and ions of the target materials while the flow of accelerated ions used for the ion assistance is provided by the RF inductive discharge. An external magnetic field is used to optimize the power input to the discharge, to increase the ion current density in the realm of substrate and to enhance the area of uniform plasma. The joint operation of magnetron and RF inductive discharge leads to a substantial increase (not equal to the sum of the parameters obtained under separate operation of two hybrid system channels) of the ion current density and intensity of sputtered material spectral lines radiation. Optimal mode of the hybrid plasma system operation provides uniform ion current density on the diameter of at least 150mm at 0.7PA argon pressure. The optimal values of the magnetic fields in the region of the substrate location lie in the range 2-8 mTl, while in the region of the RF input power unit lie in the range 0.5-25 mTl. (paper)

  18. Data compilation of angular distributions of sputtered atoms

    International Nuclear Information System (INIS)

    Yamamura, Yasunori; Takiguchi, Takashi; Tawara, Hiro.

    1990-01-01

    Sputtering on a surface is generally caused by the collision cascade developed near the surface. The process is in principle the same as that causing radiation damage in the bulk of solids. Sputtering has long been regarded as an undesirable dirty effect which destroys the cathodes and grids in gas discharge tubes or ion sources and contaminates plasma and the surrounding walls. However, sputtering is used today for many applications such as sputter ion sources, mass spectrometers and the deposition of thin films. Plasma contamination and the surface erosion of first walls due to sputtering are still the major problems in fusion research. The angular distribution of the particles sputtered from solid surfaces can possibly provide the detailed information on the collision cascade in the interior of targets. This report presents a compilation of the angular distribution of sputtered atoms at normal incidence and oblique incidence in the various combinations of incident ions and target atoms. The angular distribution of sputtered atoms from monatomic solids at normal incidence and oblique incidence, and the compilation of the data on the angular distribution of sputtered atoms are reported. (K.I.)

  19. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  20. Spectroscopic ellipsometry on Si/SiO2/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    International Nuclear Information System (INIS)

    Eren, Baran; Fu, Wangyang; Marot, Laurent; Calame, Michel; Steiner, Roland; Meyer, Ernst

    2015-01-01

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation

  1. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  2. Effect of organic-buffer-layer on electrical property and environmental reliability of Ga-doped ZnO films prepared by RF plasma assisted DC magnetron sputtering on plastic substrate

    International Nuclear Information System (INIS)

    Hinoki, Toshio; Kyuhara, Chika; Agura, Hideaki; Yazawa, Kenji; Kinoshita, Kentaro; Ohmi, Koutoku; Kishida, Satoru

    2010-01-01

    Ga-doped ZnO (GZO) transparent conductive films have been prepared by RF plasma assisted DC magnetron sputtering under a reductive atmosphere on organic-buffer-layer (OBL) coated polyethylene telephthalate (PET) substrates without intentionally heating substrates. Electrical and optical properties, crystallinity, and environmental reliability of the GZO films have been investigated. The distributional characteristic of resistivity is observed in the GZO film deposited on the OBL-coated PET substrates. The high resistivity at facing the erosion area in the source target is reduced by providing the RF plasma and H 2 gas near the substrate, resulting in a uniform distribution of the sheet resistance. It has been also found that the increase of resistivity by an accelerated aging test performed under a storage condition at 60 o C and at a relative humidity of 95% is suppressed by employing the OBL. The OBL suppresses the formation of cracks, which are induced by the aging test. These facts are thought to contribute to a high environmental reliability of GZO films on PET substrates. Values of resistivity, Hall mobility and carrier concentration are obtained: 5.0-20 x 10 -3 Ω cm, 4.0 cm 2 /Vs, and 3.8 x 10 20 cm -3 , respectively. An average transmittance of the GZO film including OBL and PET substrate is 78% in a visible region. The OBL enables to realize the practical use of GZO films on PET sheets.

  3. Atomic and plasma-material interaction data for fusion. V. 7, part B. Particle induced erosion of Be, C and W in fusion plasmas. Part B: Physical sputtering and radiation-enhanced sublimation

    International Nuclear Information System (INIS)

    Eckstein, W.; Stephens, J.A.; Clark, R.E.H.; Davis, J.W.; Haasz, A.A.; Vietzke, E.; Hirooka, Y.

    2001-01-01

    The present volume of Atomic and Plasma-Material Interaction Data for Fusion is devoted to a critical review of the physical sputtering and radiation enhanced sublimation (RES) behaviour of fusion plasma-facing materials, in particular carbon, beryllium and tungsten. The present volume is intended to provide fusion reactor designers a detailed survey and parameterization of existing, critically assessed data for the chemical erosion of plasma-facing materials by particle impact. The survey and data compilation is presented for a variety of materials containing the elements C, Be and W (including dopants in carbon materials) and impacting plasma species. The dependencies of physical sputtering and RES yields on the material temperature, incident projectile energy, and incident flux are considered. The main data compilation is presented as separate data sheets indicating the material, impacting plasma species, experimental conditions, and parameterizations in terms of analytic functions

  4. Geometric considerations in magnetron sputtering

    International Nuclear Information System (INIS)

    Thornton, J.A.

    1982-01-01

    The recent development of high performance magnetron type discharge sources has greatly enhaced the range of coating applications where sputtering is a viable deposition process. Magnetron sources can provide high current densities and sputtering rates, even at low pressures. They have much reduced substrate heating rates and can be scaled to large sizes. Magnetron sputter coating apparatuses can have a variety of geometric and plasma configurations. The target geometry affects the emission directions of both the sputtered atoms and the energetic ions which are neutralized and reflected at the cathode. This fact, coupled with the long mean free particle paths which are prevalent at low pressures, can make the coating properties very dependent on the apparatus geometry. This paper reviews the physics of magnetron operation and discusses the influences of apparatus geometry on the use of magnetrons for rf sputtering and reactive sputtering, as well as on the microstructure and internal stresses in sputtered metallic coatings. (author) [pt

  5. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  6. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  7. Spectroscopic ellipsometry on Si/SiO{sub 2}/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Eren, Baran [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Fu, Wangyang; Marot, Laurent, E-mail: laurent.marot@unibas.ch; Calame, Michel; Steiner, Roland; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-01-05

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation.

  8. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  9. Development of an inductively coupled impulse sputtering source for coating deposition

    Science.gov (United States)

    Loch, Daniel Alexander Llewellyn

    In recent years, highly ionised pulsed plasma processes have had a great impact on improving the coating performance of various applications, such as for cutting tools and ITO coatings, allowing for a longer service life and improved defect densities. These improvements stem from the higher ionisation degree of the sputtered material in these processes and with this the possibility of controlling the flux of sputtered material, allowing the regulation of the hardness and density of coatings and the ability to sputter onto complex contoured substrates. The development of Inductively Coupled Impulse Sputtering (ICIS) is aimed at the potential of utilising the advantages of highly ionised plasma for the sputtering of ferromagnetic material. In traditional magnetron based sputter processes ferromagnetic materials would shunt the magnetic field of the magnetron, thus reducing the sputter yield and ionisation efficiency. By generating the plasma within a high power pulsed radio frequency (RF) driven coil in front of the cathode, it is possible to remove the need for a magnetron by applying a high voltage pulsed direct current to the cathode attracting argon ions from the plasma to initiate sputtering. This is the first time that ICIS technology has been deployed in a sputter coating system. To study the characteristics of ICIS, current and voltage waveforms have been measured to examine the effect of increasing RF-power. Plasma analysis has been conducted by optical emission spectroscopy to investigate the excitation mechanisms and the emission intensity. These are correlated to the set RF-power by modelling assumptions based on electron collisions. Mass spectroscopy is used to measure the plasma potential and ion energy distribution function. Pure copper, titanium and nickel coatings have been deposited on silicon with high aspect ratio via to measure the deposition rate and characterise the microstructure. For titanium and nickel the emission modelling results are in

  10. Composite materials obtained by the ion-plasma sputtering of metal compound coatings on polymer films

    Science.gov (United States)

    Khlebnikov, Nikolai; Polyakov, Evgenii; Borisov, Sergei; Barashev, Nikolai; Biramov, Emir; Maltceva, Anastasia; Vereshchagin, Artem; Khartov, Stas; Voronin, Anton

    2016-01-01

    In this article, the principle and examples composite materials obtained by deposition of metal compound coatings on polymer film substrates by the ion-plasma sputtering method are presented. A synergistic effect is to obtain the materials with structural properties of the polymer substrate and the surface properties of the metal deposited coatings. The technology of sputtering of TiN coatings of various thicknesses on polyethylene terephthalate films is discussed. The obtained composites are characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDX), atomic force microscopy (AFM), and scanning tunneling microscopy (STM) is shown. The examples of application of this method, such as receiving nanocomposite track membranes and flexible transparent electrodes, are considered.

  11. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  12. Lipids, atherosclerosis and CVD risk: is CRP an innocent bystander?

    DEFF Research Database (Denmark)

    Nordestgaard, B G; Zacho, J

    2009-01-01

    AIM: To evaluate recent human studies with respect to the interpretation of whether elevated plasma levels of C-reactive protein (CRP) cause cardiovascular disease (CVD), or whether elevated CRP levels more likely is an innocent bystander. DATA SYNTHESIS: Elevated CRP concentrations...... and vulnerability of atherosclerotic plaques, and thus simply an innocent bystander in CVD....

  13. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  14. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  15. Cluster ion formation during sputtering processes: a complementary investigation by ToF-SIMS and plasma ion mass spectrometry

    International Nuclear Information System (INIS)

    Welzel, T; Ellmer, K; Mändl, S

    2014-01-01

    Plasma ion mass spectrometry using a plasma process monitor (PPM) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) have been complementarily employed to investigate the sputtering and ion formation processes of Al-doped zinc oxide. By comparing the mass spectra, insights on ion formation and relative cross-sections have been obtained: positive ions as measured during magnetron sputtering by PPM are originating from the plasma while those in SIMS start at the surface leading to large differences in the mass spectra. In contrast, negative ions originating at the surface will be accelerated through the plasma sheath. They arrive at the PPM after traversing the plasma nearly collisionless as seen from the rather similar spectra. Hence, it is possible to combine the high mass resolution of ToF-SIMS to obtain insight for separating cluster ions, e.g. Zn x and ZnO y , and the energy resolution of PPM to find fragmentation patterns for negative ions. While the ion formation processes during both experiments can be assumed to be similar, differences may arise due to the lower volume probed by SIMS. In the latter case, there is a chance of small target inhomogeneities being able to be enhanced and lower surface temperatures leading to less outgassing and, thus, retention of volatile compounds. (paper)

  16. Tungsten self-sputtering yield with different incidence angles and target temperatures

    International Nuclear Information System (INIS)

    Bandourko, V.; Nakamura, K.; Akiba, M.; Jimbou, R.

    1998-01-01

    The self-sputtering of different types of tungsten due to 1 keV W + bombardment at temperatures of 25 C and 600 C and incident angles in the range of 30-60 was studied by means of the weight loss method. The experimental data at room temperature agreed reasonably with the results of TRIM calculations. Enhanced self-sputtering yields due to beam-induced desorption of WO 2 were found at a temperature of 600 C. The weight loss of W-Cu composite is larger than that of the CVD-W and ps-W under the same irradiation conditions due to the selective removal of copper. (orig.)

  17. Reactive dual magnetron sputtering for large area application

    International Nuclear Information System (INIS)

    Struempfel, J.

    2002-01-01

    Production lines for large area coating demand high productivity of reactive magnetron sputtering processes. Increased dynamic deposition rates for oxides and nitrides were already obtained by using of highly powered magnetrons in combination with advanced sputter techniques. However, besides high deposition rates the uniformity of such coatings has to be carefully considered. First the basics of reactive sputtering processes and dual magnetron sputtering are summarized. Different methods for process stabilization and control are commonly used for reactive sputtering. The Plasma Emission Monitor (PE M) offers the prerequisite for fast acting process control derived from the in-situ intensity measurements of a spectral line of the sputtered target material. Combined by multiple Plasma Emission Monitor control loops segmented gas manifolds are able to provide excellent thin film uniformity at high deposition rates. The Dual Magnetron allows a broad range of processing by different power supply modes. Medium frequency, DC and pulsed DC power supplies can be used for high quality layers. Whereas the large area coating of highly isolating layers like TiO 2 or SiO 2 is dominated by MF sputtering best results for coating with transparent conductive oxides are obtained by dual DC powering of the dual magnetron arrangement. (Author)

  18. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  19. Composite SiOx/hydrocarbon plasma polymer films prepared by RF magnetron sputtering of SiO2 and polyimide

    Czech Academy of Sciences Publication Activity Database

    Drabik, M.; Kousal, J.; Pinosh, Y.; Choukourov, A.; Biederman, H.; Slavínská, D.; Macková, Anna; Boldyryeva, Hanna; Pešička, J.

    2007-01-01

    Roč. 81, č. 7 (2007), s. 920-927 ISSN 0042-207X Institutional research plan: CEZ:AV0Z10480505 Keywords : composite films * magnetron * sputtering * polyimide * SiO2 Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.881, year: 2007

  20. Modification of the electronic properties of As2Se3 films by erbium using ion-plasma sputtering method

    International Nuclear Information System (INIS)

    Prikhodko, O.Yu.; Sarsembinov, Sh.Sh.; Ryaguzov, A.P.; Maksimova, S.Ya.; Chuprynin, A.S.

    2003-01-01

    At present one of the vital problems of semiconductor materials studies is production of new light emitting materials for fiber optics, namely for light-emitting diode, emitting at room temperature in the range of minimum absorption of quartz optic fiber. It is well-known that heterostructures based on amorphous semiconductors, containing large concentrations of rare-earth elements have such properties. The method of ion-plasma co-sputtering (IPCM) of the original and doping materials allows us to obtain amorphous semiconductor films with large impurity concentration. This method was used to produce amorphous films of chalcogenide vitreous semiconductors (ChVS), doped with impurities of different chemical nature. But the capability of IPCM for ChVS doping with rare-earth elements has not been studied well yet. Therefore it is interesting to obtain amorphous films of arsenic selenide doped with erbium using IPCM and study its electronic properties. The films were produced using high frequency (13.56 MHz) ion-plasma co-sputtering of combined target of vitreous As 2 Se 3 and a metal. The sputtering of the target was conducted in argon atmosphere. Er concentration in the films varied between 0 and 4 atomic percent. Amorphism of the structure of the obtained films was monitored using X-ray diffraction methods. Electrical and optical properties of Er-doped As 2 Se 3 films and the charge carrier transportation processes were studied. It was determined that doped films significantly differ from the pure ones in the values of main electronic parameters: conductivity, energy activation of conductivity, optical band-gap, drift mobility of electrons and holes and mobility activation energy. Note that common rules of change of electronic parameters of As 2 Se 3 films affected by Er doping agree with the rules, established during modification of As 2 Se 3 films with dopes of transition metals with incomplete 3d-shell (Fe, Ni). Analysis of the obtained results showed that doing

  1. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  2. Heavy particle transport in sputtering systems

    Science.gov (United States)

    Trieschmann, Jan

    2015-09-01

    This contribution aims to discuss the theoretical background of heavy particle transport in plasma sputtering systems such as direct current magnetron sputtering (dcMS), high power impulse magnetron sputtering (HiPIMS), or multi frequency capacitively coupled plasmas (MFCCP). Due to inherently low process pressures below one Pa only kinetic simulation models are suitable. In this work a model appropriate for the description of the transport of film forming particles sputtered of a target material has been devised within the frame of the OpenFOAM software (specifically dsmcFoam). The three dimensional model comprises of ejection of sputtered particles into the reactor chamber, their collisional transport through the volume, as well as deposition of the latter onto the surrounding surfaces (i.e. substrates, walls). An angular dependent Thompson energy distribution fitted to results from Monte-Carlo simulations is assumed initially. Binary collisions are treated via the M1 collision model, a modified variable hard sphere (VHS) model. The dynamics of sputtered and background gas species can be resolved self-consistently following the direct simulation Monte-Carlo (DSMC) approach or, whenever possible, simplified based on the test particle method (TPM) with the assumption of a constant, non-stationary background at a given temperature. At the example of an MFCCP research reactor the transport of sputtered aluminum is specifically discussed. For the peculiar configuration and under typical process conditions with argon as process gas the transport of aluminum sputtered of a circular target is shown to be governed by a one dimensional interaction of the imposed and backscattered particle fluxes. The results are analyzed and discussed on the basis of the obtained velocity distribution functions (VDF). This work is supported by the German Research Foundation (DFG) in the frame of the Collaborative Research Centre TRR 87.

  3. Heating of polymer substrate by discharge plasma in radiofrequency magnetron sputtering deposition

    International Nuclear Information System (INIS)

    Sirghi, Lucel; Popa, Gheorghe; Hatanaka, Yoshinori

    2006-01-01

    The substrate used for the thin film deposition in a radiofrequency magnetron sputtering deposition system is heated by the deposition plasma. This may change drastically the surface properties of the polymer substrates. Deposition of titanium dioxide thin films on polymethyl methacrylate and polycarbonate substrates resulted in buckling of the substrate surfaces. This effect was evaluated by analysis of atomic force microscopy topography images of the deposited films. The amount of energy received by the substrate surface during the film deposition was determined by a thermal probe. Then, the results of the thermal probe measurements were used to compute the surface temperature of the polymer substrate. The computation revealed that the substrate surface temperature depends on the substrate thickness, discharge power and substrate holder temperature. For the case of the TiO 2 film depositions in the radiofrequency magnetron plasma, the computation indicated substrate surface temperature values under the polymer melting temperature. Therefore, the buckling of polymer substrate surface in the deposition plasma may not be regarded as a temperature driven surface instability, but more as an effect of argon ion bombardment

  4. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  5. Spectroscopic imaging of self-organization in high power impulse magnetron sputtering plasmas

    International Nuclear Information System (INIS)

    Andersson, Joakim; Ni, Pavel; Anders, André

    2013-01-01

    Excitation and ionization conditions in traveling ionization zones of high power impulse magnetron sputtering plasmas were investigated using fast camera imaging through interference filters. The images, taken in end-on and side-on views using light of selected gas and target atom and ion spectral lines, suggest that ionization zones are regions of enhanced densities of electrons, and excited atoms and ions. Excited atoms and ions of the target material (Al) are strongly concentrated near the target surface. Images from the highest excitation energies exhibit the most localized regions, suggesting localized Ohmic heating consistent with double layer formation

  6. Sputtering of molybdenum and tungsten nano rods and nodules irradiated with 150 eV argon ions

    International Nuclear Information System (INIS)

    Ghoniem, N.M.; Sehirlioglu, Alp; Neff, Anton L.; Allain, Jean-Paul; Williams, Brian; Sharghi-Moshtaghin, Reza

    2015-01-01

    Highlights: • The work was motivated by the idea of designing material surface architecture, using the CVD process, that can result in a reduction in the surface sputtering rate as compared to planar surfaces. • We present an experimental investigation of the effects of low energy (150 eV) Ar ions on surface sputtering, amorphization of near-surface layers, and the formation of surface ripples in Mo and W nano-rods and nano-nodules at room temperature. • We show that the sputtering rate decreases in all nano-architecture surfaces as compared to planar surfaces. • We discovered that energy deposition in the near surface layer in W leads to its amorphization at room temperature, to a depth of 5–10 nm. • We also show that surfaces of nano rods become rippled as a result of an ion-induced roughening instability. - Abstract: The influence of surface nano architecture on the sputtering and erosion of tungsten and molybdenum is discussed. We present an experimental investigation of the effects of low energy (150 eV) Ar ions on surface sputtering in Mo and W nano-rods and nano-nodules at room temperature. Measurements of the sputtering rate from Mo and W surfaces with nano architecture indicate that the surface topology plays an important role in the mechanism of surface erosion and restructuring. Chemical vapor deposition (CVD) is utilized as a material processing route to fabricate nano-architectures on the surfaces of W and Mo substrates. First, Re dendrites form as needles with cross-sections that have hexagonal symmetry, and are subsequently employed as scaffolding for further deposition of W and Mo to create nano rod surface architecture. The sputtering of surface atoms in these samples shows a marked dependence on their surface architecture. The sputtering rate is shown to decrease at normal ion incidence in all nano-architecture surfaces as compared to planar surfaces. Moreover, and unlike an increase in sputtering of planar crystalline surfaces, the

  7. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  8. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    Science.gov (United States)

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  9. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  10. TOPICAL REVIEW: Plasma assisted ignition and combustion

    Science.gov (United States)

    Starikovskaia, S. M.

    2006-08-01

    In recent decades particular interest in applications of nonequilibrium plasma for the problems of plasma-assisted ignition and plasma-assisted combustion has been observed. A great amount of experimental data has been accumulated during this period which provided the grounds for using low temperature plasma of nonequilibrium gas discharges for a number of applications at conditions of high speed flows and also at conditions similar to automotive engines. The paper is aimed at reviewing the data obtained and discusses their treatment. Basic possibilities of low temperature plasma to ignite gas mixtures are evaluated and historical references highlighting pioneering works in the area are presented. The first part of the review discusses plasmas applied to plasma-assisted ignition and combustion. The paper pays special attention to experimental and theoretical analysis of some plasma parameters, such as reduced electric field, electron density and energy branching for different gas discharges. Streamers, pulsed nanosecond discharges, dielectric barrier discharges, radio frequency discharges and atmospheric pressure glow discharges are considered. The second part depicts applications of discharges to reduce the ignition delay time of combustible mixtures, to ignite transonic and supersonic flows, to intensify ignition and to sustain combustion of lean mixtures. The results obtained by different authors are cited, and ways of numerical modelling are discussed. Finally, the paper draws some conclusions on the main achievements and prospects of future investigations in the field.

  11. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  12. TiCx thin films coatings prepared by reactive sputtering

    International Nuclear Information System (INIS)

    Saoula, N.; Handa, K.; Kesri, R.

    2004-01-01

    Full text.Transition metal carbides and nitrides attract large technological interest due to their unique properties like high hardness and young modulus, high electric conductivity, a considerable high-temperature strength, high corrosion resistance and high melting or decomposition temperatures. They have been applied as coatings for tools because of their superior properties. these materials have such properties due to atomic bonding, which shows a mixed covalent, metallic and ionic character. Among these nitrides and carbides titanium carbide is a compound of particular importance. Titanium carbide combines the advantages of a high melting point (3140 celsius degree) and hardness (3000 Hv) with those of relative lightness (density 4.93 10 3 Kg m -3 ).It also exhibits high resistance to both corrosion and oxidation and a relatively low friction coefficient. Usually, TiC films are produced by deposition with plasma-enhanced CVD (PCVD), thermal chemical vapour deposition (CVD) or activated reactive evaporation methods. However, these methods require high temperature (>600 celsius degree) substrates to achieve the deposition, which sometimes causes thermal damage to the deposited films. At temperature above 550 celsius degree, thermal diffusion of materials not suitable may also occur. In addition, it has been reported that the maximum temperature which permits the appropriate coating of hardened steel tools is 500 celsius degree. Therefore, it is desirable to develop a deposition technique for TiC films using low-temperature substrates to avoid thermal damage. So, different physical vapour deposition (PVD) processes for deposition of TiC at relatively low temperatures have been used through the years. These processes are based on vaporisation of titanium in hydrocarbon atmosphere or on sputtering of solid TiC target or titanium target in hydrocarbon atmosphere. the most often-used hydrocarbon gases are methane, acetylene, ethene and ethane. In previous works, we

  13. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  14. Distribution of Fe atom density in a dc magnetron sputtering plasma source measured by laser-induced fluorescence imaging spectroscopy

    Science.gov (United States)

    Shibagaki, K.; Nafarizal, N.; Sasaki, K.; Toyoda, H.; Iwata, S.; Kato, T.; Tsunashima, S.; Sugai, H.

    2003-10-01

    Magnetron sputtering discharge is widely used as an efficient method for thin film fabrication. In order to achieve the optimized fabrication, understanding of the kinetics in plasmas is essential. In the present work, we measured the density distribution of sputtered Fe atoms using laser-induced fluorescence imaging spectroscopy. A dc magnetron plasma source with a Fe target was used. An area of 20 × 2 mm in front of the target was irradiated by a tunable laser beam having a planar shape. The picture of laser-induced fluorescence on the laser beam was taken using an ICCD camera. In this way, we obtained the two-dimensional image of the Fe atom density. As a result, it has been found that the Fe atom density observed at a distance of several centimeters from the target is higher than that adjacent to the target, when the Ar gas pressure was relatively high. It is suggested from this result that some gas-phase production processes of Fe atoms are available in the plasma. This work has been performed under the 21st Century COE Program by the Ministry of Education, Culture, Sports, Science and Technology in Japan.

  15. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  16. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  17. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  18. High rate reactive sputtering in an opposed cathode closed-field unbalanced magnetron sputtering system

    Science.gov (United States)

    Sproul, William D.; Rudnik, Paul J.; Graham, Michael E.; Rohde, Suzanne L.

    1990-01-01

    Attention is given to an opposed cathode sputtering system constructed with the ability to coat parts with a size up to 15 cm in diameter and 30 cm in length. Initial trials with this system revealed very low substrate bias currents. When the AlNiCo magnets in the two opposed cathodes were arranged in a mirrored configuration, the plasma density at the substrate was low, and the substrate bias current density was less than 1 mA/sq cm. If the magnets were arranged in a closed-field configuration where the field lines from one set of magnets were coupled with the other set, the substrate bias current density was as high as 5.7 mA/sq cm when NdFeB magnets were used. In the closed-field configuration, the substrate bias current density was related to the magnetic field strength between the two cathodes and to the sputtering pressure. Hard well-adhered TiN coatings were reactively sputtered in the opposed cathode system in the closed-field configuration, but the mirrored configuration produced films with poor adhesion because of etching problems and low plasma density at the substrate.

  19. A study of the plasma electronegativity in an argon-oxygen pulsed-dc sputter magnetron

    International Nuclear Information System (INIS)

    You, S D; Dodd, R; Edwards, A; Bradley, J W

    2010-01-01

    Using Langmuir probe-assisted laser photodetachment, the temporal evolution of the O - density was determined in the bulk plasma of a unipolar pulsed-dc magnetron. The source was operated in reactive mode, at a fixed nominal on-time power of 100 W, sputtering Ti in argon-oxygen atmospheres at 1.3 Pa pressure, but over a variation of duty cycles from 5% to 50% and oxygen partial pressures of 10% and 50% of the total pressure. In the plasma on-time, for all duty cycles the negative ion density (n - ) rises marginally reaching values typically less than 2 x 10 15 m -3 with negative ion-to-electron density ratios, α - falls by about 20-30% as fast O - species created at the cathode exit the system. This is followed by a rapid rise in n - to values at least 2 or 3 times that in the on-time. The rate of rise of n - and its maximum value both increase with decreasing duty cycle. In the off-time, the electron density falls rapidly (initial decay rates of several tens of μs), and therefore the afterglow plasma becomes highly electronegative, with α reaching 4.6 and 14.4 for 10% and 50% oxygen partial pressure, respectively. The rapid rise in n - in the afterglow (in which the electron temperature falls from about 5 to 0.5 eV) is attributed to the dissociative attachment of highly excited oxygen metastables, which themselves are created in the pulse on-time. At the lowest duty of 5%, the long-term O - decay times are several hundred μs. Langmuir probe characteristics show the clear signature that negative ions dominate over the electrons in the off-time. From the ion and electron saturation current ratios, α has been estimated in some chosen cases and found to agree within a factor between 2 and 10 with those obtained more directly from the photodetachment method.

  20. Variables affecting simulated Be sputtering yields

    Energy Technology Data Exchange (ETDEWEB)

    Björkas, C., E-mail: carolina.bjorkas@helsinki.fi; Nordlund, K.

    2013-08-15

    Since beryllium is a strong candidate for the main plasma-facing material in future fusion reactors, its sputtering behaviour plays an important role in predicting the reactor’s life-time. Consensus about the actual sputtering yields has not yet been achieved, as observations are influenced by experimental method and/or studied sample. In this work, the beryllium sputtering due to deuterium and beryllium self-bombardment is analyzed using molecular dynamics simulations. The main methodological aspects that influence the outcome, such as flux and fluence of the bombardment, are highlighted, and it is shown that the simulated yields also depend on the sample structure and deuterium content.

  1. Plasma analysis of inductively coupled impulse sputtering of Cu, Ti and Ni

    Science.gov (United States)

    Loch, D. A. L.; Aranda Gonzalvo, Y.; Ehiasarian, A. P.

    2017-06-01

    Inductively coupled impulse sputtering (ICIS) is a new development in the field of highly ionised pulsed PVD processes. For ICIS the plasma is generated by an internal inductive coil, replacing the need for a magnetron. To understand the plasma properties, measurements of the current and voltage waveforms at the cathode were conducted. The ion energy distribution functions (IEDFs) were measured by energy resolved MS and plasma chemistry was analysed by OES and then compared to a model. The target was operated in pulsed DC mode and the coil was energised by pulsed RF power, with a duty cycle of 7.5%. At a constant pressure (14 Pa) the set peak RF power was varied from 1000-4000 W. The DC voltage to the target was kept constant at 1900 V. OES measurements have shown a monotonic increase in intensity with increasing power. Excitation and ionisation processes were single step for ICIS of Ti and Ni and multi-step for Cu. The latter exhibited an unexpectedly steep rise in ionisation efficiency with power. The IEDFs measured by MS show the material- and time-dependant plasma potential in the range of 10-30 eV, ideal for increased surface mobility without inducing lattice defects. A lower intensity peak, of high energetic ions, is visible at 170 eV during the pulse.

  2. H-isotope retention and thermal/ion-induced release in boronized films

    International Nuclear Information System (INIS)

    Walsh, D.S.; Doyle, B.L.; Wampler, W.R.; Hays, A.K.

    1990-01-01

    Over the past decade, it has been clearly demonstrated that the composition of the very near surface (∼100nm) of plasma-interactive components plays a determinant role in most processes which occur in the plasma-edge of Tokamaks. Two very successful techniques to effect control of the plasma-wall interaction are (1) in-situ deposition of amorphous carbon or boron-carbon films and (2) the use of He/C conditioning discharges or He glow discharge cleaning to modify the near surface of bulk graphite components. We have deposited boronized layers into Si using plasma-assisted CVD and sputter deposition. The PCVD deposition conditions were as close as possible to those used in TFTR, and some films deposited in TFTR have also been studied. Using these two deposition techniques, B x CH y films have been produced with x varying from 1/2 -- 4, and y from ∼1 (sputtered) to ∼3 (PCVD). Most films also contained significant amounts of 0. Thermal and ion-induced release of H-isotopes from BC films is qualitatively similar to that measured for graphite. Implanted H saturates in these films at a H/host atom ratio of 0.7 which is considerably higher than that of graphite(∼0.4). As-deposited PCVD films are already saturated with H, while sputtered films are not. Sputtered BC films therefore possess an inherent H-pumping capability which could prove to be extremely beneficial to TFTR. 16 refs., 5 figs., 1 tab

  3. Pulsed dc self-sustained magnetron sputtering

    International Nuclear Information System (INIS)

    Wiatrowski, A.; Posadowski, W. M.; Radzimski, Z. J.

    2008-01-01

    The magnetron sputtering has become one of the commonly used techniques for industrial deposition of thin films and coatings due to its simplicity and reliability. At standard magnetron sputtering conditions (argon pressure of ∼0.5 Pa) inert gas particles (necessary to sustain discharge) are often entrapped in the deposited films. Inert gas contamination can be eliminated during the self-sustained magnetron sputtering (SSS) process, where the presence of the inert gas is not a necessary requirement. Moreover the SSS process that is possible due to the high degree of ionization of the sputtered material also gives a unique condition during the transport of sputtered particles to the substrate. So far it has been shown that the self-sustained mode of magnetron operation can be obtained using dc powering (dc-SSS) only. The main disadvantage of the dc-SSS process is its instability related to random arc formation. In such case the discharge has to be temporarily extinguished to prevent damaging both the magnetron source and power supply. The authors postulate that pulsed powering could protect the SSS process against arcs, similarly to reactive pulsed magnetron deposition processes of insulating thin films. To put this concept into practice, (i) the high enough plasma density has to be achieved and (ii) the type of pulsed powering has to be chosen taking plasma dynamics into account. In this article results of pulsed dc self-sustained magnetron sputtering (pulsed dc-SSS) are presented. The planar magnetron equipped with a 50 mm diameter and 6 mm thick copper target was used during the experiments. The maximum target power was about 11 kW, which corresponded to the target power density of ∼560 W/cm 2 . The magnetron operation was investigated as a function of pulse frequency (20-100 kHz) and pulse duty factor (50%-90%). The discharge (argon) extinction pressure level was determined for these conditions. The plasma emission spectra (400-410 nm range) and deposition

  4. Optical emission and mass spectroscopy of plasma processes in reactive DC pulsed magnetron sputtering of aluminium oxide

    Czech Academy of Sciences Publication Activity Database

    Novotný, Michal; Bulíř, Jiří; Pokorný, Petr; Bočan, Jiří; Fitl, Přemysl; Lančok, Ján; Musil, Jindřich

    2010-01-01

    Roč. 12, č. 3 (2010), 697-700 ISSN 1454-4164 R&D Projects: GA AV ČR IAA100100718; GA AV ČR KAN400100653; GA ČR GP202/09/P324 Institutional research plan: CEZ:AV0Z10100522 Keywords : reactive magnetron sputtering * alumina * plasma spectroscopy * mass spectroscopy * optical emission spectroscopy Subject RIV: BH - Optics, Masers, Lasers Impact factor: 0.412, year: 2010

  5. Measurement and modeling of plasma parameters in reactive high-power impulse magnetron sputtering of Ti in Ar/O.sub.2./sub. mixtures

    Czech Academy of Sciences Publication Activity Database

    Čada, Martin; Lundin, D.; Hubička, Zdeněk

    2017-01-01

    Roč. 121, č. 17 (2017), s. 1-7, č. článku 171913. ISSN 0021-8979 R&D Projects: GA ČR(CZ) GA15-00863S EU Projects: European Commission(XE) 608800 - HIPPOCAMP Institutional support: RVO:68378271 Keywords : reactive sputtering * HiPIMS * Langmuir probe * R-IRM model * plasma density * electron temperature Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.068, year: 2016

  6. Control of composition and crystallinity in hydroxyapatite films deposited by electron cyclotron resonance plasma sputtering

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-01-01

    Hydroxyapatite (HAp) films were deposited by electron cyclotron resonance plasma sputtering under a simultaneous flow of H2O vapor gas. Crystallization during sputter-deposition at elevated temperatures and solid-phase crystallization of amorphous films were compared in terms of film properties. When HAp films were deposited with Ar sputtering gas at temperatures above 460 °C, CaO byproducts precipitated with HAp crystallites. Using Xe instead of Ar resolved the compositional problem, yielding a single HAp phase. Preferentially c-axis-oriented HAp films were obtained at substrate temperatures between 460 and 500 °C and H2O pressures higher than 1×10-2 Pa. The absorption signal of the asymmetric stretching mode of the PO43- unit (ν3) in the Fourier-transform infrared absorption (FT-IR) spectra was the narrowest for films as-crystallized during deposition with Xe, but widest for solid-phase crystallized films. While the symmetric stretching mode of PO43- (ν1) is theoretically IR-inactive, this signal emerged in the FT-IR spectra of solid-phase crystallized films, but was absent for as-crystallized films, indicating superior crystallinity for the latter. The Raman scattering signal corresponding to ν1 PO43- sensitively reflected this crystallinity. The surface hardness of as-crystallized films evaluated by a pencil hardness test was higher than that of solid-phase crystallized films.

  7. Cold Vacuum Dryer (CVD) Facility Fire Protection System Design Description (SYS 24)

    Energy Technology Data Exchange (ETDEWEB)

    SINGH, G.

    2000-10-17

    This system design description (SDD) addresses the Cold Vacuum Drying (CVD) Facility fire protection system (FPS). The primary features of the FPS for the CVD are a fire alarm and detection system, automatic sprinklers, and fire hydrants. The FPS also includes fire extinguishers located throughout the facility and fire hydrants to assist in manual firefighting efforts. In addition, a fire barrier separates the operations support (administrative) area from the process bays and process bay support areas. Administrative controls to limit combustible materials have been established and are a part of the overall fire protection program. The FPS is augmented by assistance from the Hanford Fire Department (HED) and by interface systems including service water, electrical power, drains, instrumentation and controls. This SDD, when used in conjunction with the other elements of the definitive design package, provides a complete picture of the FPS for the CVD Facility.

  8. Study of the chemical sputtering in Tore-Supra; Etude de l'erosion chimique dans le tokamak Tore-Supra

    Energy Technology Data Exchange (ETDEWEB)

    Cambe, A

    2002-06-28

    The work presented in this thesis focuses on the interactions between energetic particles coming from thermonuclear plasma and the inner components of a fusion machine. This interaction induces two major problems: erosion of the wall, and tritium retention. This report treats the erosion of carbon based materials. The first part is devoted to chemical sputtering, that appears to be the principal erosion mechanism, compared to physical sputtering and radiation enhanced sublimation that both can be limited. Chemical sputtering has been studied in situ in the tokamak Tore-Supra for ohmic and lower hybrid (LH) heated discharges, by means of mass spectrometry and optical spectroscopy. We have shown that it is necessary to take into account both methane and heavier hydrocarbons (C{sub 2}D{sub x} and C{sub 3}D{sub y}) in the determination of the chemical sputtering yield. It is found that for the ohmic discharges, the sputtering yield of CD{sub 4} (Y{sub CD4}) is highly flux ({phi}) dependent, showing a variation of the form: Y{sub CD4} {proportional_to} {phi}{sup -0.23}. The experimental study also reveals that an increase of the surface temperature induces an augmentation of Y{sub CD4}. The interpretation and the modelling of the experimental results have been performed with a Monte Carlo code (BBQ. In the second part of this work, we have developed and installed an infrared spectroscopy diagnostic in the 0.8-1.6, {mu}m wavelength range dedicated to the measurement of surface temperature, and the identification of atomic and molecular lines emitted during plasma/wall interactions. In the third part, we present the feasibility study of an in situ tungsten deposition process at low temperature(<80 deg C) in order to suppress the chemical sputtering. This study shows that, with this method call Plasma Assisted Chemical Vapor Deposition (PACVD), we are able to coat the whole inner vessel of a tokamak with 1 {mu}m of tungsten. (author)

  9. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)

  10. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    International Nuclear Information System (INIS)

    Boncel, Slawomir; Koziol, Krzysztof K.K.

    2014-01-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I D /I G ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs

  11. Preparation of Ag-containing diamond-like carbon films on the interior surface of tubes by a combined method of plasma source ion implantation and DC sputtering

    Science.gov (United States)

    Hatada, R.; Flege, S.; Bobrich, A.; Ensinger, W.; Dietz, C.; Baba, K.; Sawase, T.; Watamoto, T.; Matsutani, T.

    2014-08-01

    Adhesive diamond-like carbon (DLC) films can be prepared by plasma source ion implantation (PSII), which is also suitable for the treatment of the inner surface of a tube. Incorporation of a metal into the DLC film provides a possibility to change the characteristics of the DLC film. One source for the metal is DC sputtering. In this study PSII and DC sputtering were combined to prepare DLC films containing low concentrations of Ag on the interior surfaces of stainless steel tubes. A DLC film was deposited using a C2H4 plasma with the help of an auxiliary electrode inside of the tube. This electrode was then used as a target for the DC sputtering. A mixture of the gases Ar and C2H4 was used to sputter the silver. By changing the gas flow ratios and process time, the resulting Ag content of the films could be varied. Sample characterizations were performed by X-ray photoelectron spectroscopy, secondary ion mass spectrometry, atomic force microscopy and Raman spectroscopy. Additionally, a ball-on-disk test was performed to investigate the tribological properties of the films. The antibacterial activity was determined using Staphylococcus aureus bacteria.

  12. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  13. Sputtering properties of tungsten 'fuzzy' surfaces

    International Nuclear Information System (INIS)

    Nishijima, D.; Baldwin, M.J.; Doerner, R.P.; Yu, J.H.

    2011-01-01

    Sputtering yields of He-induced W 'fuzzy' surfaces bombarded by Ar have been measured in the linear divertor plasma simulator PISCES-B. It is found that the sputtering yield of a fuzzy surface, Y fuzzy , decreases with increasing fuzzy layer thickness, L, and saturates at ∼10% of that of a smooth surface, Y smooth , at L > 1 μm. The reduction in the sputtering yield is suspected to be due mainly to the porous structure of fuzz, since the ratio, Y fuzzy /Y smooth follows (1 - p fuzz ), where p fuzz is the fuzz porosity. Further, Y fuzzy /Y smooth is observed to increase with incident ion energy, E i . This may be explained by an energy dependent change in the angular distribution of sputtered W atoms, since at lower E i , the angular distribution is observed to become more butterfly-shaped. That is, a larger fraction of sputtered W atoms can line-of-sight deposit/stick onto neighboring fuzz nanostructures for lower E i butterfly distributions, resulting in lower ratio of Y fuzzy /Y smooth .

  14. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  15. Spatial survey of a magnetron plasma sputtering system using a Langmuir probe

    International Nuclear Information System (INIS)

    Field, D.J.; Dew, S.K.; Burrell, R.E.

    2002-01-01

    A two-dimensional spatial survey is conducted for a magnetron sputtering plasma at two pressures (40 and 5 mTorr) using a Langmuir probe. The plasma density is found to be highest (up to 6.0x10 10 cm -3 ) above the etch region of the cathode, near the magnetic trap. The density drops between the etch regions, near the edges of the cathode and also at distances farther away from the cathode. The floating potential was found to be most negative (down to -12 V) in regions where the highest electron temperatures were observed (up to almost 4 eV) and became less negative (near 0 V) in regions where the electron temperature was lowest (less than 0.5 eV). This complementary trend was consistent in all spatial locations and at both pressures. The plasma potential was found to have very weak dependence, if any, on spatial location and pressure. The relationship between electron transport processes, collision processes and electron temperatures is discussed. Electron energy distribution functions were found to be either Maxwellian or bi-Maxwellian in nature, depending on pressure and spatial location. Maxwellian distributions were found near the magnetic trap or source of the plasma. Bi-Maxwellian distributions were found further away from the source, and it appears they result from Maxwellian distributions bifurcating as they diffuse away from the source. The suitability of the popular models for this bifurcation is discussed

  16. Composite SiOx/fluorocarbon plasma polymer films prepared by r.f. magnetron sputtering of SiO2 and PTFE

    Czech Academy of Sciences Publication Activity Database

    Pihosh, Y.; Biederman, H.; Slavínská, D.; Kousal, J.; Choukourov, A.; Trchová, Miroslava; Macková, Anna; Boldyryeva, Hanna

    2006-01-01

    Roč. 81, 1-4 (2006), s. 38-44 ISSN 0042-207X R&D Projects: GA MŠk OC 527.10; GA MŠk ME 553 Institutional research plan: CEZ:AV0Z40500505; CEZ:AV0Z10480505 Keywords : composite films * magnetron * sputtering Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.834, year: 2006

  17. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  18. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  19. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    Energy Technology Data Exchange (ETDEWEB)

    Boncel, Slawomir, E-mail: slawomir.boncel@polsl.pl [Department of Organic Chemistry, Biochemistry and Biotechnology, Silesian University of Technology, Krzywoustego 4, 44-100 Gliwice (Poland); Koziol, Krzysztof K.K., E-mail: kk292@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, CB3 0FS Cambridge (United Kingdom)

    2014-05-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I{sub D}/I{sub G} ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs.

  20. Synthesis and characterization of Al2O3 and SiO2 films with fluoropolymer content using rf-plasma magnetron sputtering technique

    International Nuclear Information System (INIS)

    Islam, Mohammad; Inal, Osman T.

    2008-01-01

    Pure and molecularly mixed inorganic films for protection against atomic oxygen in lower earth orbit were prepared using radio-frequency (rf) plasma magnetron sputtering technique. Alumina (Al 2 O 3 ) and silica (SiO 2 ) films with average grain size in the range of 30-80 nm and fully dense or dense columnar structure were synthesized under different conditions of pressure and power. Simultaneous oxide sputtering and plasma polymerization (PP) of hexafluoropropylene (HFP) led to the formation of molecularly mixed films with fluoropolymer content. The degree of plasma polymerization was strongly influenced by total chamber pressure and the argon to HFP molar ratio (n Ar /n M ). An order of magnitude increase in pressure due to argon during codeposition changed the plasma-polymerization mechanism from radical-chain- to radical-radical-type processes. Subsequently, a shift from linear CH 2 group based chain polymerization to highly disordered fluoropolymer content with branching and cross-linking was observed. Fourier transform infrared spectroscopy studies revealed chemical interaction between depositing SiO 2 and PP-HFP through appearance of absorption bands characteristic of Si-F stretching and expansion of SiO 2 network. The relative amount and composition of plasma-polymerized fluoropolymer in such films can be controlled by changing argon to HFP flow ratio, total chamber pressure, and applied power. These films offer great potential for use as protective coatings in aerospace applications

  1. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  2. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Energy Technology Data Exchange (ETDEWEB)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp [NTT Device Innovation Center, Morinosato Wakamiya, Atsugi, Kanagawa 243-0198 (Japan)

    2016-06-15

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  3. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Science.gov (United States)

    Akazawa, Housei

    2016-06-01

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  4. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  5. Photonometers for coating and sputtering machines

    Science.gov (United States)

    Oupický, P.; Jareš, D.; Václavík, J.; Vápenka, D.

    2013-04-01

    The concept of photonometers (alternative name of optical monitor of a vacuum deposition process) for coating and sputtering machines is based on photonometers produced by companies like SATIS or HV Dresden. Photometers were developed in the TOPTEC centre and its predecessor VOD (Optical Development Workshop of Institut of Plasma Physics AS CR) for more than 10 years. The article describes current status of the technology and ideas which will be incorporated in next development steps. Hardware and software used on coating machines B63D, VNA600 and sputtering machine UPM810 is presented.

  6. Microwave plasma CVD of oxide films relating to high Tc Bi-Sr-Ca-Cu-O superconductor

    International Nuclear Information System (INIS)

    Hashimoto, T.; Kosaka, T.; Yoshida, Y.; Yoshimoto, M.; Koinuma, H.

    1989-01-01

    Microwave plasma CVD was applied to the synthesis of Bi, Sr, Ca, and Cu oxide films at relatively low temperatures. Gas source materials used were Bi(C 6 H 5 ) 3 , Sr(PPM) 2 , Ca(PPM) 2 , and Cu(HFA) 2 , where PPM and HFA represent C 2 F 5 COCHCOC(CH 3 ) 3 and CF 3 COCHCOCF 3 , respectively. Films were deposited on MgO (100) substrate at temperatures between 200 C and 400 C under an atmosphere of 1000mTorr Ar-O 2 (50/100) mixture which was partially excited by plasma. From Bi(C 6 H 5 ) 3 , Bi 2 O 3 was formed at 200 C without containing carbon above the detection level by XPS analysis. From Cu(HFA) 2 , CuO was prepared at 400 C by increasing oxygen partial pressure to 0.1Torr. (At lower oxygen partial pressure, CuF 2 or amorphous films were deposited.) From Sr(PPM) 2 and Ca(PPM) 2 , SrF 2 and CaF 2 were obtained at 400 C. The attempt to fabricate superconducting films is also reported

  7. Emission characteristics of kerosene-air spray combustion with plasma assistance

    Directory of Open Access Journals (Sweden)

    Xingjian Liu

    2015-09-01

    Full Text Available A plasma assisted combustion system for combustion of kerosene-air mixtures was developed to study emission levels of O2, CO2, CO, and NOx. The emission measurement was conducted by Testo 350-Pro Flue Gas Analyzer. The effect of duty ratio, feedstock gas flow rate and applied voltage on emission performance has been analyzed. The results show that O2 and CO emissions reduce with an increase of applied voltage, while CO2 and NOx emissions increase. Besides, when duty ratio or feedstock gas flow rate decreases, the same emission results would appear. The emission spectrum of the air plasma of plasma assisted combustion actuator was also registered to analyze the kinetic enhancement effect of plasma, and the generation of ozone was believed to be the main factor that plasma makes a difference in our experiment. These results are valuable for the future optimization of kerosene-fueled aircraft engine when using plasma assisted combustion devices to exert emission control.

  8. Target surface condition during reactive glow discharge sputtering of copper

    International Nuclear Information System (INIS)

    Depla, D; Haemers, J; Gryse, R De

    2002-01-01

    During reactive glow discharge sputtering of copper in an argon/nitrogen plasma, we noticed an abrupt change of the target voltage and the deposition rate when the nitrogen concentration in the plasma exceeds a critical value. To explain this behaviour, the target surface after reactive glow discharge sputtering was examined by x-ray photoelectron spectroscopy (XPS). An experimental arrangement was constructed that allows direct transfer of the glow discharge cathode to the XPS analysis chamber without air exposure. These XPS measurements revealed that several different chemical states of nitrogen are present in the layer that forms on the target surface. The relative concentration of these different states changes when the critical nitrogen concentration in the plasma is exceeded

  9. Tests of a new axial sputtering technique in an ECRIS

    International Nuclear Information System (INIS)

    Scott, R.; Pardo, R.; Vondrasek, R.

    2012-01-01

    Axial and radial sputtering techniques have been used over the years to create beams from an ECRIS at multiple accelerator facilities. Operational experience has shown greater beam production when using the radial sputtering method versus axial sputtering. At Argonne National Laboratory, previous work with radial sputtering has demonstrated that the position of the sputter sample relative to the plasma chamber wall influences sample drain current, beam production and charge state distribution. The possibility of the chamber wall acting as a ground plane which influences the sputtering of material has been considered, and an attempt has been made to mimic this possible ground plane effect with a coaxial sample introduced from the injection end. Results of these tests will be shown as well as comparisons of outputs using the two methods. The paper is followed by the associated poster. (authors)

  10. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  11. Improved ERO modelling for spectroscopy of physically and chemically assisted eroded beryllium from the JET-ILW

    Directory of Open Access Journals (Sweden)

    D. Borodin

    2016-12-01

    Full Text Available Physical and chemical assisted physical sputtering were characterised by the BeI and BeII line and BeD band emission in the observation chord measuring the sightline integrated emission in front of the inner beryllium limiter at the torus midplane. The 3D local transport and plasma-surface interaction Monte-Carlo modelling (ERO code [18] is a key for the interpretation of the observations in the vicinity of the shaped solid Be limiter. The plasma parameter variation (density scan in limiter regime has provided a useful material for the simulation benchmark. The improved background plasma parameters input, the new analytical expression for particle tracking in the sheath region and implementation of the BeD release into ERO has helped to clarify some deviations between modelling and experiments encountered in the previous studies [4,5]. Reproducing the observations provides additional confidence in our ‘ERO-min’ fit for the physical sputtering yields for the plasma-wetted areas based on simulated data.

  12. Copper deposition on fabrics by rf plasma sputtering for medical applications

    International Nuclear Information System (INIS)

    Segura, G; Guzmán, P; Barrantes, Y; Navarro, G; Asenjo, J; Guadamuz, S; Vargas, VI; Zuñiga, P; Chaves, S; Chaves, J

    2015-01-01

    The present work is about preparation and characterization of RF sputtered Cu films on cotton by the usage of a Magnetron Sputter Source and 99.995% purity Cu target at room temperature. Cotton fabric samples of 1, 2 and 4 min of sputtering time at discharge pressure of 1×10 −2 Torr and distance between target and sample of 8 cm were used. The main goal was to qualitatively test the antimicrobial action of copper on fabrics. For that purpose, a reference strain of Escherichia Coli ATCC 35218 that were grown in TSA plates was implemented. Results indicated a decrease in the growth of bacteria by contact with Cu; for fabric samples with longer sputtering presented lower development of E. coli colonies. The scope of this research focused on using these new textiles in health field, for example socks can be made with this textile for the treatment of athlete's foot and the use in pajamas, sheets, pillow covers and robes in hospital setting for reducing the spread of microorganisms. (paper)

  13. Influence of post-hydrogenation upon electrical, optical and structural properties of hydrogen-less sputter-deposited amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Gerke, S., E-mail: sebastian.gerke@uni-konstanz.de [Department of Physics, University of Konstanz, Konstanz, 78457 (Germany); Becker, H.-W.; Rogalla, D. [RUBION — Central Unit for Ion Beams and Radioisotopes, University of Bochum, Bochum, 44780 (Germany); Singer, F.; Brinkmann, N.; Fritz, S.; Hammud, A.; Keller, P.; Skorka, D.; Sommer, D. [Department of Physics, University of Konstanz, Konstanz, 78457 (Germany); Weiß, C. [Fraunhofer Institute for Solar Energy Systems ISE, Heidenhofstraße 2, 79110 Freiburg (Germany); Flege, S. [Department of Materials Science, TU Darmstadt, Darmstadt 64287 (Germany); Hahn, G. [Department of Physics, University of Konstanz, Konstanz, 78457 (Germany); Job, R. [Department of Electrical Engineering and Computer Science, Münster University of Applied Sciences, Steinfurt 48565 (Germany); Terheiden, B. [Department of Physics, University of Konstanz, Konstanz, 78457 (Germany)

    2016-01-01

    Amorphous silicon (a-Si) is common in the production of technical devices and can be deposited by several techniques. In this study intrinsic and doped, hydrogen-less amorphous silicon films are RF magnetron sputter deposited and post-hydrogenated in a remote hydrogen plasma reactor at a temperature of 370 °C. Secondary ion mass spectrometry of a boron doped (p) a-Si layer shows that the concentration of dopants in the sputtered layer becomes the same as present in the sputter-target. Improved surface passivation of phosphorous doped 5 Ω cm, FZ, (n) c-Si can be achieved by post-hydrogenation yielding a minority carrier lifetime of ~ 360 μs finding an optimum for ~ 40 nm thin films, deposited at 325 °C. This relatively low minority carrier lifetime indicates high disorder of the hydrogen-less sputter deposited amorphous network. Post-hydrogenation leads to a decrease of the number of localized states within the band gap. Optical band gaps (Taucs gab as well as E{sub 04}) can be determined to ~ 1.88 eV after post-hydrogenation. High resolution transmission electron microscopy and optical Raman investigations show that the sputtered layers are amorphous and stay like this during post-hydrogenation. As a consequence of the missing hydrogen during deposition, sputtered a-Si forms a rough surface compared to CVD a-Si. Atomic force microscopy points out that the roughness decreases by up to 25% during post-hydrogenation. Nuclear resonant reaction analysis permits the investigation of hydrogen depth profiles and allows determining the diffusion coefficients of several post-hydrogenated samples from of a model developed within this work. A dependency of diffusion coefficients on the duration of post-hydrogenation indicates trapping diffusion as the main diffusion mechanism. Additional Fourier transform infrared spectroscopy measurements show that hardly any interstitial hydrogen exists in the post-hydrogenated a-Si layers. The results of this study open the way for

  14. Origins of Beta Tantalum in Sputtered Coatings

    National Research Council Canada - National Science Library

    Mulligan, C

    2001-01-01

    .... Some of the most recent work has attempted to relate the energetics (i.e., atom/ion energy) of the plasma to the alpha right arrow beta transition. It has been shown that the energetics of the plasma can relate to the most crucial sputtering parameters. The most significant feature of the use of plasma energy to explain the alpha right arrow beta transition is that it relates the formation of beta-tantalum to a quantifiable measure.

  15. Photonometers for coating and sputtering machines

    Directory of Open Access Journals (Sweden)

    Václavík J.

    2013-05-01

    Full Text Available The concept of photonometers (alternative name of optical monitor of a vacuum deposition process for coating and sputtering machines is based on photonometers produced by companies like SATIS or HV Dresden. Photometers were developed in the TOPTEC centre and its predecessor VOD (Optical Development Workshop of Institut of Plasma Physics AS CR for more than 10 years. The article describes current status of the technology and ideas which will be incorporated in next development steps. Hardware and software used on coating machines B63D, VNA600 and sputtering machine UPM810 is presented.

  16. Sputtering of nano-grains by energetic ions

    CERN Document Server

    Bringa, E M

    2002-01-01

    Sputtering from grains with a size of tens of nanometers is important in a number of astrophysical environments having a variety of plasma properties and can have applications in nano-technology. Since energy deposition by incident ions or electrons can create 'hot' regions in a small grain, thermal spike (TS) models have been applied to estimate the sputtering. The excitations produced by a fast ion are often assumed to form a 'hot' cylindrical track. In this paper we use molecular dynamics (MD) calculations to describe the energy transport and sputtering due to the creation of a 'hot' track in a grain with one quarter million atoms. We show the enhancement due to grain size and find that TS models work over a limited range of excitation densities. Discrepancies of several orders of magnitude are found when comparing our MD results for sputtering of small dust grains to those obtained by the astrophysical community using spike models.

  17. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  18. A hybrid heterojunction with reverse rectifying characteristics fabricated by magnetron sputtered TiOx and plasma polymerized aniline structure

    International Nuclear Information System (INIS)

    Sarma, Bimal K; Pal, Arup R; Bailung, Heremba; Chutia, Joyanti

    2012-01-01

    A TiO x film produced by direct current reactive magnetron sputtering without substrate heating or post-deposition annealing and a plasma polymerized aniline (PPA) structure deposited in the same reactor by a radio-frequency glow discharge without the assistance of a carrier gas are used for the fabrication of a heterojunction. The gas phase discharge is investigated by a Langmuir probe and optical emission spectroscopy. The individual layers and the heterojunction are characterized for structural and optoelectronic properties. PPA has polymer-like structure and texture and is characterized by saturated-unsaturated, branched and crosslinked networks. X-ray photoelectron spectroscopy reveals a slightly reduced TiO x surface, which exhibits near band edge luminescence. The free radicals trapped in PPA readily react with oxygen when exposed to atmosphere. The heterojunction shows reverse rectifying characteristics under dark and ultraviolet (UV) irradiation. The energy levels of TiO x and PPA might exhibit reverse band bending and electrons and holes are accumulated on both sides of the heterojunction. The charge accumulation phenomena at the interface may play a key role in the device performance of a hybrid heterojunction. The current-voltage characteristic of the heterojunction is sensitive to UV light, so the structure may be used for photo-sensing applications. (paper)

  19. Basic electrochemical properties of sputtered gold film electrodes

    International Nuclear Information System (INIS)

    Libansky, Milan; Zima, Jiri; Barek, Jiri; Reznickova, Alena; Svorcik, Vaclav; Dejmkova, Hana

    2017-01-01

    Gold nanolayers made by sputtering of pure gold (physical vapour deposition) are commonly used for many biophysical and material applications. However, the use of sputtering method for fabrication of working electrodes for electroanalytical purposes is less common. This paper focuses on the testing and characterization of sputtered working roughened gold nanostructured film electrodes, which fall into category of upcoming desirable new generation of nanostructured gold working electrodes. Gold nanostructured films (80 nm thin) were sputtered onto 50 μm thin PTFE substrates with three different types of treatment: pristine, plasma treated, and plasma treated and subsequently spontaneously grafted with biphenyl-4,4′-dithiol. The characterization of gold nanostructured film electrodes was carried out by examination of the electrode reaction of standard redox probes (ferrocyanide/ferricyanide, hydroquinone/benzoquinone) in different types of supporting electrolytes (BR buffers of various pH, KCl, KNO 3 , H 2 SO 4 ), by exploration of the electrode surface by scanning electron microscopy, by atomic force microscopy accompanied by elementary analysis and contact angle measurements. The testing of electrodes was complemented by an attempt to calculate their real surface areas from Randles-Sevcik equation. All results were compared to conventional bulk gold electrode. The practical applicability of the nanostructured gold electrodes as sensors for the determination of environmental pollutants was verified by voltammetric determination of hydroquinone as a model electrochemically oxidisable organic environmental pollutant.

  20. Characterization of Niobium Oxide Films Deposited by High Target Utilization Sputter Sources

    International Nuclear Information System (INIS)

    Chow, R; Ellis, A D; Loomis, G E; Rana, S I

    2007-01-01

    High quality, refractory metal, oxide coatings are required in a variety of applications such as laser optics, micro-electronic insulating layers, nano-device structures, electro-optic multilayers, sensors and corrosion barriers. A common oxide deposition technique is reactive sputtering because the kinetic mechanism vaporizes almost any solid material in vacuum. Also, the sputtered molecules have higher energies than those generated from thermal evaporation, and so the condensates are smoother and denser than those from thermally-evaporated films. In the typical sputtering system, target erosion is a factor that drives machine availability. In some situations such as nano-layered capacitors, where the device's performance characteristics depends on thick layers, target life becomes a limiting factor on the maximizing device functionality. The keen interest to increase target utilization in sputtering has been addressed in a variety of ways such as target geometry, rotating magnets, and/or shaped magnet arrays. Also, a recent sputtering system has been developed that generates a high density plasma, directs the plasma beam towards the target in a uniform fashion, and erodes the target in a uniform fashion. The purpose of this paper is to characterize and compare niobia films deposited by two types of high target utilization sputtering sources, a rotating magnetron and a high density plasma source. The oxide of interest in this study is niobia because of its high refractive index. The quality of the niobia films were characterized spectroscopically in optical transmission, ellipsometrically, and chemical stoichiometry with X-ray photo-electron spectroscopy. The refractive index, extinction coefficients, Cauchy constants were derived from the ellipsometric modeling. The mechanical properties of coating density and stress are also determined

  1. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  2. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  3. Peculiarities in film growth of ferroelectric complex oxides in ion-plasma sputtering

    International Nuclear Information System (INIS)

    Mukhortov, V.M.; Golovko, Yu.I.; Mukhortov, Vl.M.; Dudkevich, V.P.

    1981-01-01

    Experimental investigation into the process of complex oxide film growth (using BaTiO 3 and (Ba,Sr)TiO 3 as an example) during ion-plasma sputtering has been carried out. It is shown that neutral excited atoms are knocked out of a ceramic target during its ion bombardment. Removing from the target they loss energy at the expence of collisions and at some distance hsub(cr) the oxidation reaction (BaO, TiO, TiO 2 , SrO) becomes possible. So the ''construction'' material comes in either in the form of atoms or in the form of molecules of simple oxides depending on a distance between cathode and substrate. Two mechanisms of synthesis and crystallization distinguished with dependences of growth rate, elementary cell parameters and other structure characteristics on precipitation temperature correspond to two precipitation mechanisms. Part of re-evaporation and reduction processes is discussed [ru

  4. Highly ionized physical vapor deposition plasma source working at very low pressure

    Science.gov (United States)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  5. Highly ionized physical vapor deposition plasma source working at very low pressure

    International Nuclear Information System (INIS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Hippler, R.; Cada, M.; Hubicka, Z.; Tichy, M.

    2012-01-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti + and Ti ++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density n e ∼ 10 18 m -3 , measured during the HiPIMS pulse.

  6. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  7. Production of Au clusters by plasma gas condensation and their incorporation in oxide matrixes by sputtering

    Science.gov (United States)

    Figueiredo, N. M.; Serra, R.; Manninen, N. K.; Cavaleiro, A.

    2018-05-01

    Gold clusters were produced by plasma gas condensation method and studied in great detail for the first time. The influence of argon flow, discharge power applied to the Au target and aggregation chamber length on the size distribution and deposition rate of Au clusters was evaluated. Au clusters with sizes between 5 and 65 nm were deposited with varying deposition rates and size dispersion curves. Nanocomposite Au-TiO2 and Au-Al2O3 coatings were then deposited by alternating sputtering. These coatings were hydrophobic and showed strong colorations due to the surface plasmon resonance effect. By simulating the optical properties of the nanocomposites it was possible to identify each individual contribution to the overall surface plasmon resonance signal. These coatings show great potential to be used as high performance localized surface plasmon resonance sensors or as robust self-cleaning decorative protective layers. The hybrid method used for depositing the nanocomposites offers several advantages over co-sputtering or thermal evaporation processes, since a broader range of particle sizes can be obtained (up to tens of nanometers) without the application of any thermal annealing treatments and the properties of clusters and matrix can be controlled separately.

  8. Molecular dynamics simulation of gold cluster growth during sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abraham, J. W., E-mail: abraham@theo-physik.uni-kiel.de; Bonitz, M., E-mail: bonitz@theo-physik.uni-kiel.de [Institut für Theoretische Physik und Astrophysik, Christian-Albrechts-Universität zu Kiel, Leibnizstraße 15, D-24098 Kiel (Germany); Strunskus, T.; Faupel, F. [Institut für Materialwissenschaft, Lehrstuhl für Materialverbunde, Christian-Albrechts-Universität zu Kiel, Kaiserstraße 2, D-24143 Kiel (Germany)

    2016-05-14

    We present a molecular dynamics simulation scheme that we apply to study the time evolution of the self-organized growth process of metal cluster assemblies formed by sputter-deposited gold atoms on a planar surface. The simulation model incorporates the characteristics of the plasma-assisted deposition process and allows for an investigation over a wide range of deposition parameters. It is used to obtain data for the cluster properties which can directly be compared with recently published experimental data for gold on polystyrene [M. Schwartzkopf et al., ACS Appl. Mater. Interfaces 7, 13547 (2015)]. While good agreement is found between the two, the simulations additionally provide valuable time-dependent real-space data of the surface morphology, some of whose details are hidden in the reciprocal-space scattering images that were used for the experimental analysis.

  9. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  10. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  11. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  12. Very low pressure high power impulse triggered magnetron sputtering

    Science.gov (United States)

    Anders, Andre; Andersson, Joakim

    2013-10-29

    A method and apparatus are described for very low pressure high powered magnetron sputtering of a coating onto a substrate. By the method of this invention, both substrate and coating target material are placed into an evacuable chamber, and the chamber pumped to vacuum. Thereafter a series of high impulse voltage pulses are applied to the target. Nearly simultaneously with each pulse, in one embodiment, a small cathodic arc source of the same material as the target is pulsed, triggering a plasma plume proximate to the surface of the target to thereby initiate the magnetron sputtering process. In another embodiment the plasma plume is generated using a pulsed laser aimed to strike an ablation target material positioned near the magnetron target surface.

  13. Recent advancements in sputter-type heavy negative ion sources

    International Nuclear Information System (INIS)

    Alton, G.D.

    1989-01-01

    Significant advancement have been made in sputter-type negative ion sources which utilize direct surface ionization, or a plasma to form the positive ion beam used to effect sputtering of samples containing the material of interest. Typically, such sources can be used to generate usable beam intensities of a few μA to several mA from all chemically active elements, depending on the particular source and the electron affinity of the element in question. The presentation will include an introduction to the fundamental processes underlying negative ion formation by sputtering from a low work function surface and several sources will be described which reflect the progress made in this technology. 21 refs., 9 figs., 1 tab

  14. Technology and applications of broad-beam ion sources used in sputtering. Part II. Applications

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Kaufman, H.R.

    1982-01-01

    The developments in broad-beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion-beam sputter deposition and the emerging technique of ion-assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion-activated chemical etching is efficient, self-limiting compound layers can be grown, and the physical properties of vapor-deposited films can be modified. In each of these areas, broad ion beam technology provides a link between other large area plasma processes and surface analytical techniques using ion beams

  15. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  16. Characteristics of growth of complex ferroelectric oxide films by plasma-ion sputtering

    Science.gov (United States)

    Mukhortov, V. M.; Golovko, Yu. I.; Mukhortov, Vl. M.; Dudkevich, V. P.

    1981-02-01

    An experimental investigation was made of the process of growth of a complex oxide film, such as BaTiO3 or (Ba, Sr)TiO3, by plasma-ion sputtering. It was found that ion bombardment of a ceramic target knocked out neutral excited atoms. These atoms lost energy away from the target by collisions and at a certain critical distance hcr they were capable of oxidation to produce BaO, TiO, TiO2, and SrO. Therefore, depending on the distance between the cathode and the substrate, the “construction” material arrived in the form of atoms or molecules of simple oxides. These two (atomic and molecular) deposition mechanisms corresponded to two mechanisms of synthesis and crystallization differing in respect of the dependences of the growth rate, unit cell parameters, and other structural properties on the deposition temperature. The role of re-evaporation and of oxidation-reduction processes was analyzed.

  17. DBD plasma assisted combustion for 1D flat flame

    NARCIS (Netherlands)

    Elkholy, A.H.E.

    2015-01-01

    The potential use of non-equilibrium plasma for ignition and combustion control has garnered increasing interest due to the possibility of plasma-assisted approaches for ignition and flame stabilization. During the past decade, significant progress has been made toward understanding the mechanisms

  18. Co-sputtered MoRe as carbon nanotube growth-compatible superconductor

    Energy Technology Data Exchange (ETDEWEB)

    Goetz, Karl; Blien, Stefan; Stiller, Peter; Vavra, Ondrej; Mayer, Thomas; Huber, Thomas; Meier, Thomas; Kronseder, Matthias; Strunk, Christoph; Huettel, Andreas [Institute for Experimental and Applied Physics, University of Regensburg (Germany)

    2016-07-01

    Molybdenum rhenium alloys exhibit superconducting transition temperatures up to 15 K as well as high critical current densities. In addition, the thin films are stable under typical carbon nanotube CVD growth conditions, i.e., a hydrogen/methane atmosphere at 900 C, and form good contacts in nanotube overgrowth. This makes them predestined for experiments integrating ''ultraclean'' carbon nanotube devices into coplanar radiofrequency circuits, towards quantum nano-electromechanics and information processing. MoRe thin films are deposited via co-sputtering of two separate targets. The resulting thin film composition and its controllability is verified via XPS spectroscopy both before and after undergoing nanotube growth conditions. The effects of the high temperature process on surface oxides, carbon content, superconducting critical temperature, magnetic field, and current are characterized. Selecting an optimized alloy composition, we define coplanar waveguide resonators, demonstrating resonant behaviour after CVD at f ∼ 3.. 4 GHz and up to Q{sub i} ∼ 5000. Modelling device properties via Mattis-Bardeen theory combined with substrate two-level systems leads to good agreement with the data.

  19. Deuterium sputtering of Li and Li-O films

    Science.gov (United States)

    Nelson, Andrew; Buzi, Luxherta; Kaita, Robert; Koel, Bruce

    2017-10-01

    Lithium wall coatings have been shown to enhance the operational plasma performance of many fusion devices, including NSTX and other tokamaks, by reducing the global wall recycling coefficient. However, pure lithium surfaces are extremely difficult to maintain in experimental fusion devices due to both inevitable oxidation and codeposition from sputtering of hot plasma facing components. Sputtering of thin lithium and lithium oxide films on a molybdenum target by energetic deuterium ion bombardment was studied in laboratory experiments conducted in a surface science apparatus. A Colutron ion source was used to produce a monoenergetic, mass-selected ion beam. Measurements were made under ultrahigh vacuum conditions as a function of surface temperature (90-520 K) using x-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and temperature programmed desorption (TPD). Results are compared with computer simulations conducted on a temperature-dependent data-calibrated (TRIM) model.

  20. Atomistic self-sputtering mechanisms of rf breakdown in high-gradient linacs

    International Nuclear Information System (INIS)

    Insepov, Z.; Norem, J.; Veitzer, S.

    2010-01-01

    Molecular dynamics (MD) models of sputtering solid and liquid surfaces - including the surfaces charged by interaction with plasma, Coulomb explosion, and Taylor cone formation - were developed. MD simulations of self-sputtering of a crystalline (1 0 0) copper surface by Cu + ions in a wide range of ion energies (50 eV-50 keV) were performed. In order to accommodate energetic ion impacts on a target, a computational model was developed that utilizes MD to simulate rapid atomic collisions in the central impact zone, and a finite-difference method to absorb the energy and shock wave for the collisional processes occurring at a longer time scales. The sputtering yield increases if the surface temperature rises and the surface melts as a result of heat from plasma. Electrostatic charging of the surface under bombardment with plasma ions is another mechanism that can dramatically increase the sputtering yield because it reduces the surface binding energy and the surface tension. An MD model of Taylor cone formation at a sharp tip placed in a high electric field was developed, and the model was used to simulate Taylor cone formation for the first time. Good agreement was obtained between the calculated Taylor cone angle (104.3 deg.) and the experimental one (98.6 deg.). A Coulomb explosion (CE) was proposed as the main surface failure mechanism triggering breakdown, and the dynamics of CE was studied by MD.

  1. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  2. Co-sputtered Mo/Re superconducting coplanar resonators compatible with carbon nanotube growth

    Energy Technology Data Exchange (ETDEWEB)

    Blien, Stefan; Stiller, Peter L.; Goetz, Karl; Vavra, Ondrej; Huber, Thomas; Mayer, Thomas; Strunk, Christoph; Huettel, Andreas K. [Institute for Experimental and Applied Physics, University of Regensburg, 93040 Regensburg (Germany)

    2016-07-01

    Carbon nanotubes are simultaneously prototypical single electron tunneling devices and nano-electromechanical resonators. In particular for ''ultraclean'' devices, where the nanotube is grown in a last fabrication step over pre-existing chip structures, highly regular quantum spectra and high mechanical quality factors emerge. Targeting optomechanical experiments, a coupling of these devices to on-chip superconducting coplanar waveguide resonators is highly desirable. The conditions for in-situ growth of carbon nanotubes over metal contacts are quite detrimental to most superconductors: the CVD growth process takes place in a hydrogen/methane atmosphere heated up to 900 {sup circle} C. We present data on transmission line resonators fabricated of a co-sputtered molybdenum rhenium alloy that withstand CVD and remain superconducting with critical temperatures up to 8K after growth. Resonant operation at cryogenic temperatures is demonstrated, and the behaviour is highly consistent with a combination of Mattis-Bardeen theory and two-level systems in the substrate.

  3. Electron cyclotron resonance heating assisted plasma startup in the Tore Supra tokamak

    International Nuclear Information System (INIS)

    Bucalossi, J.; Hertout, P.; Lennholm, M.; Saint-Laurent, F.; Bouquey, F.; Darbos, C.; Traisnel, E.

    2009-04-01

    ECRH assisted plasma startup at fundamental resonance is investigated in Tore Supra in view of ITER operation. ECRH pre-ionisation is found to be very efficient allowing plasma initiation in a wide range of pre-fill pressure compared to ohmic startup. Reliable assisted startup has been achieved at the ITER reference toroidal electric field (0.3 V/m) with 160 kW of ECRH. Resonance location scan indicates that the plasma is initiated at the resonance location and that the plasma current channel position had to be real-time controlled since the very beginning of the discharge to obtain robust plasma startup. (authors)

  4. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  5. Investigation of the physical properties of ion assisted ZrN thin films deposited by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Signore, M A; Valerini, D; Rizzo, A; Tapfer, L; Capodieci, L; Cappello, A

    2010-01-01

    Ion bombardment during thin film growth is known to cause structural and morphological changes in the deposited films, thus affecting their physical properties. In this work zirconium nitride films have been deposited by the ion assisted magnetron sputtering technique. The ion energy is controlled by varying the voltage applied to the substrate in the range 0-25 V. The deposited ZrN films are characterized for their structure, surface roughness, oxygen contamination, optical reflectance and electrical resistivity. With increasing substrate voltage crystallinity of the films is enhanced with a preferential orientation of the ZrN grains having the (1 1 1) axis perpendicular to the substrate surface. At the same time, a decrease in electrical resistivity and oxygen contamination content is observed up to 20 V. A higher substrate voltage (25 V) causes an inversion in the observed experimental trends. The role of oxygen contamination decrease and generation of nitrogen vacancies due to ionic assistance have been considered as a possible explanation for the experimental results.

  6. Investigation of the physical properties of ion assisted ZrN thin films deposited by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Signore, M A; Valerini, D; Rizzo, A; Tapfer, L; Capodieci, L; Cappello, A [ENEA, Department of Physical Technologies and New Materials, SS7, Appia, km 706, 72100 Brindisi (Italy)

    2010-06-09

    Ion bombardment during thin film growth is known to cause structural and morphological changes in the deposited films, thus affecting their physical properties. In this work zirconium nitride films have been deposited by the ion assisted magnetron sputtering technique. The ion energy is controlled by varying the voltage applied to the substrate in the range 0-25 V. The deposited ZrN films are characterized for their structure, surface roughness, oxygen contamination, optical reflectance and electrical resistivity. With increasing substrate voltage crystallinity of the films is enhanced with a preferential orientation of the ZrN grains having the (1 1 1) axis perpendicular to the substrate surface. At the same time, a decrease in electrical resistivity and oxygen contamination content is observed up to 20 V. A higher substrate voltage (25 V) causes an inversion in the observed experimental trends. The role of oxygen contamination decrease and generation of nitrogen vacancies due to ionic assistance have been considered as a possible explanation for the experimental results.

  7. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  8. Particle porosity at plasma are spraying of metals

    International Nuclear Information System (INIS)

    Petrunichev, V.A.; Koroleva, E.B.; Pushilin, N.P.

    1985-01-01

    Quantitative dependences of porosity and character of pore distribution in particles of different materials on particle size and composition of atmosphere in a working chamber are studied experimentally as applied to the process of plasma wire sputtering. Wires 1.2 mm in diameter made of tungsten, molybdenum, Kh20N80 alloy, and zirconium served as sputtering materials. It is shown that pore size and character of their distribution in particles of powders obtained by the method of plasma wire sputtering are dependent on sizes of forming particles and determined by conditions of their cooling. Intensive porosity formation is characteristic of wire sputtering in argon plasma with nitrogen additions, but there are critical values of nitrogen concentration in plasma, above which intensive porosity formation in forming particles stops

  9. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  10. Plasma assisted combustion of parafin mixture

    International Nuclear Information System (INIS)

    Nedybaliuk, O.A.; Chernyak, V.Ya.; Martysh, E.V.; Lisitchenko, T.E.; Vergun, O.Yu.; Orlovska, S.G.

    2013-01-01

    In this work the results of solid paraffin combustion with the aid of the plasma of transverse and rotational gliding arc studies are represented. The question of the additional activation of paraffin based solid fuels is examined. The mixture of n-paraffin and stearin in the solid state as the model of the solid paraffin based fuel is used. The plasma assisted combustion of this model is experimentally investigated. The voltage-current characteristics of discharge at the different regimes are measured. The population temperatures of excited rotational levels are determined. The flame temperature during the combustion of solid paraffin containing mixture is calculated

  11. High power pulsed magnetron sputtering of transparent conducting oxides

    International Nuclear Information System (INIS)

    Sittinger, V.; Ruske, F.; Werner, W.; Jacobs, C.; Szyszka, B.; Christie, D.J.

    2008-01-01

    High power pulsed magnetron sputtering (HPPMS) has been used in order to study the deposition of transparent conducting oxides. We summarize the studies carried out on different materials (indium tin oxide-ITO and aluminium-doped zinc oxide-AZO) using rather different technological approaches, namely sputtering of ceramic targets and reactive sputtering. For the deposition of AZO reactive HPPMS for metallic targets has been used. A feedback control loop has been implemented in order to stabilize the discharge at any given setpoint on the hysteresis curve. The hysteresis was also found to have a rather untypical form. Reactive HPPMS was found to be a promising tool for obtaining high quality films of low total thickness. In the case of ITO deposition a ceramic target has been used. The process has been characterized in terms of its plasma emission and increasing indium ionization was found for higher peak power densities. The properties of the deposited films were compared to DC sputtered films. While for DC sputtering the choice of oxygen addition and shieldings is crucial for determining surface morphology and resistivity, in HPPMS sputtering peak power density has been found to be a good parameter for influencing the crystal structure. The morphologies obtained differ strongly from those seen in DC sputtering. At high power densities films with low surface roughness and excellent resistivity could be deposited without the use of shieldings

  12. Synthesis of graphene on nickel films by CVD method using methane

    International Nuclear Information System (INIS)

    Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos

    2011-01-01

    Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)

  13. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  14. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  15. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  16. Results of Monte-Carlo studies on backscattering and sputtering from 'pocket' and 'finned' structures

    International Nuclear Information System (INIS)

    Brown, K.P.

    1978-01-01

    A Monte-Carlo computer program which has been developed for studying backscattering and sputtering processes involving high energy particles in complex vacuum structures has been used to show that useful reductions in backscattering and sputtering can be achieved by pocketing or finning the wall surfaces of plasma containment vessels. (author)

  17. Microstructural control of TiC/a-C nanocomposite coatings with pulsed magnetron sputtering

    International Nuclear Information System (INIS)

    Pei, Y.T.; Chen, C.Q.; Shaha, K.P.; De Hosson, J.Th.M.; Bradley, J.W.; Voronin, S.A.; Cada, M.

    2008-01-01

    In this paper, we report some striking results on the microstructural control of TiC/a-C nanocomposite coatings with pulsed direct current (DC) magnetron sputtering. The interface morphology and microstructure evolution as a function of pulse frequency and duty cycle were scrutinized using atomic force microscopy, scanning electron microscopy and high-resolution transmission electron microscopy techniques. It is shown that, with increasing pulse frequency, the nanocomposite coatings exhibit evolutions in morphology of the growing interface from rough to smooth and in the microstructure from strongly columnar to fully columnar-free. In addition, the smoothly growing interface favors the formation of a tailor-made multilayered nanocomposite structure. The fundamental mechanisms are analyzed with the assistance of plasma diagnostic experiments. Ion mass/energy spectrometry measurements reveal that, depending on the frequency and duty cycle of DC pulses, pulsing of the magnetrons can control the flux and energy distribution of Ar + ions over a very broad range for concurrent impingement on the growing interface of deposited coatings, in comparison with DC sputtering. The significantly enhanced energy flux density is thought to be responsible for the 'adatom transfer' in interface smoothening and thus the restraint of columnar growth

  18. Observation of self-sputtering in energetic condensation of metal ions

    International Nuclear Information System (INIS)

    Anders, Andre

    2004-01-01

    The condensation of energetic metal ions on a surface may cause self-sputtering even in the absence of substrate bias. Charge-state-averaged self-sputtering yields were determined for both zirconium and gold ions generated by a cathodic vacuum arc. Films were deposited on differently biased substrates exposed to streaming Zr and Au vacuum arc plasma. The self-sputtering yields for both metals were estimated to be about 0.05 in the absence of bias, and exceeding 0.5 when bias reached-50 V. These surprisingly high values can be reconciled with binary collision theory and molecular dynamics calculations taking high the kinetic and potential energy of vacuum arc ions into account

  19. Sputtering of amorphous carbon layers studied by laser induced fluorescence

    International Nuclear Information System (INIS)

    Pasch, E.

    1992-07-01

    In order to minimize the radiation losses, it is desirable to keep the plasmas in nuclear fusion devices free of high-Z-impurities. Therefore, the walls of TEXTOR and other tokamaks are covered with thin layers of amorphous carbon layers (a-C:H) or amorphous carbon/boron layers (a-C/B:H). The sputtering behaviour of these layers has been studied under bombardment by Ar + ions with energies of 1.5 keV and current densities of a few mA/cm 2 . Investigations of these coatings were carried out with the object to measure the velocity distribution of the sputtered atoms and the sputtered yields by laser induced fluorescence in the vacuum ultraviolet. (orig.)

  20. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  1. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  2. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  3. Low resistivity of Ni–Al co-doped ZnO thin films deposited by DC magnetron sputtering at low sputtering power

    Energy Technology Data Exchange (ETDEWEB)

    Lee, JongWoo [Department of Materials Science and Engineering, Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of); Hui, K.N. [Department of Mechanical Engineering, Hanyang University, 17 Haengdang-dong, Seongdong-gu, Seoul 133-791 (Korea, Republic of); Hui, K.S., E-mail: kshui@hanyang.ac.kr [Department of Mechanical Engineering, Hanyang University, 17 Haengdang-dong, Seongdong-gu, Seoul 133-791 (Korea, Republic of); Cho, Y.R., E-mail: yescho@pusan.ac.kr [Department of Materials Science and Engineering, Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of); Chun, Ho-Hwan [Global Core Research Center for Ships and Offshore Plants (GCRC-SOP), Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of)

    2014-02-28

    Ni–Al co-doped ZnO (NiAl:ZnO) thin films were deposited on glass substrates by DC magnetron sputtering in Ar using a single ceramic, spark-plasma-sintered target with 2 wt% Al and 5 wt% Ni. The effects of the sputtering power and gas pressure on the NiAl:ZnO films were studied. The structural, electrical, and optical properties of the films were characterized by X-ray diffraction, field emission scanning electron microscopy, Hall effect measurements and UV–vis transmission spectroscopy. As the sputtering power and gas pressure increased, the crystallinity, electrical properties and optical band gap of the films were improved. The NiAl:ZnO film deposited at 40 W at 6.0 mTorr had the strongest (0 0 2) XRD peak and the lowest resistivity of approximately 2.19 × 10{sup −3} Ω cm with an optical transmittance of 90%.

  4. Study of carbon impurity generation by chemical sputtering in JT-60U

    International Nuclear Information System (INIS)

    Higashijima, S.; Kubo, H.; Sugie, T.; Shimizu, K.; Asakura, N.; Itami, K.; Hosogane, N.; Sakasai, A.; Konoshima, S.; Sakurai, S.; Takenaga, H.

    1997-01-01

    CD/CH-band intensities emitted from hydrocarbon molecules have been measured in the divertor region of JT-60U and the chemical sputtering yield of methane was estimated as a function of the surface temperature and the deuterium ion flux. The chemical sputtering yield increases with the surface temperature and decreases with increasing ion flux density in the L-mode plasmas. The B 4 C converted CFC tiles are installed in JT-60U and it is found that the chemical sputtering of B 4 C converted CFC tiles is suppressed in comparison to normal CFC tiles. (orig.)

  5. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  6. Niobium-based catalysts prepared by reactive radio-frequency magnetron sputtering and arc plasma methods as non-noble metal cathode catalysts for polymer electrolyte fuel cells

    International Nuclear Information System (INIS)

    Ohnishi, Ryohji; Katayama, Masao; Takanabe, Kazuhiro; Kubota, Jun; Domen, Kazunari

    2010-01-01

    Two vacuum methods, reactive radio-frequency (RF) magnetron sputtering and arc plasma deposition, were used to prepare niobium-based catalysts for an oxygen reduction reaction (ORR) as non-noble metal cathodes for polymer electrode fuel cells (PEFCs). Thin films with various N and O contents, denoted as NbO x and Nb-O-N, were prepared on glassy carbon plates by RF magnetron sputtering with controlled partial pressures of oxygen and nitrogen. Electrochemical measurements indicated that the introduction of the nitrogen species into the thin film resulted in improved ORR activity compared to the oxide-only film. Using an arc plasma method, niobium was deposited on highly oriented pyrolytic graphite (HOPG) substrates, and the sub-nanoscale surface morphology of the deposited particles was investigated using scanning tunneling microscopy (STM). To prepare practical cathode catalysts, niobium was deposited on carbon black (CB) powders by arc plasma method. STM and transmission electron microscopy observations of samples on HOPG and CB indicated that the prepared catalysts were highly dispersed at the atomic level. The onset potential of oxygen reduction on Nb-O-N/CB was 0.86 V vs. a reversible hydrogen electrode, and the apparent current density was drastically improved by the introduction of nitrogen.

  7. ZrN coatings deposited by high power impulse magnetron sputtering and cathodic arc techniques

    Energy Technology Data Exchange (ETDEWEB)

    Purandare, Yashodhan, E-mail: Y.Purandare@shu.ac.uk; Ehiasarian, Arutiun; Hovsepian, Papken [Nanotechnology Centre for PVD Research, Materials and Engineering Research Institute, Sheffield Hallam University, Sheffield S1 1WB (United Kingdom); Santana, Antonio [Ionbond AG Olten, Industriestrasse 211, CH-4600 Olten (Switzerland)

    2014-05-15

    Zirconium nitride (ZrN) coatings were deposited on 1 μm finish high speed steel and 316L stainless steel test coupons. Cathodic Arc (CA) and High Power Impulse Magnetron Sputtering (HIPIMS) + Unbalanced Magnetron Sputtering (UBM) techniques were utilized to deposit coatings. CA plasmas are known to be rich in metal and gas ions of the depositing species as well as macroparticles (droplets) emitted from the arc sports. Combining HIPIMS technique with UBM in the same deposition process facilitated increased ion bombardment on the depositing species during coating growth maintaining high deposition rate. Prior to coating deposition, substrates were pretreated with Zr{sup +} rich plasma, for both arc deposited and HIPIMS deposited coatings, which led to a very high scratch adhesion value (L{sub C2}) of 100 N. Characterization results revealed the overall thickness of the coatings in the range of 2.5 μm with hardness in the range of 30–40 GPa depending on the deposition technique. Cross-sectional transmission electron microscopy and tribological experiments such as dry sliding wear tests and corrosion studies have been utilized to study the effects of ion bombardment on the structure and properties of these coatings. In all the cases, HIPIMS assisted UBM deposited coating fared equal or better than the arc deposited coatings, the reasons being discussed in this paper. Thus H+U coatings provide a good alternative to arc deposited where smooth, dense coatings are required and macrodroplets cannot be tolerated.

  8. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  9. Ion-induced sputtering

    International Nuclear Information System (INIS)

    Yamamura, Yasumichi; Shimizu, Ryuichi; Shimizu, Hazime; Ito, Noriaki.

    1983-01-01

    The research on ion-induced sputtering has been continued for a long time, since a hundred or more years ago. However, it was only in 1969 by Sigmund that the sputtering phenomena were theoretically arranged into the present form. The reason why the importance of sputtering phenomena have been given a new look recently is the application over wide range. This paper is a review centering around the mechanism of causing sputtering and its characteristics. Sputtering is such a phenomenon that the atoms in the vicinity of a solid surface are emitted into vacuum by receiving a part of ion energy, or in other words, it is a kind of irradiation damage in the vicinity of a solid surface. In this meaning, it can be considered that the sputtering based on the ions located on the clean surface of a single element metal is simple, and has already been basically understood. On the contrary, the phenomena can not be considered to be fully understood in the case of alloys and compounds, because these surface conditions under irradiation are not always clear due to segregation and others. In the paper, the physical of sputtering, single element sputtering, the sputtering in alloys and compounds, and the behaviour of emitted particles are explained. Finally, some recent topics of the sputtering measurement by laser resonant excitation, the sputtering by electron excitation, chemical sputtering, and the sputtering in nuclear fusion reactors are described. (Wakatsuki, Y.)

  10. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  11. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  12. Two-dimensional nanoparticle self-assembly using plasma-induced Ostwald ripening

    International Nuclear Information System (INIS)

    Tang, J; Photopoulos, P; Tsoukalas, D; Tserepi, A

    2011-01-01

    In this work, a novel Ag nanoparticle self-assembly process based on plasma-induced two-dimensional Ostwald ripening is demonstrated. Ag nanoparticles are deposited on p-doped Si substrates using a DC magnetron sputtering process. With the assistance of O 2 /Ar plasma treatment, different sizes and patterns of Ag nanoparticles are formed, due to the Ostwald ripening. The evolution of plasma-induced nanoparticle ripening is studied and a clear increase in particle size and a decrease in particle density are observed with increasing plasma treatment. From the experiments, it is concluded that the initial nanoparticle density and the plasma gas mixture (Ar/O 2 ratio) are important factors that affect the ripening process. The proposed plasma-directed Ag nanoparticle self-assembly provides a rapid method of tailoring the nanoparticle distribution on substrates, with potential applications in the fields of solar cells, biosensors, and catalysis.

  13. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  14. Composite SiO.sub.x./sub./hydrocarbon plasma polymer films prepared by RF magnetron sputtering of SiO.sub.2./sub. and polyethylene or polypropylene

    Czech Academy of Sciences Publication Activity Database

    Pihosh, Y.; Biederman, H.; Slavínská, D.; Kousal, J.; Choukourov, A.; Trchová, Miroslava; Macková, Anna; Boldyryeva, Hanna

    2006-01-01

    Roč. 81, 1-4 (2006), s. 32-37 ISSN 0042-207X R&D Projects: GA MŠk 1P05ME754 Institutional research plan: CEZ:AV0Z40500505; CEZ:AV0Z10480505 Keywords : composite films * magnetron * sputtering Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.834, year: 2006

  15. Sputtered catalysts

    International Nuclear Information System (INIS)

    Tyerman, W.J.R.

    1978-01-01

    A method is described for preparing a supported catalyst by a sputtering process. A material that is catalytic, or which is a component of a catalytic system, is sputtered on to the surface of refractory oxide particles that are compatible with the sputtered material and the sputtered particles are consolidated into aggregate form. The oxide particles before sputtering should have a diameter in the range 1000A to 50μ and a porosity less than 0.4 ml/g, and may comprise MgO, Al 2 O 3 or SiO 2 or mixtures of these oxides, including hydraulic cement. The particles may possess catalytic activity by themselves or in combination with the catalytic material deposited on them. Sputtering may be effected epitaxially and consolidation may be effected by compaction pelleting, extrusion or spray drying of a slurry. Examples of the use of such catalysts are given. (U.K.)

  16. Carbon and tungsten effect on characteristics of sputtered and re-deposited beryllium target layers under deuteron bombardment

    International Nuclear Information System (INIS)

    Danelyan, L.S.; Gureev, V.M.; Elistratov, N.G.

    2004-01-01

    The behavior of the plasma facing Be-elements in the International Thermonuclear Experimental Reactor ITER will be affected by the re-deposition of other eroded plasma facing materials. The effect of carbon- and tungsten-additions on the microstructure, chemical composition and hydrogen isotope accumulation in the sputtered and re-deposited layers of beryllium TGP-56 at its interaction with 200 - 300 eV hydrogen isotope ions was studied in the MAGRAS facility equipped with a magnetron sputtering system. (author)

  17. DC Magnetron sputtering of Y-Ba-Cu-O thin films

    International Nuclear Information System (INIS)

    Larsson, Gunnar.

    1990-01-01

    I have been studying dc magnetron sputtering of thin film YBa 2 Cu 3 O 6+x , one of the recently discovered high- temperatures superconductors. In the introduction a brief review of the subjects sputtering and superconductivity is given. Since partial pressure measurements, especially for oxygen, have been important in the work I include a short description of the operating principles of mass spectroscopy. Experimental results in addition to what is given in the papers concerning plasma are presented in an appendix at the end of the introduction. (au)

  18. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  19. Vortex trapping in Pb-alloy Josephson junctions induced by strong sputtering of the base electrode

    International Nuclear Information System (INIS)

    Wada, M.; Nakano, J.; Yanagawa, F.

    1985-01-01

    It is observed that strong rf sputtering of the Pb-alloy base electrodes causes the junctions to trap magnetic vortices and thus induces Josephson current (I/sub J/) suppression. Trapping begins to occur when the rf sputtering that removes the native thermal oxide on the base electrode is carried out prior to rf plasma oxidation. Observed large I/sub J/ suppression is presumably induced by the concentration of vortices into the sputtered area upon cooling the sample below the transition temperature. This suggests a new method of the circumvention of the vortex trapping by strongly rf sputtering the areas of the electrode other than the junction areas

  20. Examining the Potential of Plasma-Assisted Pretreated Wheat Straw for Enzyme Production by Trichoderma reesei

    DEFF Research Database (Denmark)

    Rodríguez Gómez, Divanery; Lehmann, Linda Olkjær; Schultz-Jensen, Nadja

    2012-01-01

    Plasma-assisted pretreated wheat straw was investigated for cellulase and xylanase production by Trichoderma reesei fermentation. Fermentations were conducted with media containing washed and unwashed plasma-assisted pretreated wheat straw as carbon source which was sterilized by autoclavation....... To account for any effects of autoclavation, a comparison was made with unsterilized media containing antibiotics. It was found that unsterilized washed plasma-assisted pretreated wheat straw (which contained antibiotics) was best suited for the production of xylanases (110 IU ml(-1)) and cellulases (0...... other nonrefined feedstocks suggests that plasma pretreated wheat straw is a promising and suitable substrate for cellulase and hemicellulase production....

  1. Radio frequency plasma excitation

    International Nuclear Information System (INIS)

    Burden, M.St.J.; Cross, K.B.

    1979-01-01

    An investigation into the use of rf sputtering for ion cleaning of insulating substrates before ion plating is reported. Initial experiments consisted of sputtering metals with rf power followed by the deposition of copper onto glass slides using rf plasma excitation and biasing supply. It was found that good quality films were obtained by rf ion plating onto plastics with excellent adhesion over a wide operating pressure range. A block schematic of the rf plasma excitation system is shown. (UK)

  2. Plasma-assisted catalytic ionization using porous nickel plate

    International Nuclear Information System (INIS)

    Oohara, W.; Maeda, T.; Higuchi, T.

    2011-01-01

    Hydrogen atomic pair ions, i.e., H + and H - ions, are produced by plasma-assisted catalytic ionization using a porous nickel plate. Positive ions in a hydrogen plasma generated by dc arc discharge are irradiated to the porous plate, and pair ions are produced from the back of the irradiation plane. It becomes clear that the production quantity of pair ions mainly depends on the irradiation current of positive ions and the irradiation energy affects the production efficiency of H - ions.

  3. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  4. Influence of Substrate Biasing on (Ba,Sr)TiO3 Films Prepared by Electron Cyclotron Resonance Plasma Sputtering

    Science.gov (United States)

    Matsumoto, Takeshi; Niino, Atsushi; Ohtsu, Yasunori; Misawa, Tatsuya; Yonesu, Akira; Fujita, Hiroharu; Miyake, Shoji

    2004-03-01

    (Ba,Sr)TiO3 (BST) films were deposited by electron cyclotron resonance (ECR) plasma sputtering with mirror confinement. DC bias voltage was applied to Pt/Ti/SiO2/Si substrates during deposition to vary the intensity of bombardment of energetic ions and to modify film properties. BST films deposited on the substrates at floating potential (approximately +20 V) were found to be amorphous, while films deposited on +40 V-biased substrates were crystalline in spite of a low substrate temperature below 648 K. In addition, atomic diffusion, which causes deterioration in the electrical properties of the films, was hardly observed in the crystallized films deposited with +40 V bias perhaps due to the low substrate temperature. Plasma diagnoses revealed that application of a positive bias to the substrate reduced the energy of ion bombardment and increased the density of excited neutral particles, which was assumed to result in the promotion of chemical reactions during deposition and the crystallization of BST films at a low temperature.

  5. Large-aperture plasma-assisted deposition of inertial confinement fusion laser coatings.

    Science.gov (United States)

    Oliver, James B; Kupinski, Pete; Rigatti, Amy L; Schmid, Ansgar W; Lambropoulos, John C; Papernov, Semyon; Kozlov, Alexei; Spaulding, John; Sadowski, Daniel; Chrzan, Z Roman; Hand, Robert D; Gibson, Desmond R; Brinkley, Ian; Placido, Frank

    2011-03-20

    Plasma-assisted electron-beam evaporation leads to changes in the crystallinity, density, and stresses of thin films. A dual-source plasma system provides stress control of large-aperture, high-fluence coatings used in vacuum for substrates 1m in aperture.

  6. Synthesis and characterization of carbon nanotubes

    Science.gov (United States)

    Ritschel, Manfred; Bartsch, Karl; Leonhardt, Albrecht; Graff, Andreas; Täschner, Christine; Fink, Jörg

    2001-11-01

    The catalytic chemical vapor deposition (CCVD) is a very promising process with respect to large scale production of different kinds of carbon nanostructures. By modifying the deposition temperature, the catalyst material and the hydrocarbon nanofibers with herringbone structure, multi-walled nanotubes with tubular structure and single-walled nanotubes were deposited. Furthermore, layers of aligned multi-walled nanotubes could be obtained on oxidized silicon substrates coated with thin sputtered metal layers (Co, permalloy) as well as onto WC-Co hardmetals by using the microwave assisted plasma CVD process (MWCVD). The obtained carbon modifications were characterized by scanning (SEM) and transmission (TEM) electron microscopy. The hydrogen storage capability of the nanofibers and nanotubes and the electron field emission of the nanotube layers was investigated.

  7. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  8. Plasma calprotectin and its association with cardiovascular disease manifestations, obesity and the metabolic syndrome in type 2 diabetes mellitus patients

    DEFF Research Database (Denmark)

    Pedersen, Lise; Nybo, M.; Poulsen, M. K.

    2014-01-01

    Background: Plasma calprotectin is a potential biomarker of cardiovascular disease (CVD), insulin resistance (IR), and obesity. We examined the relationship between plasma calprotectin concentrations, CVD manifestations and the metabolic syndrome (MetS) in patients with type 2 diabetes mellitus (T2......DM) in order to evaluate plasma calprotectin as a risk assessor of CVD in diabetic patients without known CVD. Methods: An automated immunoassay for determination of plasma calprotectin was developed based on a fecal Calprotectin ELIA, and a reference range was established from 120 healthy adults...... associated with obesity, MetS status, autonomic neuropathy, PAD, and MI. However, plasma calprotectin was not an independent predictor of CVD, MI, autonomic neuropathy or PAD....

  9. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  10. Particle beam experiments for the analysis of reactive sputtering processes in metals and polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Corbella, Carles; Grosse-Kreul, Simon; Kreiter, Oliver; Arcos, Teresa de los; Benedikt, Jan; Keudell, Achim von [RD Plasmas with Complex Interactions, Ruhr-Universität Bochum, Universitätsstr. 150, 44780 Bochum (Germany)

    2013-10-15

    A beam experiment is presented to study heterogeneous reactions relevant to plasma-surface interactions in reactive sputtering applications. Atom and ion sources are focused onto the sample to expose it to quantified beams of oxygen, nitrogen, hydrogen, noble gas ions, and metal vapor. The heterogeneous surface processes are monitored in situ by means of a quartz crystal microbalance and Fourier transform infrared spectroscopy. Two examples illustrate the capabilities of the particle beam setup: oxidation and nitriding of aluminum as a model of target poisoning during reactive magnetron sputtering, and plasma pre-treatment of polymers (PET, PP)

  11. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  12. Structural, optical and electrical peculiarities of r.f. plasma sputtered indium tin oxide films

    International Nuclear Information System (INIS)

    Boycheva, Sylvia; Sytchkova, Anna Krasilnikova; Grilli, Maria Luisa; Piegari, Angela

    2007-01-01

    In this work the influence of the deposition conditions on the structural, electrical and optical properties of the ITO films was studied. Films were deposited by r.f. plasma sputtering technique in Ar and varying Ar + O 2 gas mixtures, with and without substrate heating. Transmittance and reflectance of the films were measured in the range 350-2500 nm; the refractive index (n) and the extinction coefficient (k) were calculated by the spectral data simulation. The sheet resistance of the films was measured by four-point probe method. X-ray diffraction analysis was performed to study the texture of the films. Threshold behaviour was observed in the optical and electrical properties of ITO films deposited in Ar + O 2 atmosphere at a certain oxygen concentration determined by a fix combination of all other deposition conditions. A schematic diagram for the change of the film properties versus composition was suggested, which explains the obtained results

  13. Methods of optimization of reactive sputtering conditions of Al target during AlN films deposition

    Directory of Open Access Journals (Sweden)

    Chodun Rafal

    2015-12-01

    Full Text Available Encouraged by recent studies and considering the well-documented problems occurring during AlN synthesis, we have chosen two diagnostic methods which would enable us to fully control the process of synthesis and characterize the synthesized aluminum nitride films. In our experiment we have compared the results coming from OES measurements of plasma and circulating power characteristics of the power supply with basic features of the deposited layers. The dual magnetron system operating in AC mode was used in our studies. Processes of aluminum target sputtering were carried out in an atmosphere of a mixture of argon and nitrogen. The plasma emission spectra were measured with the use of a monochromator device. Analyses were made by comparing the positions and intensities of spectral lines of the plasma components. The results obtained allowed us to characterize the sputtering process under various conditions of gas mixture compositions as well as power distribution more precisely, which is reported in this work. The measured spectra were related to the deposition rate, the structure morphology of the films and chemical composition. Our work proved that the use of plasma OES and circulating power measurements make possible to control the process of sputtering and synthesis of deposited films in situ.

  14. Isotope puzzle in sputtering

    International Nuclear Information System (INIS)

    Zheng Liping

    1998-01-01

    Mechanisms affecting multicomponent material sputtering are complex. Isotope sputtering is the simplest in the multicomponent materials sputtering. Although only mass effect plays a dominant role in the isotope sputtering, there is still an isotope puzzle in sputtering by ion bombardment. The major arguments are as follows: (1) At the zero fluence, is the isotope enrichment ejection-angle-independent or ejection-angle-dependent? (2) Is the isotope angular effect the primary or the secondary sputter effect? (3) How to understand the action of momentum asymmetry in collision cascade on the isotope sputtering?

  15. RF Reactive Magnetron Sputter Deposition of Silicon Sub-Oxides

    NARCIS (Netherlands)

    Hattum, E.D. van

    2007-01-01

    RF reactive magnetron plasma sputter deposition of silicon sub oxide E.D. van Hattum Department of Physics and Astronomy, Faculty of Sciences, Utrecht University The work described in the thesis has been inspired and stimulated by the use of SiOx layers in the direct inductive printing technology,

  16. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  17. Chemical mechanical polishing characteristics of ITO thin film prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Kang-Yeon; Choi, Gwon-Woo; Kim, Yong-Jae; Choi, Youn-Ok; Kim, Nam-Oh

    2012-01-01

    Indium-tin-oxide (ITO) thin films have attracted intensive interest because of their unique properties of good conductivity, high optical transmittance over the visible region and easy patterning ability. ITO thin films have found many applications in anti-static coatings, thermal heaters, solar cells, flat panel displays (FPDs), liquid crystal displays (LCDs), electroluminescent devices, sensors and organic light-emitting diodes (OLEDs). ITO thin films are generally fabricated by using various methods, such as spraying, chemical vapor deposition (CVD), evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive sputtering. In this research, ITO films were grown on glass substrates by using a radio-frequency (RF) magnetron sputtering method. In order to achieve a high transmittance and a low resistivity, we examined the various film deposition conditions, such as substrate temperature, working pressure, annealing temperature, and deposition time. Next, in order to improve the surface quality of the ITO thin films, we performed a chemical mechanical polishing (CMP) with different process parameters and compared the electrical and the optical properties of the polished ITO thin films. The best CMP conditions with a high removal rate, low nonuniformity, low resistivity and high transmittance were as follows: platen speed, head speed, polishing time, and slurry flow rate of 30 rpm, 30 rpm, 60 sec, and 60 ml/min, respectively.

  18. Kinetic Study of Nonequilibrium Plasma-Assisted Methane Steam Reforming

    Directory of Open Access Journals (Sweden)

    Hongtao Zheng

    2014-01-01

    Full Text Available To develop a detailed reaction mechanism for plasma-assisted methane steam reforming, a comprehensive numerical and experimental study of effect laws on methane conversion and products yield is performed at different steam to methane molar ratio (S/C, residence time s, and reaction temperatures. A CHEMKIN-PRO software with sensitivity analysis module and path flux analysis module was used for simulations. A set of comparisons show that the developed reaction mechanism can accurately predict methane conversion and the trend of products yield in different operating conditions. Using the developed reaction mechanism in plasma-assisted kinetic model, the reaction path flux analysis was carried out. The result shows that CH3 recombination is the limiting reaction for CO production and O is the critical species for CO production. Adding 40 wt.% Ni/SiO2 in discharge region has significantly promoted the yield of H2, CO, or CO2 in dielectric packed bed (DPB reactor. Plasma catalytic hybrid reforming experiment verifies the reaction path flux analysis tentatively.

  19. An EDDY/particle-in-cell simulation of erosion of plasma facing walls bombarded by a collisional plasma

    International Nuclear Information System (INIS)

    Inai, Kensuke; Ohya, Kaoru

    2011-01-01

    To investigate the erosion of a plasma-facing wall intersecting an oblique magnetic field, we performed a kinetic particle-in-cell (PIC) simulation of magnetized plasma, in which collision processes between charged and neutral particles were taken into account. Sheath formation and local physical quantities, such as the incident angle and energy distributions of plasma ions at the wall, were examined at a plasma density of 10 18 m -3 , a temperature of 10 eV, and a magnetic field strength of 5 T. The erosion rate of a carbon wall was calculated using the ion-solid interaction code EDDY. At a high neutral density (>10 20 m -3 ), the impact energy of the ions dropped below the threshold for physical sputtering, so that the sputtering yield was drastically decreased and wall erosion was strongly suppressed. Sputter erosion was also suppressed when the angle of the magnetic field with respect to the surface normal was sufficiently large. (author)

  20. The influence of target oxygen on the YBa2Cu3O6+δ DC Magnetron sputtering process

    International Nuclear Information System (INIS)

    Larsson, G.; Selinder, T.I.; Helmersson, U

    1990-01-01

    The oxygen partial pressure and the target potential have been monitored under a range of process conditions during single target dc magnetron sputtering of Y-Ba-Cu-O. The introduced sputtering gas consisted in all but one instance of pure argon and hence the oxygen present in the plasma originated mainly from the target. During the first hours of sputtering the oxygen partial pressure was of the same magnitude as the argon pressure (3.0 Pa). As the oxygen was released from the target and subsequently removed by pumping, the target potential increased and the film composition became more stoichiometric. After 30-40 hours of sputtering the target potential and the oxygen pressure stabilized and the film composition was equal to that of the stoichiometric target. If an oxygen flow exceeding a critical level was mixed into the sputtering gas the target potential and the deposition rate decreased swiftly. This was due to target oxidation, further manifested in changing plasma and target colours. In some instances the stabilization after 'presputtering' was incomplete and oscillations in target voltage and oxygen partial pressure were observed. The fluctuations made it virtually impossible to obtain stoichiometric films. The oscillative behaviour of the sputtering process is tentatively explained by a target temperature dependent oxygen diffusion. (au)

  1. Clean and polymer-free transfer of CVD-grown graphene films on hexagonal boron nitride substrates

    Science.gov (United States)

    Fujihara, Miho; Ogawa, Shun; Yoshimura, Shintaro; Inoue, Ryosuke; Maniwa, Yutaka; Taniguchi, Takashi; Watanabe, Kenji; Shinohara, Hisanori; Miyata, Yasumitsu

    2017-05-01

    This report describes the development of a solution-assisted, polymer-free transfer method and the characterization of chemical vapor deposition (CVD)-grown graphene on hexagonal boron nitride. Raman analysis reveals that polymer-free samples have small variations in G- and 2D-mode Raman frequencies and are minimally affected by charge doping as observed for clean exfoliated graphene. Electrical measurements indicate that charge doping, hysteresis, and carrier scattering are suppressed in polymer-free samples. The results demonstrate that this method provides a simple and effective way to prepare clean heterostructures of CVD-grown, large-area graphene and other two-dimensional materials.

  2. Effect of growth temperature and precursor concentration on synthesis of CVD-graphene from camphor

    Science.gov (United States)

    Rajaram, Narasimman; Patel, Biren; Ray, Abhijit; Mukhopadhyay, Indrajit

    2018-05-01

    Here, we have synthesized CVD-graphene from camphor by using atmospheric pressure (AP)-CVD system on Cu foil. We have studied the effect of growth temperature and camphor concentration by using scanning electron microscopy (SEM) and Raman spectroscopy. The domain size of the graphene is increasing with an increase in the temperature and camphor quantity. The complete coverage of graphene on the Cu foil achieved at 1020 °C. Higher camphor quantity leads to growth of multilayer graphene. The graphene is transferred by PMMA-assisted method onto the glass substrate. The sheet resistance and transmittance of the graphene are 1.5 kohm/sq and 92.7%, respectively.

  3. Optical study of defects in nanodiamond films grown in linear antenna microwave plasma CVD from H.sub.2./sub./CH.sub.4./sub./CO.sub.2./sub. gas mixture

    Czech Academy of Sciences Publication Activity Database

    Varga, Marián; Remeš, Zdeněk; Babchenko, Oleg; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2635-2639 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GAP108/11/0794; GA ČR GAP205/12/0908; GA MŠk LH12236 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanocrystalline diamond * optical spectroscopy * wide band gap semiconductors * pulsed linear plasma CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  4. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  5. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  6. Solar system sputtering

    Science.gov (United States)

    Tombrello, T. A.

    1982-01-01

    The sites and materials involved in solar system sputtering of planetary surfaces are reviewed, together with existing models for the processes of sputtering. Attention is given to the interaction of the solar wind with planetary atmospheres in terms of the role played by the solar wind in affecting the He-4 budget in the Venus atmosphere, and the erosion and differentiation of the Mars atmosphere by solar wind sputtering. The study is extended to the production of isotopic fractionation and anomalies in interplanetary grains by irradiation, and to erosion effects on planetary satellites with frozen volatile surfaces, such as with Io, Europa, and Ganymede. Further measurements are recommended of the molecular form of the ejected material, the yields and energy spectra of the sputtered products, the iosotopic fractionation sputtering causes, and the possibility of electronic sputtering enhancement with materials such as silicates.

  7. Plasma-assisted ignition and combustion: nanosecond discharges and development of kinetic mechanisms

    Science.gov (United States)

    Starikovskaia, S. M.

    2014-09-01

    This review covers the results obtained in the period 2006-2014 in the field of plasma-assisted combustion, and in particular the results on ignition and combustion triggered or sustained by pulsed nanosecond discharges in different geometries. Some benefits of pulsed high voltage discharges for kinetic study and for applications are demonstrated. The necessity of and the possibility of building a particular kinetic mechanism of plasma-assisted ignition and combustion are discussed. The most sensitive regions of parameters for plasma-combustion kinetic mechanisms are selected. A map of the pressure and temperature parameters (P-T diagram) is suggested, to unify the available data on ignition delay times, ignition lengths and densities of intermediate species reported by different authors.

  8. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  9. Information and consulting center in plasma technologies

    International Nuclear Information System (INIS)

    Vizireanu, S.; Aldea, E.; Mitu, B.; Dinescu, G.

    2001-01-01

    instrumentation and equipment category the most important types of devices used in plasma technologies are described, as for instance, plasma sources (RF, DC, pulsed), vacuum pumps and gauges, methods and programs for computer monitoring and control of plasma systems. In the plasma diagnostics category the most used techniques of spectral and probe investigations are presented. They are not only described, but examples and programs are given, which can be used for spectra simulation (atomic and molecular), for obtaining the rotational and vibrational temperatures, for determination of the electron temperatures and densities in plasma. The main plasma technologies described in the web page are the surface modification by cold plasma, surface cleaning and ashing, plasma polymerization, plasma etching, ion plating, deposition of thin films by sputtering and evaporation, etc. Among them, the technologies developed at the National Institute of Laser, Plasma and Radiation Physics are detailed: deposition of thin films by thermionic vacuum arc; deposition of thin films by sputtering; deposition of carbon based coatings by CVD RF expanding plasma; hard coatings and wear resistant surfaces by plasma nitridation and carburization. The centre web page is under completion with a discussion list allowing easy exchange of ideas, questions and answers. An active offer and service request, accomplished via electronic mail, will be set up. The Centre facilitates a permanent contact between suppliers and customers, which establishes the basis to develop as intermediary of services and products in the plasma technology field. (authors)

  10. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  11. Deposition of silicon oxynitride at room temperature by Inductively Coupled Plasma-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Zambom, Luis da Silva [MPCE-Faculdade de Tecnologia de Sao Paulo - CEETEPS, Pca Coronel Fernando Prestes, 30, Sao Paulo - CEP 01124-060 (Brazil)]. E-mail: zambom@lsi.usp.br; Verdonck, Patrick [PSI-LSI-Escola Politecnica da Universidade de Sao Paulo (Brazil)]. E-mail: patrick@lsi.usp.br

    2006-10-25

    Oxynitride thin films are used in important optical applications and as gate dielectric for MOS devices. Their traditional deposition processes have the drawbacks that high temperatures are needed, high mechanical stresses are induced and the deposition rate is low. Plasma assisted processes may alleviate these problems. In this study, oxynitride films were deposited at room temperature through the chemical reaction of silane, nitrogen and nitrous oxide (N{sub 2}O), in a conventional LPCVD furnace, which was modified into a high density Inductively Coupled Plasma (ICP) reactor. Deposition rates increased with applied coil power and were never lower than 10 nm/min, quite high for room temperature depositions. The films' refractive indexes and FTIR spectra indicate that for processes with low N{sub 2}O gas concentrations, when mixed together with N{sub 2} and SiH{sub 4}, nitrogen was incorporated in the film. This incorporation increased the resistivity, which was up to 70 G{omega} cm, increased the refractive index, from approximately 1.47 to approximately 1.50, and decreased the dielectric constant of these films, which varied in the 4-14 range. These characteristics are adequate for electric applications e.g. for TFT fabrication on glass or polymers which can not stand high temperature steps.

  12. Far-and mid-infrared properties of carbon layers elaborated by plasma sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Rousseau, Benoit, E-mail: benoit.rousseau@univ-nantes.fr [Université de Nantes, CNRS, LTN UMR6607, La Chantrerie, Rue Christian Pauc, B.P. 50609, F-44306 Nantes Cedex 3 (France); Ammar, Mohamed Ramzi; Bormann, Denis; Simon, Patrick [CNRS, CEMHTI UPR3079, Université d' Orléans, F-55071 (France); Rabat, Hervé; Brault, Pascal [Université d' Orléans, CNRS, GREMI UMR7344, BP 6744, F-45067 Orléans Cedex 2 (France)

    2016-12-30

    Highlights: • Magnetron sputtering deposition of columnar, disordered carbon films. • Sputtered carbon films infrared properties are dependent on the local order. • Film texture at the micro-nanoscale explains difference of optical properties. - Abstract: The far-and mid-infrared reflectivity spectra of two carbon layers deposited on pure (100) silicon substrates by DC magnetron sputtering were investigated at room temperature in the 10–5000 cm{sup −1} wavenumber range. Their structural and textural features were also studied by combining Raman spectroscopy, Field Emission Scanning Electron Microscopy (FESEM), High Resolution Transmission Electron Microscopy (HRTEM), X-Ray Reflectivity (XRR) and Rutherford Backscattering Spectroscopy (RBS). The set of results was used to discuss afterwards the influence of the texture on the infrared properties at varying length scale. Thereby, the two layers were found to be heterogeneous as assessed by RBS, XRR and FESEM and their thicknesses had been measured by XRR and FESEM. The information on the structural organization and “crystallite” size was given by Raman spectroscopy. The influence of both the textural and structural parameters on the measured infrared reflectivity spectra was discussed. Finally, a methodology was proposed to recover the intrinsic index of refraction and the intrinsic index of absorption of each layer.

  13. ITO/InP solar cells: A comparison of devices fabricated by ion beam and RF sputtering of the ITO

    Science.gov (United States)

    Coutts, T. J.

    1987-01-01

    This work was performed with the view of elucidating the behavior of indium tin oxide/indium phosphide (ITO/InP) solar cells prepared by RF and ion beam sputtering. It was found that using RF sputter deposition of the ITO always leads to more efficient devices than ion beam sputter deposition. An important aspect of the former technique is the exposure of the single crystal p-InP substrates to a very low plasma power prior to deposition. Substrates treated in this manner have also been used for ion beam deposition of ITO. In this case the cells behave very similarly to the RF deposited cells, thus suggesting that the lower power plasma exposure (LPPE) is the crucial process step.

  14. Ion beam assisted deposition of metal-coatings on beryllium

    International Nuclear Information System (INIS)

    Tashlykov, I.S.; Tul'ev, V.V.

    2015-01-01

    Thin films were applied on beryllium substrates on the basis of metals (Cr, Ti, Cu and W) with method of the ion-assisted deposition in vacuum. Me/Be structures were prepared using 20 kV ions irradiation during deposition on beryllium neutral fraction generated from vacuum arc plasma. Rutherford back scattering and computer simulation RUMP code were applied to investigate the composition of the modified beryllium surface. Researches showed that the superficial structure is formed on beryllium by thickness ~ 50-60 nm. The covering composition includes atoms of the deposited metal (0.5-3.3 at. %), atoms of technological impurity carbon (0.8-1.8 at. %) and oxygen (6.3-9.9 at. %), atoms of beryllium from the substrate. Ion assisted deposition of metals on beryllium substrate is accompanied by radiation enhanced diffusion of metals, oxygen atoms in the substrate, out diffusion of beryllium, carbon atoms in the deposited coating and sputtering film-forming ions assists. (authors)

  15. Flexible Al-doped ZnO films grown on PET substrates using linear facing target sputtering for flexible OLEDs

    International Nuclear Information System (INIS)

    Jeong, Jin-A; Shin, Hyun-Su; Choi, Kwang-Hyuk; Kim, Han-Ki

    2010-01-01

    We report the characteristics of flexible Al-doped zinc oxide (AZO) films prepared by a plasma damage-free linear facing target sputtering (LFTS) system on PET substrates for use as a flexible transparent conducting electrode in flexible organic light-emitting diodes (OLEDs). The electrical, optical and structural properties of LFTS-grown flexible AZO electrodes were investigated as a function of dc power. We obtained a flexible AZO film with a sheet resistance of 39 Ω/□ and an average transmittance of 84.86% in the visible range although it was sputtered at room temperature without activation of the Al dopant. Due to the effective confinement of the high-density plasma between the facing AZO targets, the AZO film was deposited on the PET substrate without plasma damage and substrate heating caused by bombardment of energy particles. Moreover, the flexible OLED fabricated on the AZO/PET substrate showed performance similar to the OLED fabricated on a ITO/PET substrate in spite of a lower work function. This indicates that LFTS is a promising plasma damage-free and low-temperature sputtering technique for deposition of flexible and indium-free AZO electrodes for use in cost-efficient flexible OLEDs.

  16. A Plasma Lens for Magnetron Sputtering

    International Nuclear Information System (INIS)

    Anders, Andre; Brown, Jeff

    2010-01-01

    A plasma lens, consisting of a solenoid and potential-defining ring electrodes, has been placed between a magnetron and substrates to be coated. Photography reveals qualitative information on excitation, ionization, and the transport of plasma to the substrate.

  17. Commercialization of Plasma-Assisted Technologies: The Indian Experience

    Science.gov (United States)

    John, P. I.

    The paper describes an initiative by the Institute for Plasma Research (IPR), India in establishing links with the Indian industry for developing and commercialising advanced plasma-based industrial technologies. This has culminated in the creation of a self-financing technology development, incubation, demonstration and delivery facility. A business plan for converting the knowledge base to commercially viable technologies conceived technology as a product and the industry as the market and addressed issues like resistance to new technologies, the key role of entrepreneur, thrust areas and the necessity of technology incubation and delivery. Success of this strategy is discussed in a few case studies. We conclude by identifying the cost, environmental, strategic and techno-economic aspects, which would be the prime drivers for plasma-assisted manufacturing technology in India.

  18. Nanostructured hydroxyapatite/TiO2 composite coating applied to commercially pure titanium by a co-sputtering technique

    International Nuclear Information System (INIS)

    Lee, Baek-Hee; Koshizaki, Naoto

    2008-01-01

    We demonstrate an approach for the coating of nanostructured hydroxyapatite(HAP)/TiO 2 composite on commercially pure Ti (CP-Ti) by a co-sputtering process. HAP/TiO 2 composite film was obtained by controlling the processing pressure. It was observed that decomposition of HAP into CaO was easily induced during sputtering at 0.53 Pa, a typical sputtering condition for film deposition. However, HAP/TiO 2 composite film was obtained with the sputtering pressure of 2.67 Pa. The Ca/P ratio was nearly maintained at 1.66 by sputter deposition at 2.67 Pa. We further confirmed by analysis of plasma spectral emission that the variation of the hydroxyl (OH) radical present was due to the Ar pressure during sputtering. It has been shown that HAP coatings are dependent on the processing pressure, which the hydroxyl radical requires in order to create HAP

  19. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  20. Sputtering/redeposition analysis of alkali-based tungsten composites for limiter/divertor applications

    International Nuclear Information System (INIS)

    DeWald, A.B.; Krauss, A.R.; Gruen, D.M.; Valentine, M.G.

    1986-07-01

    Composites of porous tungsten infiltrated with alkali metal-bearing alloys have been projected as a means of reducing plasma impurities and sputter erosion in magnetic fusion devices. Self-sustaining alkali metal overlayers have been observed to inhibit erosion of the underlying structural substrate by 2X to 10X. The alkali metal itself, insofar as it sputters as a secondary ion, is trapped at the surface by sheath potential and tangential magnetic fields. Self-regeneration of the alkali metal coating is obtained by thermal and radiation-induced segregation from the bulk

  1. Spatially resolved electron density and electron energy distribution function in Ar magnetron plasmas used for sputter-deposition of ZnO-based thin films

    Energy Technology Data Exchange (ETDEWEB)

    Maaloul, L.; Gangwar, R. K.; Morel, S.; Stafford, L., E-mail: luc.stafford@umontreal.ca [Département de Physique, Université de Montréal, Montréal, Québec H3C 3J7 (Canada)

    2015-11-15

    Langmuir probe and trace rare gases optical emission spectroscopy were used to analyze the spatial structure of the electron density and electron energy distribution function (EEDF) in a cylindrical Ar magnetron plasma reactor used for sputter-deposition of ZnO-based thin films. While a typical Bessel (zero order) diffusion profile was observed along the radial direction for the number density of charged particles at 21 cm from the ZnO target, a significant rise of these populations with respect to the Bessel function was seen in the center of the reactor at 4 cm from the magnetron surface. As for the EEDF, it was found to transform from a more or less Maxwellian far from the target to a two-temperature Maxwellian with a depletion of high-energy electrons where magnetic field confinement effects become important. No significant change in the behavior of the electron density and EEDF across a wide range of pressures (5–100 mTorr) and self-bias voltages (115–300 V) was observed during magnetron sputtering of Zn, ZnO, and In{sub 2}O{sub 3} targets. This indicates that sputtering of Zn, In, and O atoms do not play a very significant role on the electron particle balance and electron heating dynamics, at least over the range of experimental conditions investigated.

  2. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  3. Ion-surface interaction: simulation of plasma-wall interaction (ITER)

    International Nuclear Information System (INIS)

    Salou, Pierre

    2013-01-01

    The wall materials of magnetic confinement in fusion machines are exposed to an aggressive environment; the reactor blanket is bombarded with a high flux of particles extracted from the plasma, leading to the sputtering of surface material. This sputtering causes wall erosion as well as plasma contamination problems. In order to control fusion reactions in complex reactors, it is thus imperative to well understand the plasma-wall interactions. This work proposes the study of the sputtering of fusion relevant materials. We propose to simulate the charged particles influx by few keV single-charged ion beams. This study is based on the catcher method; to avoid any problem of pollution (especially in the case of carbon) we designed a new setup allowing an in situ Auger electron spectroscopy analysis. The results provide the evolution of the angular distribution of the sputtering yield as a function of the ion mass (from helium to xenon) and its energy (from 3 keV to 9 keV). (author) [fr

  4. Surface erosion of fusion reactor components due to radiation blistering and neutron sputtering

    International Nuclear Information System (INIS)

    Das, S.K.; Kaminsky, M.

    1975-01-01

    Radiation blistering and neutron sputtering can lead to the surface erosion of fusion reactor components exposed to plasma radiations. Recent studies of methods to reduce the surface erosion caused by these processes are discussed

  5. Influence of plasma pressure on the growth characteristics and ferroelectric properties of sputter-deposited PZT thin films

    International Nuclear Information System (INIS)

    Bose, A.; Maity, T.; Bysakh, S.; Seal, A.; Sen, Suchitra

    2010-01-01

    PZT thin films of thickness (320-1040) nm were synthesized on Si/SiO 2 /Ti/Pt multilayered substrates by radio frequency magnetron sputtering. The influence of plasma pressure in the range of (0.24-4.9) Pa, during deposition, on the structural, electrical and ferroelectric properties of the PZT films was systematically studied. X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and cross-sectional transmission electron microscopy (XTEM) were employed for structural study. Nano-probe Energy Dispersive (EDX) line scanning was employed to investigate the elemental distribution across the film-bottom electrode interface. I-V characteristics and polarization-electric field (P-E) hysteresis loop of the films were measured. The study reveals that the plasma pressure has a strong influence on the evolution and texture of the ferroelectric perovskite phase and microstructure of the films. At an optimum plasma pressure of 4.1 Pa, PZT films are grown with 93% perovskite phase with (1 1 1) preferred orientation and uniform granular microstructure. These films show a saturation polarization of 67 μC/cm 2 , remnant polarization of 30 μC/cm 2 and coercive field of 28 kV/cm which, according to the literature, seem to be suitable for device applications. Transmission electron microscopy (TEM) study shows that at a plasma pressure of 4.1 Pa, the PZT/bottom Pt interface is sharp and no amorphous interlayer is formed at the interface. At a higher plasma pressure of 4.9 Pa, poor I-V and P-E hysteresis loop are observed which are interpreted as due to an amorphous interlayer at the film-bottom electrode interface which is possibly enriched in Pb, Zr, O and Pt.

  6. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  7. Determination of the oxidation mechanism to Cd Te obtained by rf reactive magnetron sputtering in a plasma of Ar-N{sub 2}O; Determinacion del mecanismo de oxigenacion del CdTe obtenido por rf sputtering reactivo con magnetron en un plasma de Ar-N{sub 2}O

    Energy Technology Data Exchange (ETDEWEB)

    Caballero B, F.; Zapata N, A.; Bartolo P, P.; Castro R, R.; Zapata T, M.; Cauich, W.; Pena, J.L. [Departamento de Fisica Aplicada, Centro deInvestigacion y de Estudios Avanzados, Instituto Politecnico Nacional, Unidad Merida, Apartado postal 73, Cordemex, 97310 Merida, Yucatan (Mexico)

    1998-12-31

    In this work we did studies to determinate the oxidation site and incorporation mechanism of oxygen to Cd Te, when preparing Cd Te:O thin films by r f reactive magnetron sputtering, using a Cd Te target and a controlled plasma of Ar-N{sub 2}O. We study the influence in the oxygen content in films due to the variation of N{sub 2}O partial pressure, plasma power and substrate position. We monitored the process in situ by mass spectrometry to determinate the variation of present compounds when varying the N{sub 2}O partial pressure and plasma power. Thin films composition was determined by Auger electron spectroscopy and their structure by X-ray diffraction. We demonstrate that oxygen incorporation has place mainly in the substrate, forming an amorphous Cd Te:O film. We found that exists Cd Te oxidation without using nitrous oxide, may be due to residual atmosphere. We demonstrate that Cd Te oxidation depends on nitrous oxide partial pressure and plasma power. We found that deposition rate of Cd Te:O thin films depend on nitrous oxide interaction with Cd Te in the target and on the chamber walls. We propose a reaction mechanism to explain the oxygen incorporation to Cd Te. (Author)

  8. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  9. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  10. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    International Nuclear Information System (INIS)

    Saulou, Claire; Despax, Bernard; Raynaud, Patrice; Zanna, Sandrine; Marcus, Philippe; Mercier-Bonin, Muriel

    2009-01-01

    Composite thin films (∼170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH) n -Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag + progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  11. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Saulou, Claire [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France); Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Despax, Bernard; Raynaud, Patrice [Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Zanna, Sandrine; Marcus, Philippe [LPCS, UMR CNRS/ENSCP 7045, 11 rue P. et M. Curie, 75005 Paris (France); Mercier-Bonin, Muriel, E-mail: muriel.mercier-bonin@insa-toulouse.fr [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France)

    2009-11-15

    Composite thin films ({approx}170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH){sub n}-Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag{sup +} progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  12. Reactive sputtering of TiN films at large substrate to target distances

    International Nuclear Information System (INIS)

    Musil, J.; Kadlec, S.

    1990-01-01

    This paper is a critical review of the present status of the magnetron ion sputter plating of thin CiN films. Thus different possibilities of extracting high ion currents 1 s from the magnetron discharge to substrates located not only at standard target to substrate distances d S-T of about 50 mm but also at larger distances d S-T are discussed in detail. Special attention is devoted to magnetron sputtering systems with enhanced ionization, to plasma confinement in the magnetron sputtering systems and to the discharge characteristics of an unbalanced magnetron (UM). It is shown that a UM can be operated in the regime of a double-site-sustained discharge (DSSD) and in this case large 1 s can be extracted to substrates located in large D S-T of about 200 mm and even at high pressures p = 5 Pa. A physical comparison of the conventional magnetron (CM), UM and DSSD is also given. Considerable attention is also devoted to the effect of ion bombardment on properties of TiN films created in the sputtering system using DSSD. (author)

  13. Physics of plasma-wall interactions in controlled fusion

    International Nuclear Information System (INIS)

    Post, D.E.; Behrisch, R.

    1984-01-01

    In the areas of plasma physics, atomic physics, surface physics, bulk material properties and fusion experiments and theory, the following topics are presented: the plasma sheath; plasma flow in the sheath and presheath of a scrape-off layer; probes for plasma edge diagnostics in magnetic confinement fusion devices; atomic and molecular collisions in the plasma boundary; physical sputtering of solids at ion bombardment; chemical sputtering and radiation enhanced sublimation of carbon; ion backscattering from solid surfaces; implantation, retention and release of hydrogen isotopes; surface erosion by electrical arcs; electron emission from solid surfaces;l properties of materials; plasma transport near material boundaries; plasma models for impurity control experiments; neutral particle transport; particle confinement and control in existing tokamaks; limiters and divertor plates; advanced limiters; divertor tokamak experiments; plasma wall interactions in heated plasmas; plasma-wall interactions in tandem mirror machines; and impurity control systems for reactor experiments

  14. Synergetic effects during sputter-assisted depth profiling: growth-dominated topography development on InP and a model of the atomic mechanism

    International Nuclear Information System (INIS)

    Gries, W.H.; Miethe, K.

    1987-01-01

    Growth-dominated extreme topography development on ion-bombarded wafers of InP is reported and is explained in terms of the micro region model presented in summary form. This model postulates the existence of an ion-bombardment-produced ensemble of crystallites and non-crystalline aggregations of atoms (composed of the substrate material, of dopant and of oxygen from the native oxide layer) where the majority of InP micro regions is so small (nanometer dimensions) that most interstitials created in collision events between bombarding ions and atoms of the micro region can reach an interfacial boundary rather than recombine with a vacancy from the same or another collision event. These atoms are then transported via interfacial boundaries and over the surface to screw dislocations where crystal stubs proceed to grow until the damage rate by ion bombardment overtakes the growth rate. Ion-bombardment-induced compressive stresses favour diffusion towards the surface. Temperature transients within micro regions assist both interfacial diffusion and damage repair. The topography is a result of competition between growth and sputtering. Different growth rates cause different topographies. The development of an extreme topography can be suppressed by oxygen flooding of the sputtered surface, by simultaneous electron beam scanning, as well as by Cs + ion bombardment. (Author)

  15. Protective coatings for in-vessel fusion devices

    International Nuclear Information System (INIS)

    Brossa, F.

    1984-01-01

    Coatings of Al/Si, SAP (Sintered Aluminium Powder), Al 2 O 3 , TiC (low-Z material) and Ta have been developed for in-vessel component protection. Anodic oxidation, vapor depositions, reactive sputtering, chemical vapor deposition (CVD) and plasma spray have been the coating formation methods studied. AISI 316, 310, 304, Inconel 600 and Mo were adopted as base materials. the coatings were characterized in terms of composition, structure and connection with the supporting material. The behavior of coatings under H + , D + and He + irradiation in the energy range 100 eV-8 keV was tested and compared to the solid massive samples. TiC and Ta coatings were tested with thermal shock under power density pulses of 1 kW/cm 2 generated by an electron beam gun. Temperature-dependence of the erosion of TiC by vacuum arcs in a magnetic field was also studied. TiC coatings have low sputtering values, good resistance to arcing and a high chemical stability. TiC and Ta, CVD and plasma spray coatings are thermal-shock resistant. High thermal loads produce cracks but no spalling. Destruction occurred only after melting of the base material. The plasma spray coating method seems to be most appropriate for developing remote handling applications in fusion devices. (orig.)

  16. Reduction of residual gas in a sputtering system by auxiliary sputter of rare-earth metal

    International Nuclear Information System (INIS)

    Li Dejie

    2002-01-01

    In film deposition by sputtering, the oxidation and nitrification of the sputtered material lead to degradation of film quality, particularly with respect to metal sulfide films. We propose to use auxiliary sputtering as a method to produce a fresh film of rare-earth metal, usually dysprosium (Dy), that absorbs the active gases in a sputtering system, greatly reducing the background pressure and protecting the film from oxidation and nitrification effectively. The influence of the auxiliary sputtering power consumption, sputtering time, and medium gas pressure on the background pressure in the vacuum chamber is investigated in detail. If the auxiliary sputtering power exceeds 120 W and the sputtering time is more than 4 min, the background pressure is only one fourth of the ultimate pressure pumped by an oil diffusion pump. The absorption activity of the sputtered Dy film continues at least an hour after completion of the auxiliary sputter. Applied to film deposition of Ti and ZnS, this technique has been proven to be effective. For the Ti film, the total content of N and O is reduced from 45% to 20% when the auxiliary sputtering power of Dy is 120 W, and the sputtering time is 20 min. In the case of ZnS, the content of O is reduced from 8% to 2%

  17. Hysteresis behaviour of silver sputtered in different plasma atmospheres at constant flow rates

    International Nuclear Information System (INIS)

    Rizk, A.; Makar, L.N.; Rizk, N.S.; Shinoda, R.

    1990-01-01

    The effects of ion bombardment on sputtering behaviour of pure silver targets in inert and active gas atmospheres were investigated, using a dc planar magnetron sputtering system. The obtained current-voltage characteristics showed the formation of hysteresis loops without noticeable sharp transitions. Redeposited layers of silver nitride or silver oxide on the target surface when using nitrogen or oxygen in the glow discharge, residual ionization when using dry argon atmosphere were considered the main reasons for the occurrence of these loops. The results indicate that films of AgN x and AgO x can be deposited with controlled x in the range 0 ≤ x ≤ 1 using voltage control at constant gas flow rates. (author)

  18. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  19. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  20. Plasma and BIAS Modeling: Self-Consistent Electrostatic Particle-in-Cell with Low-Density Argon Plasma for TiC

    Directory of Open Access Journals (Sweden)

    Jürgen Geiser

    2011-01-01

    processes. In this paper we present a new model taken into account a self-consistent electrostatic-particle in cell model with low density Argon plasma. The collision model are based of Monte Carlo simulations is discussed for DC sputtering in lower pressure regimes. In order to simulate transport phenomena within sputtering processes realistically, a spatial and temporal knowledge of the plasma density and electrostatic field configuration is needed. Due to relatively low plasma densities, continuum fluid equations are not applicable. We propose instead a Particle-in-cell (PIC method, which allows the study of plasma behavior by computing the trajectories of finite-size particles under the action of an external and self-consistent electric field defined in a grid of points.

  1. Sputtering of water ice

    International Nuclear Information System (INIS)

    Baragiola, R.A.; Vidal, R.A.; Svendsen, W.; Schou, J.; Shi, M.; Bahr, D.A.; Atteberrry, C.L.

    2003-01-01

    We present results of a range of experiments of sputtering of water ice together with a guide to the literature. We studied how sputtering depends on the projectile energy and fluence, ice growth temperature, irradiation temperature and external electric fields. We observed luminescence from the decay of H(2p) atoms sputtered by heavy ion impact, but not bulk ice luminescence. Radiolyzed ice does not sputter under 3.7 eV laser irradiation

  2. Cathode and ion-luminescence of Eu:ZnO thin films prepared by reactive magnetron sputtering and plasma decomposition of non-volatile precursors

    Energy Technology Data Exchange (ETDEWEB)

    Gil-Rostra, Jorge [Instituto de Ciencia de Materiales de Sevilla, CSIC, Univ. Sevilla, C/Américo Vespucio 49, E-41092 Sevilla (Spain); Ferrer, Francisco J. [Centro Nacional de Aceleradores, CSIC, Univ. Sevilla, Av. Thomas A. Edison 7, E-41092 Sevilla (Spain); Martín, Inocencio R. [Departamento de Física Fundamental y Experimental, Electrónica y Sistemas, U. La Laguna, C/Astrofísico Francisco Sánchez s/n, E-38206 La Laguna, Santa Cruz de Tenerife (Spain); González-Elipe, Agustín R.; Yubero, Francisco [Instituto de Ciencia de Materiales de Sevilla, CSIC, Univ. Sevilla, C/Américo Vespucio 49, E-41092 Sevilla (Spain)

    2016-10-15

    This paper reports the luminescent behavior of Eu:ZnO thin films prepared by an one-step procedure that combines reactive magnetron sputtering deposition of ZnO with the plasma activated decomposition of a non-volatile acetylacetonate precursor of Eu sublimated in an effusion cell. Chemical composition and microstructure of the Eu:ZnO thin films have been characterized by several methods and their photo-, cathode- and ion-luminescent properties studied as a function of Eu concentration. The high transparency and well controlled optical properties of the films have demonstrated to be ideal for the development of cathode- and ion- luminescence sensors.

  3. Plasma assisted combustion : Interaction of a flat flame with a nanosecond dielectric barrier discharge plasma

    NARCIS (Netherlands)

    Elkholy, A.H.E.; van Oijen, J.A.; de Goey, L.P.H.

    2016-01-01

    Using of non-equilibrium Plasma-assisted for ignition, combustion and high speed flow applications are rapidly developing in the last decades due to its ability to produce a large amount of radicals and excited species. Which has a great potential in flame stabilization and emission control.

  4. Electronic sputtering

    International Nuclear Information System (INIS)

    Johnson, R.E.

    1989-01-01

    Electronic sputtering covers a range of phenomena from electron and photon stimulated desorption from multilayers to fast heavy ion-induced desorption (sputtering) of biomolecules. In this talk the author attempted. Therefore, to connect the detailed studies of argon ejection from solid argon by MeV ions and keV electrons to the sputtering of low temperatures molecular ices by MeV ions then to biomolecule ejection from organic solids. These are related via changing (dE/dx) e , molecular size, and transport processes occurring in materials. In this regard three distinct regions of (dE/dx) e have been identified. Since the talk this picture has been made explicit using a simple spike model for individual impulsive events in which spike interactions are combined linearly. Since that time also the molecular dynamics programs (at Virginia and Uppsala) have quantified both single atom and dimer processes in solid Ar and the momentum transport in large biomolecule sputtering. 5 refs

  5. Diets high in resistant starch increase plasma levels of trimethylamine-N-oxide, a gut microbiome metabolite associated with CVD risk

    Energy Technology Data Exchange (ETDEWEB)

    Bergeron, Nathalie; Williams, Paul T.; Lamendella, Regina; Faghihnia, Nastaran; Grube, Alyssa; Li, Xinmin; Wang, Zeneng; Knight, Rob; Jansson, Janet K.; Hazen, Stanley L.; Krauss, Ronald M.

    2016-12-20

    Production of trimethylamine-N-oxide (TMAO), a biomarker of CVD risk, is dependent on intestinal microbiota, but little is known of dietary conditions promoting changes in gut microbial communities. Resistant starches (RS) alter the human microbiota. We sought to determine whether diets varying in RS and carbohydrate (CHO) content affect plasma TMAO levels. We also assessed postprandial glucose and insulin responses and plasma lipid changes to diets high and low in RS. In a cross-over trial, fifty-two men and women consumed a 2-week baseline diet (41 percentage of energy (%E) CHO, 40 % fat, 19 % protein), followed by 2-week high- and low-RS diets separated by 2-week washouts. RS diets were assigned at random within the context of higher (51–53 %E)v. lower CHO (39–40 %E) intake. Measurements were obtained in the fasting state and, for glucose and insulin, during a meal test matching the composition of the assigned diet. With lower CHO intake, plasma TMAO, carnitine, betaine andγ-butyrobetaine concentrations were higher after the high-v. low-RS diet (P<0·01 each). These metabolites were not differentially affected by highv. low RS when CHO intake was high. Although the high-RS meal reduced postprandial insulin and glucose responses when CHO intake was low (P<0·01 each), RS did not affect fasting lipids, lipoproteins, glucose or insulin irrespective of dietary CHO content. In conclusion, a lower-CHO diet high in RS was associated with higher plasma TMAO levels. These findings, together with the absence of change in fasting lipids, suggest that short-term high-RS diets do not improve markers of cardiometabolic health.

  6. Reactive sputter deposition

    CERN Document Server

    Mahieu, Stijn

    2008-01-01

    In this valuable work, all aspects of the reactive magnetron sputtering process, from the discharge up to the resulting thin film growth, are described in detail, allowing the reader to understand the complete process. Hence, this book gives necessary information for those who want to start with reactive magnetron sputtering, understand and investigate the technique, control their sputtering process and tune their existing process, obtaining the desired thin films.

  7. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  8. Atmospheric pressure plasma-assisted femtosecond laser engraving of aluminium

    Science.gov (United States)

    Gerhard, Christoph; Gimpel, Thomas; Tasche, Daniel; Koch née Hoffmeister, Jennifer; Brückner, Stephan; Flachenecker, Günter; Wieneke, Stephan; Schade, Wolfgang; Viöl, Wolfgang

    2018-05-01

    In this contribution, we report on the impact of direct dielectric barrier discharge argon plasma at atmospheric pressure on femtosecond laser engraving of aluminium. It is shown that the assisting plasma strongly affects the surface geometry and formation of spikes of both laser-engraved single lines and patterns of adjacent lines with an appropriate overlap. Further, it was observed that the overall ablation depth is significantly increased in case of large-scale patterning whereas no notable differences in ablation depth are found for single lines. Several possible mechanisms and underlying effects of this behaviour are suggested. The increase in ablation depth is supposed to be due to a plasma-induced removal of debris particles from the cutting point via charging and oxidation as supported by EDX analysis of the re-solidified debris. Furthermore, the impact of a higher degree of surface wrinkling as well as direct interactions of plasma species with the aluminium surface on the ablation process are discussed.

  9. Plasma-nitriding assisted micro-texturing into stainless steel molds

    Directory of Open Access Journals (Sweden)

    Aizawa Tatsuhiko

    2015-01-01

    Full Text Available Micro-texturing has grown up to be one of the most promising procedures. This related application required for large-area, fine micro-texturing onto the stainless steel mold materials. A new method other than laser-machining, micro-milling or micro-EDM was awaited for further advancement of this micro-texturing. In the present paper, a plasma nitriding assisted micro-texturing was developed to make various kinds of micro-patterns onto the martensitic stainless steels. First, original patterns were printed onto the surface of substrate by using the ink-jet printer. Then, the masked substrate was subjected to high density plasma nitriding; the un-masked surfaces were nitrided to have higher hardness. This nitrided substrate was further treated by sand-blasting to selectively dig the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel substrate was fabricated as a mold to duplicate these micro-patterns onto the work materials. The spatial resolution and depth profile controllability of this plasma nitriding assisted micro-texturing was investigated for variety of initial micro-patterns. The original size and dimension of initial micro-patterns were precisely compared with the three dimensional geometry of micro-textures after blasting treatment. The plastic cover case for smart cellular phones was employed to demonstrate how useful this processing is in practice.

  10. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  11. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  12. Fundamental aspects of cathodic sputtering

    International Nuclear Information System (INIS)

    Harman, R.

    1979-01-01

    The main fundamental aspects and problems of cathodic sputtering used mainly for thin film deposition and sputter etching are discussed. Among many types of known sputtering techniques the radiofrequency /RF/ diode sputtering is the most universal one and is used for deposition of metals, alloys, metallic compounds, semiconductors and insulators. It seems that nowadays the largest number of working sputtering systems is of diode type. Sometimes also the dc or rf triode sputtering systems are used. The problems in these processes are practically equivalent and comparable with the problems in the diode method and therefore our discussion will be, in most cases applicable for both, the diode and triode methods

  13. Sputtering characteristics of B4C-overlaid graphite for keV energy deuterium ion irradiation

    International Nuclear Information System (INIS)

    Gotoh, Y.; Yamaki, T.; Ando, T.; Jimbou, R.; Ogiwara, N.; Saidoh, M.; Teruyama, K.

    1992-01-01

    Two types of B 4 C-overlaid graphite (CFC), conversion and CVD B 4 C, together with bare CFC (PCC-2S) and/or HP B 4 C, were investigated with respect to erosion yields for 1 keV D + , D 2 /CD 4 TDS after 1 keV D + implantation, and thermal diffusivity/conductivity, in a temperature range from 300 to 1400 K. The erosion yields of both conversion and CVD B 4 C were found to be much lower than that of the bare CFC (PCC-2S), in both chemical sputtering (600-1100 K) and RES (1200-1400 K) temperature regions. The D 2 TDS peak of the conversion B 4 C was found to be located at nearly 200 K lower temperature than that of the bare CFC (PCC-2S), indicating much lower activation energy for detrapping/recombination of trapped D in the conversion B 4 C and in the CFC. The CD 4 TDS peak of the conversion B 4 C was found to be much weaker in intensity than that of the bare CFC (PCC-2S), in agreement with the present erosion yield results. Thermal diffusivities and conductivities of both the conversion B 4 C/PCC-2S and the CVD B 4 C, were measured to be nearly 1/10 of that of the bare CFC (PCC-2S), and to decrease with increasing temperatures. (orig.)

  14. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  15. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  16. Discharge Characteristic of VHF-DC Superimposed Magnetron Sputtering System

    Science.gov (United States)

    Toyoda, Hirotaka; Fukuoka, Yushi; Fukui, Takashi; Takada, Noriharu; Sasai, Kensuke

    2014-10-01

    Magnetron plasmas are one of the most important tools for sputter deposition of thin films. However, energetic particles from the sputtered target such as backscattered rare gas atoms or oxygen negative ions from oxide targets sometimes induce physical and chemical damages as well as surface roughening to the deposited film surface during the sputtering processes. To suppress kinetic energy of such particles, superposition of RF or VHF power to the DC power has been investigated. In this study, influence of the VHF power superposition on the DC target voltage, which is important factor to determine kinetic energy of high energy particles, is investigated. In the study, 40 MHz VHF power was superimposed to an ITO target and decrease in the target DC voltage was measured as well as deposited film deposition properties such as deposition rate or electrical conductivity. From systematic measurement of the target voltage, it was revealed that the target voltage can be determined by a very simple parameter, i.e., a ratio of VHF power to the total input power (DC and VHF powers) in spite of the DC discharge current. Part of this work was supported by ASTEP, JST.

  17. Sputtering-growth of seeded Au nanoparticles for nanogap-assisted surface-enhanced Raman scattering (SERS) biosensing

    Science.gov (United States)

    Fu, Chit Yaw; U. S., Dinish; Rautela, Shashi; Goh, Douglas Wenda; Olivo, Malini

    2011-12-01

    Gold-coated array patterned with tightly-packed nanospheres was developed as a substrate base for constructing SERSenriched nanogaps with Au-nanoparticles (GNPs). Using 1,2-ethanedithiol as a linker, Au-NPs (=17-40nm) were anchored covalently on the sphere-array. Thin Au layer was sputtered on the substrate to mask the citrate coating of GNPs that could demote the sensing mechanism. The negatively-charged GNP surface warrants the colloidal stability, but the resulting repulsive force keeps the immobilized NPs apart by about 40nm. The attained gap size is inadequately narrow to sustain any intense enhancement owing to the near-field nature of SERS. Minimal amount of NaCl was then added to slightly perturb the colloidal stability by reducing their surface charge. Notably, the interparticle-gap reduces at increasing amount of salt, giving rise to increased packing density of GNPs. The SERS enhancement is also found to exponentially increase at decreasing gap size. Nevertheless, the minimum gap achieved is limited to merely 7nm. Excessive addition of salt would eventually induce complete aggregation of particles, forming clustered NPs on the array. A simple sputtering-growth approach is therefore proposed to further minimize the interparticle gap by enlarging the seeded NPs based on mild sputtering. The SEM images confirm that the gap below 7nm is achievable. With advent of the colloidal chemistry, the combined salt-induced aggregation and sputtering-growth techniques can be applied to engineer interparticle gap that is crucial to realize an ultrasensitive SERS biosensor. The proposed two-step preparation can be potentially adopted to fabricate the SERS-enriched nanogaps on the microfluidics platform.

  18. Direct sputtering- and electro-deposition of gold coating onto the closed surface of ultralow-density carbon-hydrogen foam cylinder

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Jiaqiu; Yin, Jialing; Zhang, Hao; Yao, Mengqi; Hu, Wencheng, E-mail: huwc@uestc.edu.cn

    2016-12-15

    Highlights: • The surface pores of P(DVB/St) foam cylinder are sealed by CVD method. • Gold film was deposited on the surface of foam cylinder by magnetron sputtering. • Electroless plating was excluded in the present experiments. • The gold coatings were thickened through the electrodeposition process. - Abstract: This work aimed to fabricate a gold coating on the surface of ultralow-density carbon-hydrogen foam cylinder without electroless plating. Poly (divinylbenzene/styrene) foam cylinder was synthetized by high internal phase emulsion, and chemical vapor deposition polymerization approach was used to form a compact poly-p-xylylene film on the foam cylinder. Conducting gold thin films were directly deposited onto the poly-p-xylylene-modified foam cylinder by magnetron sputtering, and electrochemical deposition was adopted to thicken the gold coatings. The micro-structures and morphologies of poly (divinylbenzene/styrene) foam cylinder and gold coating were observed by field-emission scanning electron microscopy. The gold coating content was investigated by energy-dispersive X-ray. The thicknesses of poly-p-xylylene coating and sputtered gold thin-film were approximately 500 and 100 nm, respectively. After electrochemical deposition, the thickness of gold coating increased to 522 nm, and the gold coating achieved a compact and uniform structure.

  19. Investigation of Plasma Facing Components in Plasma Focus Operation

    Science.gov (United States)

    Roshan, M. V.; Babazadeh, A. R.; Kiai, S. M. Sadat; Habibi, H.; Mamarzadeh, M.

    2007-09-01

    Both aspects of the plasma-wall interactions, counter effect of plasma and materials, have been considered in our experiments. The AEOI plasma focus, Dena, has Filippov-type electrodes. The experimental results verify that neutron production increases using tungsten as an anode insert material, compared to the copper one. The experiments show decrement of the hardness of Aluminum targets outward the sides, from 135 to 78 in Vickers scale. The sputtering yield is about 0.0065 for deuteron energy of 50 keV.

  20. Experimental investigation of the energy and temperature dependence of beryllium self sputtering

    International Nuclear Information System (INIS)

    Korshunov, S.N.; Guseva, M.I.; Stolijarova, V.G.

    1995-01-01

    The low-Z metal beryllium is considered as plasma facing material (PFM) for the ITER. It is expected that operation temperature range of beryllium PFM will be (670 - 1070) K. While experimental Be-sputtering data bases exist for H + , D + and He + -ions, the self-sputtering yields of Be have only been estimated by computer simulation. In this paper we report the experimental results on the energy and temperature dependence of the beryllium self-sputtering yield (S). The energy dependence of S s in the energy range (0.5 - 10.0) keV was measured at 670 K. The self-sputtering yield of Be attains its maximal value at the ion energy of 1.5 keV, being equal to 0.32 ± at./ion. Comparison of the experimental results and theoretical prediction shows a good agreement for energy dependence of S s . The temperature dependence of S s in the temperature range (370-1070)K was obtained for 0.9keV Be + -ions. The value of S s is not changed up to 870 K. It sharply increases at the temperatures above 870 attaining the value of 0.75 at./ion at 1070 K

  1. Ion acceleration in non-equilibrium plasmas driven by fast drifting electron

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Di Bartolo, F., E-mail: fdibartolo@unime.it [Università di Messina, V.le F. Stagno D’Alcontres 31, 98166, Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Metodologie Fisiche e Chimiche per L’ingegneria, Viale A.Doria 6, 95125 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F.P. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Anzalone, A.; Celona, L.; Gammino, S. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Di Giugno, R. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Lanaia, D. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Tudisco, S. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy)

    2013-05-01

    We hereby present results on ion acceleration mechanisms in non equilibrium plasmas generated by microwaves or high intensity laser pulses. Experiments point out that in magnetized plasmas X–B conversion takes place for under resonance values of the magnetic field, i.e. an electromagnetic mode is converted into an electrostatic wave. The strong self-generated electric field, of the order of 10{sup 7} V/m, causes a E × B drift which accelerates both ions and electrons, as it is evident by localized sputtering in the plasma chamber. These fields are similar (in magnitude) to the ones obtainable in laser generated plasmas at intensity of 10{sup 12} W/cm{sup 2}. In this latter case, we observe that the acceleration mechanism is driven by electrons drifting much faster than plasma bulk, thus generating an extremely strong electric field ∼10{sup 7} V/m. The two experiments confirm that ions acceleration at low energy is possible with table-top devices and following complementary techniques: i.e. by using microwave-driven (producing CW beams) plasmas, or non-equilibrium laser-driven plasmas (producing pulsed beams). Possible applications involve ion implantation, materials surface modifications, ion beam assisted lithography, etc.

  2. Progress toward a practical Nb--Ge conductor

    International Nuclear Information System (INIS)

    Braginski, A.I.; Gavaler, J.R.; Roland, G.W.; Daniel, M.R.; Janocko, M.A.; Santhanam, A.T.

    1976-01-01

    Properties of high-T/sub c/ Nb--Ge films deposited by sputtering and by chemical vapor deposition (CVD) have been investigated. Results of sputtering in the presence of controlled levels of O 2 , N 2 , Si, and of reactive sputtering in Ar--GeH 4 , suggest that the high-T/sub c/ A15 phase is impurity- or defect-stabilized. In CVD deposits two tetragonal modifications were found: sigma and T2, the latter probably stabilized by Cl 2 . High critical current densities, J/sub c/ (H, T) of fine-grained sputtered films are attributed to flux pinning on A15 grain boundaries. In coarse-grained CVD films high self-field J/sub c/'s, 10 6 to 10 7 A cm -2 at T = 4.2 0 K, are attributed to pinning on dispersed sigma-phase. Comparably high J/sub c/'s were also obtained in CVD A15 films doped with impurities. Low field ac losses p (H, T) were correlated with J/sub c/ and coating geometries. The feasibility of fabricating multifilamentary composite conductors by CVD was demonstrated experimentally and a fabrication process for long Nb 3 Ge CVD tapes is being developed

  3. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  4. Measurement of heavy metals by means of a plasma-assisted method

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.; Oikari, R.

    1995-01-01

    The plasma-assisted measuring device for on-line measurement of alkali metal concentrations in pressurised processes, which has been developed in the Laboratory, will be further developed to provide for simultaneous measurement of heavy metal concentrations. (author)

  5. Structural and optical properties of titanium dioxide films deposited by reactive magnetron sputtering in pure oxygen plasma

    International Nuclear Information System (INIS)

    Asanuma, T.; Matsutani, T.; Liu, C.; Mihara, T.; Kiuchi, M.

    2004-01-01

    Titanium dioxide (TiO 2 ) thin films were deposited on unheated quartz (SiO 2 ) substrates in 'pure oxygen' plasma by reactive radio-frequency (rf) magnetron sputtering. The structural and optical properties of deposited films were systematically studied by changing the deposition parameters, and it was very recently found that crystalline TiO 2 films grew effectively in pure O 2 atmosphere. For TiO 2 films deposited at a rf power P rf of 200 W, x-ray diffraction patterns show the following features: (a) no diffraction peak was observed at a total sputtering pressure p tot of 1.3 Pa; (b) rutile (110) diffraction was observed at 4.0 Pa, (c) the dominant diffraction was from anatase (101) planes, with additional diffraction from (200), under p tot between 6.7 and 13 Pa. For the deposition at 140 W, however, crystalline films with mixed phases were observed only between 4.0 and 6.7 Pa. The peaks of both the deposition rate and the anatase weight ratio for the films produced at 140 W were found at p tot of approximately 6.7 Pa. This suggests that the nucleation and growth of TiO 2 films were affected by the composition, density, and kinetic energy of the particles impinging on the substrate surface. The optical absorption edge analysis showed that the optical band gap E g and the constant B could sensitively detect the film growth behavior, and determine the film structure and optical absorption. The change in the shape of the fundamental absorption edge is considered to reflect the variation of density and the short-range structural modifications

  6. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  7. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  8. Plasma Assisted Ignition and Combustion at Low Initial Gas Temperatures: Development of Kinetic Mechanism

    Science.gov (United States)

    2016-10-05

    R and Pouvesle J M 2009 Experimental study of a compact nanosecond plasma gun Plasma Processes and Polymers 6 795—802 [11] Heinlin J, Morfill G...radially symmetrical geometry. The thickness of the plasma layer in the direction perpendicular to the dielectric plane is about 1 mm. The central coaxial ...Positive and negative polarity discharge at elevated pres- sures Discharge in coaxial geometry has been developed for plasma assisted ignition at high

  9. Erosion/redeposition analysis : status of modeling and code validation for semi-detached tokamak edge plasmas

    International Nuclear Information System (INIS)

    Brooks, J. N.

    1999-01-01

    We are analyzing erosion and tritium codeposition for ITER, DIII-D, and other devices with a focus on carbon divertor and metallic wall sputtering, for detached and semi-detached edge plasmas. Carbon chemical-sputtering hydrocarbon-transport is computed in detail using upgraded models for sputtering yields, species, and atomic and molecular processes. For the DIII-D analysis this includes proton impact and dissociative recombination for the full methane and higher hydrocarbon chains. Several mixed material (Si-C doping and Be/C) effects on erosion are examined. A semi-detached reactor plasma regime yields peak net wall erosion rates of ∼1.0 (Be), ∼0.3 (Fe), and ∼0.01 (W) cm/burn-yr, and ∼50 cm/burn-yr for a carbon divertor. Net carbon erosion is dominated by chemical sputtering in the ∼1-3 eV detached plasma zone. Tritium codeposition in divertor-sputtered redeposited carbon is high (∼10-20 g-T/1000 s ). Silicon and beryllium mixing tends to reduce carbon erosion. Initial hydrocarbon transport calculations for the DIII-D DiMES-73 detached plasma experiment show a broad spectrum of redeposited molecules with ∼90% redeposition fraction

  10. Sputtering of copper atoms by keV atomic and molecular ions A comparison of experiment with analytical and computer based models

    CERN Document Server

    Gillen, D R; Goelich,

    2002-01-01

    Non-resonant multiphoton ionisation combined with quadrupole and time-of-flight analysis has been used to measure energy distributions of sputtered copper atoms. The sputtering of a polycrystalline copper target by 3.6 keV Ar sup + , N sup + and CF sub 2 sup + and 1.8 keV N sup + and CF sub 2 sup + ion bombardment at 45 deg. has been investigated. The linear collision model in the isotropic limit fails to describe the high energy tail of the energy distributions. However the TRIM.SP computer simulation has been shown to provide a good description. The results indicate that an accurate description of sputtering by low energy, molecular ions requires the use of computer simulation rather than analytical approaches. This is particularly important when considering plasma-surface interactions in plasma etching and deposition systems.

  11. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  12. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  13. Ion-assisted functional monolayer coating of nanorod arrays in hydrogen plasmas

    International Nuclear Information System (INIS)

    Tam, E.; Levchenko, I.; Ostrikov, K.; Keidar, M.; Xu, S.

    2007-01-01

    Uniformity of postprocessing of large-area, dense nanostructure arrays is currently one of the greatest challenges in nanoscience and nanofabrication. One of the major issues is to achieve a high level of control in specie fluxes to specific surface areas of the nanostructures. As suggested by the numerical experiments in this work, this goal can be achieved by manipulating microscopic ion fluxes by varying the plasma sheath and nanorod array parameters. The dynamics of ion-assisted deposition of functional monolayer coatings onto two-dimensional carbon nanorod arrays in a hydrogen plasma is simulated by using a multiscale hybrid numerical simulation. The numerical results show evidence of a strong correlation between the aspect ratios and nanopattern positioning of the nanorods, plasma sheath width, and densities and distributions of microscopic ion fluxes. When the spacing between the nanorods and/or their aspect ratios are larger, and/or the plasma sheath is wider, the density of microscopic ion current flowing to each of the individual nanorods increases, thus reducing the time required to apply a functional monolayer coating down to 11 s for a 7-μm-wide sheath, and to 5 s for a 50-μm-wide sheath. The computed monolayer coating development time is consistent with previous experimental reports on plasma-assisted functionalization of related carbon nanostructures [B. N. Khare et al., Appl. Phys. Lett. 81, 5237 (2002)]. The results are generic in that they can be applied to a broader range of plasma-based processes and nanostructures, and contribute to the development of deterministic strategies of postprocessing and functionalization of various nanoarrays for nanoelectronic, biomedical, and other emerging applications

  14. Characteristics of ITO electrode grown by linear facing target sputtering with ladder type magnetic arrangement for organic light emitting diodes

    International Nuclear Information System (INIS)

    Jeong, Jin-A; Kim, Han-Ki; Lee, Jae-Young; Lee, Jung-Hwan; Bae, Hyo-Dae; Tak, Yoon-Heung

    2009-01-01

    The preparation and characteristics of indium tin oxide (ITO) electrodes grown using a specially designed linear facing target sputtering (LFTS) system with a ladder type magnet arrangement for organic light emitting diodes (OLED) are described. It was found that the electrical and optical properties of the ITO electrode were critically dependent on the Ar/O 2 flow ratio, while its structural and surface properties remained fairly constant regardless of the Ar/O 2 flow ratio, due to the low substrate temperature during the plasma damage-free sputtering. Under the optimized conditions, we obtained an ITO electrode with the lowest sheet resistance of 39.4 Ω/sq and high transmittance of 90.1% (550 nm wavelength) at room temperature. This suggests that LFTS is a promising low temperature and plasma damage free sputtering technology for preparing high-quality ITO electrodes for OLEDs and flexible OLEDs at room temperature.

  15. Sputtering. [as deposition technique in mechanical engineering

    Science.gov (United States)

    Spalvins, T.

    1976-01-01

    This paper primarily reviews the potential of using the sputtering process as a deposition technique; however, the manufacturing and sputter etching aspects are also discussed. Since sputtering is not regulated by classical thermodynamics, new multicomponent materials can be developed in any possible chemical composition. The basic mechanism for dc and rf sputtering is described. Sputter-deposition is described in terms of the unique advantageous features it offers such as versatility, momentum transfer, stoichiometry, sputter-etching, target geometry (coating complex surfaces), precise controls, flexibility, ecology, and sputtering rates. Sputtered film characteristics, such as strong adherence and coherence and film morphology, are briefly evaluated in terms of varying the sputtering parameters. Also described are some of the specific industrial areas which are turning to sputter-deposition techniques.

  16. Deposition of thin films by magnetron sputtering molybdenum in samples of pure copper; Deposicao de filmes finos de molibdenio por magnetron sputtering em amostra de cobre puro

    Energy Technology Data Exchange (ETDEWEB)

    Ferreira, N.M.; Almeida, E.O. de; Alves Junior, C. [Universidade Federal do Rio Grande do Norte, Campus Universitario Lagoa Nova, PPGCEM - Natal, RN (Brazil); Lourenco, J.M. [Instituto Federal de Educacao, Ciencias e Tecnologia do Rio Grande do Norte (IFRN), Natal, RN (Brazil)

    2010-07-01

    The deposition surface is a process of thermochemical treatment, which involves the deposition of a thin film usually about one to two microns on a metallic substrate, which constitutes one of the most important surface engineering techniques. The plasma deposition process with the configuration of magnetron sputtering it is removing material from a solid surface (target) through the impact of energetic particles from plasma. The aim of this study is to characterize the microstructure of the material under study using the techniques of optical microscopy and scanning electron microscopy. (author)

  17. Analysis of the plasma impurity influx from alkali-metal coatings for fusion-reactor applications

    International Nuclear Information System (INIS)

    DeWald, A.B.; Davidson, J.N.; Krauss, A.R.; Gruen, D.M.

    1982-01-01

    Recently, it has been proposed that alkali-metal covered surfaces be applied to magnetic fusion devices as a means of controlling plasma impurity contamination and shielding the substrate from erosion. Monolayer films of alkali metals have been shown to sputter primarily as ions under particle bombardment. Thus, it is thought that a sheath potential and/or magnetic fields encountered by a sputtered ion will return the ion to the surface without entering the plasma. In this paper, we investigate the net wall impurity influx associated with coatings which exhibit substantial secondary ion emission as compared to those which sputter only as neutral atoms. Included in the analysis are sputtered substrate atoms. These are sometimes found to be a significant fraction of the total sputtering yield for low-Z alkali monolayers and affect the overall performance of such coatings. Estimates of the impurity influx made in the neighborhood of a sheath potential show that secondary-ion emitting coatings are effective as a means of inhibiting plasma impurity contamination and wall erosion

  18. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  19. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  20. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  1. Fabrication and characterization of flaky core-shell particles by magnetron sputtering silver onto diatomite

    Science.gov (United States)

    Wang, Yuanyuan; Zhang, Deyuan; Cai, Jun

    2016-02-01

    Diatomite has delicate porous structures and various shapes, making them ideal templates for microscopic core-shell particles fabrication. In this study, a new process of magnetron sputtering assisted with photoresist positioning was proposed to fabricate lightweight silver coated porous diatomite with superior coating quality and performance. The diatomite has been treated with different sputtering time to investigate the silver film growing process on the surface. The morphologies, constituents, phase structures and surface roughness of the silver coated diatomite were analyzed with SEM, EDS, XRD and AFM respectively. The results showed that the optimized magnetron sputtering time was 8-16 min, under which the diatomite templates were successfully coated with uniform silver film, which exhibits face centered cubic (fcc) structure, and the initial porous structures were kept. Moreover, this silver coating has lower surface roughness (RMS 4.513 ± 0.2 nm) than that obtained by electroless plating (RMS 15.692 ± 0.5 nm). And the infrared emissivity of coatings made with magnetron sputtering and electroless plating silver coated diatomite can reach to the lowest value of 0.528 and 0.716 respectively.

  2. Effect of sputtering power on structure and properties of Bi film deposited by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Liao Guo; He Zhibing; Xu Hua; Li Jun; Chen Taihua; Chen Jiajun

    2012-01-01

    Bi film was fabricated at different sputtering powers by DC magnetron sputtering. The deposition rate of Bi film as the function of sputtering power was studied. The surface topography of Bi film was observed by SEM, and the growth mode of Bi film was investigated. The crystal structure was analyzed by XRD. The grain size and stress of Bi film were calculated. The SEM images show that all the films are columnar growth. The average grain size firstly increases as the sputtering power increases, then decreases at 60 W. The film becomes loose with the increase of sputtering power, while, the film gets compact when the sputtering power becomes from 45 to 60 W. The XRD results show that films are polycrystalline of hexagonal. And the stress transforms from the tensile stress to compressive stress as the sputtering power increases. (authors)

  3. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  4. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  5. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  6. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  7. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  8. Plasma streams mixing in two-channel t-shaped magnetic filter

    International Nuclear Information System (INIS)

    Aksyonov, D.S.; Aksenov, I.I.; Luchaninov, A.A.; Reshetnyak, E.N.; Strel'nitskij, V.E.

    2011-01-01

    Ti-Al-N films were deposited by vacuum arc method. T-shaped magnetic filter with two channels was used for films preparation. Deposition was performed after aluminum and titanium separate plasma streams from two plasma sources were mixed into single one inside plasma duct having weakened magnetic field near its output. Obtained films have uniform distribution of composition and thickness on 180 mm diameter substrate surface. It was found that mixing and homogenization degree depends on nitrogen pressure, output magnetic field intensity and output- to-substrate distance. Film self-sputtering and aluminum preferential sputtering were observed for elevated negative substrate bias potentials.

  9. Ambient-temperature fabrication of microporous carbon terminated with graphene walls by sputtering process for hydrogen storage applications

    International Nuclear Information System (INIS)

    Banerjee, Arghya Narayan; Joo, Sang Woo; Min, Bong-Ki

    2013-01-01

    A very thin amorphous carbon film (10–30 nm), has been bombarded with sputtered Cr nanoparticles, resulting in inelastic collision between the nanoparticles and the nuclei of the C-atoms causing atom displacement and re-arrangement into graphene layers. The process occurs at ambient temperature. Fabrication of graphitic microporous carbon terminated with few-to-multilayer graphene walls has been verified by Raman spectroscopy and scanning transmission electron microscopy. High resolution transmission electron micrographs reveal that the formation of graphene layers is highly sensitive to the sputtering parameters. With a gradual increase in the sputtering voltage/current density/time from 3.5 kV/40 mA–cm −2 /1.0 min to 5.0 kV/70 mA–cm −2 /3.0 min the graphitic domains are found to transform from semi-graphitized layers to well-defined, highly ordered, larger-area graphene walls within the microporous network. The mechanism of this graphitic microporous carbon formation is assumed to be due to two simultaneous processes: in one hand, the sputtering plasma, containing energetic ions and sub-atomic particles, act as dry-etchant to activate the a:C film to transform it into microporous carbon, whereas on the other hand, the charged metal nanoparticle/ion bombardment under sputtering resulted in the inelastic collision between the nanoparticles/ions and the nuclei of the C atoms followed by atom displacement (and displacement cascade) and re-arrangement into ordered structure to form graphitic domains within the microporous carbon network. H 2 storage experiment of the samples depicts excellent hydrogen storage properties. This simple, cost-effective, complementary-metal-oxide-semiconductor-compatible, single-step process of metal-graphene hybrid nanomaterial formation may find interesting applications in the field of optoelectronics and biotechnology. Additionally, this method can be adopted easily for the incorporation of transition metals into graphene and

  10. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  11. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  12. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.

  13. An analysis of the plasma impurity influx from alkali-metal coatings for fusion reactor applications

    International Nuclear Information System (INIS)

    DeWald, A.B.; Davidson, J.N.; Krauss, A.R.; Gruen, D.M.

    1982-01-01

    Recently, it has been proposed that alkali-metal covered surfaces be applied to magnetic fusion devices as a means of controlling plasma impurity contamination and shielding the substrate from erosion. Monolayer films of alkali metals have been shown to sputter primarily as ions under particle bombardment. Thus, it is thought that a sheath potential and/or magnetic fields encountered by a sputtered ion will return the ion to the surface without entering the plasma. In this paper, we investigate the net wall impurity influx associated with coatings which exhibit substantial secondary ion emission compared with those which sputter only as neutral atoms. Included in the analysis are sputtered substrate atoms. These are sometimes found to be a significant fraction of the total sputtering yield for low-Z alkali monolayers and affect the overall performance of such coatings. Estimates of the impurity influx made in the neighborhood of a sheath potential show that secondary-ion emitting coatings are effective as a means of inhibiting plasma impurity contamination and wall erosion. (orig.)

  14. A global plasma model for reactive deposition of compound films by modulated pulsed power magnetron sputtering discharges

    Science.gov (United States)

    Zheng, B. C.; Wu, Z. L.; Wu, B.; Li, Y. G.; Lei, M. K.

    2017-05-01

    A spatially averaged, time-dependent global plasma model has been developed to describe the reactive deposition of a TiAlSiN thin film by modulated pulsed power magnetron sputtering (MPPMS) discharges in Ar/N2 mixture gas, based on the particle balance and the energy balance in the ionization region, and considering the formation and erosion of the compound at the target surface. The modeling results show that, with increasing the N2 partial pressure from 0% to 40% at a constant working pressure of 0.3 Pa, the electron temperature during the strongly ionized period increases from 4 to 7 eV and the effective power transfer coefficient, which represents the power fraction that effectively heats the electrons and maintains the discharge, increases from about 4% to 7%; with increasing the working pressure from 0.1 to 0.7 Pa at a constant N2 partial pressure of 25%, the electron temperature decreases from 10 to 4 eV and the effective power transfer coefficient decreases from 8% to 5%. Using the modeled plasma parameters to evaluate the kinetic energy of arriving ions, the ion-to-neutral flux ratio of deposited species, and the substrate heating, the variations of process parameters that increase these values lead to an enhanced adatom mobility at the target surface and an increased input energy to the substrate, corresponding to the experimental observation of surface roughness reduction, the microstructure transition from the columnar structure to the dense featureless structure, and the enhancement of phase separation. At higher N2 partial pressure or lower working pressure, the modeling results demonstrate an increase in electron temperature, which shifts the discharge balance of Ti species from Ti+ to Ti2+ and results in a higher return fraction of Ti species, corresponding to the higher Al/Ti ratio of deposited films at these conditions. The modeling results are well correlated with the experimental observation of the composition variation and the microstructure

  15. Microwave plasma CVD of NANO structured tin/carbon composites

    Science.gov (United States)

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  16. Semi-empirical formulas for sputtering yield

    International Nuclear Information System (INIS)

    Yamamura, Yasumichi

    1994-01-01

    When charged particles, electrons, light and so on are irradiated on solid surfaces, the materials are lost from the surfaces, and this phenomenon is called sputtering. In order to understand sputtering phenomenon, the bond energy of atoms on surfaces, the energy given to the vicinity of surfaces and the process of converting the given energy to the energy for releasing atoms must be known. The theories of sputtering and the semi-empirical formulas for evaluating the dependence of sputtering yield on incident energy are explained. The mechanisms of sputtering are that due to collision cascade in the case of heavy ion incidence and that due to surface atom recoil in the case of light ion incidence. The formulas for the sputtering yield of low energy heavy ion sputtering, high energy light ion sputtering and the general case between these extreme cases, and the Matsunami formula are shown. At the stage of the publication of Atomic Data and Nuclear Data Tables in 1984, the data up to 1983 were collected, and about 30 papers published thereafter were added. The experimental data for low Z materials, for example Be, B and C and light ion sputtering data were reported. The combination of ions and target atoms in the collected sputtering data is shown. The new semi-empirical formula by slightly adjusting the Matsunami formula was decided. (K.I.)

  17. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial

    Science.gov (United States)

    Wang, Dong D.; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A.; Willett, Walter C.; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B.; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A.; Hu, Frank B.

    2017-01-01

    Background Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between over-nutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. Methods The study population consisted of 980 participants from the PREDIMED trial, including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases), followed for up to 7.4 years. Participants were randomized to a Mediterranean diet (MedDiet) supplemented with extra-virgin olive oil, a MedDiet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of non-fatal acute myocardial infarction, non-fatal stroke, or cardiovascular death. Hazard Ratios (HRs) were estimated with weighted Cox regression models, using Barlow weights to account for the case-cohort design. Results The multivariable HRs [95% confidence interval (CI)] comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0 and C24:1 ceramides were 2.39 (1.49–3.83, P trend <0.001), 1.91 (1.21–3.01, P trend =0.003), 1.97 (1.21–3.01, P trend =0.004), and 1.73 (1.09–2.74, P trend =0.011), respectively. The ceramide score, calculated as a weighted sum of concentrations of four ceramides, was associated with a 2.18-fold higher risk of CVD across extreme quartiles (HR =2.18, 95% CI, 1.36–3.49, P trend <0.001). The association between baseline ceramide score and incident CVD varied significantly by treatment groups (P interaction =0.010). Participants with a higher ceramide score and assigned to either of the two active intervention arms of the trial showed similar CVD risk to those with a lower ceramide score, whereas participants

  18. On the phase formation of sputtered hafnium oxide and oxynitride films

    International Nuclear Information System (INIS)

    Sarakinos, K.; Music, D.; Mraz, S.; Baben, M. to; Jiang, K.; Nahif, F.; Braun, A.; Zilkens, C.; Schneider, J. M.; Konstantinidis, S.; Renaux, F.; Cossement, D.; Munnik, F.

    2010-01-01

    Hafnium oxynitride films are deposited from a Hf target employing direct current magnetron sputtering in an Ar-O 2 -N 2 atmosphere. It is shown that the presence of N 2 allows for the stabilization of the transition zone between the metallic and the compound sputtering mode enabling deposition of films at well defined conditions of target coverage by varying the O 2 partial pressure. Plasma analysis reveals that this experimental strategy facilitates control over the flux of the O - ions which are generated on the oxidized target surface and accelerated by the negative target potential toward the growing film. An arrangement that enables film growth without O - ion bombardment is also implemented. Moreover, stabilization of the transition sputtering zone and control of the O - ion flux without N 2 addition is achieved employing high power pulsed magnetron sputtering. Structural characterization of the deposited films unambiguously proves that the phase formation of hafnium oxide and hafnium oxynitride films with the crystal structure of HfO 2 is independent from the O - bombardment conditions. Experimental and theoretical data indicate that the presence of vacancies and/or the substitution of O by N atoms in the nonmetal sublattice favor the formation of the cubic and/or the tetragonal HfO 2 crystal structure at the expense of the monoclinic HfO 2 one.

  19. An experiment on the dynamics of ion implantation and sputtering of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B. [Plasma Science and Fusion Center, MIT, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States)

    2014-02-15

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  20. An experiment on the dynamics of ion implantation and sputtering of surfaces

    International Nuclear Information System (INIS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-01-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface

  1. A new SiC/C bulk FGM for fusion reactor

    International Nuclear Information System (INIS)

    Changchun, G.; Anhua, W.; Wenbin, C.; Jiangtao, L.

    2001-01-01

    Graphite is widely used in present Tokamak facilities and a C/C composite has been selected as one of the candidate materials for the ITER. But C-based material has an excessive chemical sputtering yield at 600-1000 K and exhibits irradiation enhanced sublimation at >1200 K under plasma erosion condition, causing serious C-contamination of plasma. Low Z material SiC has several advantages for use in fusion reactor, such as excellent high temperature properties, corrosion resistance, low density, and especially its low activation irradiation. To reduce C contamination during plasma exposure, previously SiC coatings were chemically deposited on the surface of C-substrate, however, the thermal stresses arise on the interface between the coating layers and the substrate under high temperature. Heating/cooling cycle leading to cracks in SiC/C interface, small thickness of coating and long processing time are limiting factors for FGM made with CVD process. In this paper, a new SiC/C bulk FGM has been successfully fabricated with P/M hot pressing process. The chemical sputtering yield, gas desorption performance, thermal shock resistance and physical sputtering performance in Tokamak are outlined in this paper. (author)

  2. Enhanced oxidation of TiO.sub.2./sub. films prepared by high power impulse magnetron sputtering running in metallic mode

    Czech Academy of Sciences Publication Activity Database

    Straňák, V.; Kratochvíl, J.; Olejníček, Jiří; Kšírová, Petra; Sezemsky, P.; Čada, Martin; Hubička, Zdeněk

    2017-01-01

    Roč. 121, č. 17 (2017), s. 1-9, č. článku 171914. ISSN 0021-8979 R&D Projects: GA ČR(CZ) GA15-00863S Institutional support: RVO:68378271 Keywords : sputter deposition * plasma deposition * gas discharges * metallic thin films * probe plasma diagnostics Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.068, year: 2016

  3. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  4. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  5. Investigation of flame structure in plasma-assisted turbulent premixed methane-air flame

    Science.gov (United States)

    Hualei, ZHANG; Liming, HE; Jinlu, YU; Wentao, QI; Gaocheng, CHEN

    2018-02-01

    The mechanism of plasma-assisted combustion at increasing discharge voltage is investigated in detail at two distinctive system schemes (pretreatment of reactants and direct in situ discharge). OH-planar laser-induced fluorescence (PLIF) technique is used to diagnose the turbulent structure methane-air flame, and the experimental apparatus consists of dump burner, plasma-generating system, gas supply system and OH-PLIF system. Results have shown that the effect of pretreatment of reactants on flame can be categorized into three regimes: regime I for voltage lower than 6.6 kV; regime II for voltage between 6.6 and 11.1 kV; and regime III for voltage between 11.1 and 12.5 kV. In regime I, aerodynamic effect and slower oxidation of higher hydrocarbons generated around the inner electrode tip plays a dominate role, while in regime III, the temperature rising effect will probably superimpose on the chemical effect and amplify it. For wire-cylinder dielectric barrier discharge reactor with spatially uneven electric field, the amount of radicals and hydrocarbons are decreased monotonically in radial direction which affects the flame shape. With regard to in situ plasma discharge in flames, the discharge pattern changes from streamer type to glow type. Compared with the case of reactants pretreatment, the flame propagates further in the upstream direction. In the discharge region, the OH intensity is highest for in situ plasma assisted combustion, indicating that the plasma energy is coupled into flame reaction zone.

  6. The statistics of sputtering

    International Nuclear Information System (INIS)

    Robinson, M.T.

    1993-01-01

    The MARLOWE program was used to study the statistics of sputtering on the example of 1- to 100-keV Au atoms normally incident on static (001) and (111) Au crystals. The yield of sputtered atoms was examined as a function of the impact point of the incident particles (''ions'') on the target surfaces. There were variations on two scales. The effects of the axial and planar channeling of the ions could be traced, the details depending on the orientation of the target and the energies of the ions. Locally, the sputtering yield was very sensitive to the impact point, small changes in position often producing large changes yield. Results indicate strongly that the sputtering yield is a random (''chaotic'') function of the impact point

  7. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  8. Formation and stability of sputtered clusters

    International Nuclear Information System (INIS)

    Andersen, H.H.

    1989-01-01

    Current theory for the formation of sputtered clusters states that either atoms are sputtered individually and aggregate after having left the surface or they are sputtered as complete clusters. There is no totally sharp boundary between the two interpretations, but experimental evidence is mainly thought to favour the latter model. Both theories demand a criterion for the stability of the clusters. In computer simulations of sputtering, the idea has been to use the same interaction potential as in the lattice computations to judge the stability. More qualitatively, simple geometrical shapes have also been looked for. It is found here, that evidence for 'magic numbers' and electron parity effects in clusters have existed in the sputtering literature for a long time, making more sophisticated stability criteria necessary. The breakdown of originally sputtered metastable clusters into stable clusters gives strong support to the 'sputtered as clusters' hypothesis. (author)

  9. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  10. Bibliography on plasma-wall interactions

    International Nuclear Information System (INIS)

    Okano, J.

    1980-05-01

    Bibliography is compiled for the following subjects: (1) Plasma-wall interactions, general, (2) Sputtering, (3) Chemical sputtering, (4) Blistering, (5) Electron-impact desorption, (6) Thermal desorption and photo-desorption, (7) Emission of secondary electrons and ions, emission of photoelectrons, and material for getters, (8) Gas release and trapping, (9) Approach from surface diagnostics (review). The compilation has not been intended to be complete, but to give a first step toward a further study of the respective subjects. (author)

  11. Plasma copeptin as marker of cardiovascular disease in asymptomatic type 2 diabetes patients

    DEFF Research Database (Denmark)

    Bar-Shalom, Dana; Poulsen, Mikael K; Rasmussen, Lars M

    2014-01-01

    Recently, copeptin was found associated with cardiovascular disease (CVD) and all-cause mortality in type 2 diabetes mellitus (T2DM) patients treated in primary care. This study aimed to evaluate whether plasma copeptin correlated to CVD in asymptomatic T2DM patients intensively investigated....... A variety of clinical investigations were performed, including blood pressure measurements, carotid intima media thickness evaluation and myocardial perfusion scintigraphy. Blood sample analyses included copeptin measurements. Median plasma copeptin concentrations were similar in the T2DM group...... for sub-clinical CVD. A total of 302 T2DM patients referred to the Diabetes Clinic at Odense University Hospital, Denmark, entered the study. None of the patients had known or suspected CVD. As a control group, 30 healthy adults were recruited from the DanRisk study - a random sample of middle-aged Danes...

  12. Plasma and Ion Sources in Large Area Coatings: A Review

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2005-02-28

    Efficient deposition of high-quality coatings often requires controlled application of excited or ionized particles. These particles are either condensing (film-forming) or assisting by providing energy and momentum to the film growth process, resulting in densification, sputtering/etching, modification of stress, roughness, texture, etc. In this review, the technical means are surveyed enabling large area application of ions and plasmas, with ion energies ranging from a few eV to a few keV. Both semiconductortype large area (single wafer or batch processing with {approx} 1000 cm{sup 2}) and in-line web and glass-coating-type large area (> 10{sup 7} m{sup 2} annually) are considered. Characteristics and differences between plasma and ion sources are explained. The latter include gridded and gridless sources. Many examples are given, including sources based on DC, RF, and microwave discharges, some with special geometries like hollow cathodes and E x B configurations.

  13. Plasma-assisted ohmic contact for AlGaN/GaN heterostructure field-effect transistors

    International Nuclear Information System (INIS)

    Zhang, Jiaqi; Wang, Lei; Wang, Qingpeng; Jiang, Ying; Li, Liuan; Ao, Jin-Ping; Zhu, Huichao

    2016-01-01

    An Al-based ohmic process assisted by an inductively coupled plasma (ICP) recess treatment is proposed for AlGaN/GaN heterostructure field-effect transistors (HFETs) to realize ohmic contact, which is only needed to anneal at 500 °C. The recess treatment was done with SiCl 4 plasma with 100 W ICP power for 20 s and annealing at 575 °C for 1 min. Under these conditions, contact resistance of 0.52 Ωmm was confirmed. To suppress the ball-up phenomenon and improve the surface morphology, an Al/TiN structure was also fabricated with the same conditions. The contact resistance was further improved to 0.30 Ωmm. By using this plasma-assisted ohmic process, a gate-first HFET was fabricated. The device showed high drain current density and high transconductance. The leakage current of the TiN-gate device decreased to 10 −9 A, which was 5 orders of magnitude lower than that of the device annealed at 800 °C. The results showed that the low-temperature ohmic contact process assisted by ICP treatment is promising for the fabrication of gate-first and self-aligned gate HFETs. (paper)

  14. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  15. Growth and characterization of nanodiamond layers prepared using plasma enhanced linear antennas microwave CVD system

    Czech Academy of Sciences Publication Activity Database

    Fendrych, František; Taylor, Andrew; Peksa, Ladislav; Kratochvílová, Irena; Vlček, J.; Řezáčová, V.; Petrák, V.; Kluiber, Z.; Fekete, Ladislav; Liehr, M.; Nesládek, M.

    2010-01-01

    Roč. 43, č. 37 (2010), 374018/1-374018/6 ISSN 0022-3727 R&D Projects: GA AV ČR KAN200100801; GA AV ČR KAN300100801; GA AV ČR KAN301370701 Institutional research plan: CEZ:AV0Z10100520 Keywords : nanodiamond, * thin films * PE MW CVD * linear antennas Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.105, year: 2010

  16. Transport theory of sputtering I: Depth of origin of sputtered atoms

    International Nuclear Information System (INIS)

    Zhang, Z.L.

    1999-01-01

    Sputter theory employing a sum of two power cross sections has been implemented. Compared with the well known Lindhard power cross section (V∝r -1/m ), a sum of two such cross sections can give a much better approximation to the Born-Mayer scattering in the low energy region (m ∼ 0.1). By using both one and two power cross sections, we have solved the linear transport equations describing the sputtering problem asymptotically. As usual, electronic stopping is ignored in the analysis. It has further been proved that Falcone's theory of the atom ejection process contradicts transport theory. The Andersen-Sigmund relation for partial sputtering yield ratios between two elements in an arbitrary multicomponent target has been derived by both methods. The energy deposited in the target surface layers has been computed for a few typical ion-target combinations. The numerical curves show that both theories generate almost the same results (error <10%) for m=3D0.2. It is also shown that, if the sputtering yield equals the corresponding one in Sigmund's theory, the depth of origin of sputtered atoms must be shorter than in Sigmund's theory for 0.25 m ≥ 3D 0. The former even may be only about one half of the latter as long as m=3D0. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  17. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  18. Time-resolved investigation of dual high power impulse magnetron sputtering with closed magnetic field during deposition of Ti-Cu thin films

    International Nuclear Information System (INIS)

    Stranak, Vitezslav; Hippler, Rainer; Cada, Martin; Hubicka, Zdenek; Tichy, Milan

    2010-01-01

    Time-resolved comparative study of dual magnetron sputtering (dual-MS) and dual high power impulse magnetron sputtering (dual-HiPIMS) systems arranged with closed magnetic field is presented. The dual-MS system was operated with a repetition frequency 4.65 kHz (duty cycle ≅50%). The frequency during dual-HiPIMS is lower as well as its duty cycle (f=100 Hz, duty 1%). Different metallic targets (Ti, Cu) and different cathode voltages were applied to get required stoichiometry of Ti-Cu thin films. The plasma parameters of the interspace between magnetrons in the substrate position were investigated by time-resolved optical emission spectroscopy, Langmuir probe technique, and measurement of ion fluxes to the substrate. It is shown that plasma density as well as ion flux is higher about two orders of magnitude in dual-HiPIMS system. This fact is partially caused by low diffusion of ionized sputtered particles (Ti + ,Cu + ) which creates a preionized medium.

  19. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  20. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  1. Estimation of magnetic relaxation property for CVD processed YBCO-coated conductors

    International Nuclear Information System (INIS)

    Takahashi, Y.; Kiuchi, M.; Otabe, E.S.; Matsushita, T.; Shikimachi, K.; Watanabe, T.; Kashima, N.; Nagaya, S.

    2010-01-01

    Ion Beam Assist Deposition/Chemical Vapor Deposition(IBAD/CVD)-processed YBCO-coated conductors with high critical current density J c at high magnetic fields are expected to be applied to superconducting equipments such as superconducting magnetic energy storage (SMES). For application to superconducting magnet in SMES one of the most important properties for superconductors is the relaxation property of superconducting current. In this paper, the relaxation property is investigated for IBAD/CVD-processed YBCO-coated conductors of the superconducting layer in the range of 0.18-0.90 μm. This property can be quantitatively characterized by the apparent pinning potential, U 0 *. It is found that U 0 * takes a smaller value due to the two-dimensional pinning mechanism at high magnetic fields for conductor with thinner superconducting layer. Although U 0 * decreases with increasing thickness at low magnetic fields at 20 K, it increases at high magnetic fields. The results are theoretically explained by the model of the flux creep and flow based on the dimensionality of flux pinning. Scaling analysis is examined for the dependence of U 0 * on the magnetic field, temperature and the layer thickness.

  2. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  3. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  4. Low temperature growth of Co{sub 2}MnSi films on diamond semiconductors by ion-beam assisted sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Nishiwaki, M.; Ueda, K., E-mail: k-ueda@numse.nagoya-u.ac.jp; Asano, H. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-05-07

    High quality Schottky junctions using Co{sub 2}MnSi/diamond heterostructures were fabricated. Low temperature growth at ∼300–400 °C by using ion-beam assisted sputtering (IBAS) was necessary to obtain abrupt Co{sub 2}MnSi/diamond interfaces. Only the Co{sub 2}MnSi films formed at ∼300–400 °C showed both saturation magnetization comparable to the bulk values and large negative anisotropic magnetoresistance, which suggests half-metallic nature of the Co{sub 2}MnSi films, of ∼0.3% at 10 K. Schottky junctions formed using the Co{sub 2}MnSi films showed clear rectification properties with rectification ratio of more than 10{sup 7} with Schottky barrier heights of ∼0.8 eV and ideality factors (n) of ∼1.2. These results indicate that Co{sub 2}MnSi films formed at ∼300–400 °C by IBAS are a promising spin source for spin injection into diamond semiconductors.

  5. The capability of plasma osteoprotegerin as a predictor of cardiovascular disease: a systematic literature review

    DEFF Research Database (Denmark)

    Nybo, Mads; Rasmussen, Lars M

    2008-01-01

    OBJECTIVE: Osteoprotegerin (OPG) strongly inhibits bone resorption and may also serve as a vascular calcification inhibitor. However, recent studies have indicated that high plasma OPG is a strong predictor of cardiovascular disease (CVD) and mortality. To evaluate this capability, the data...... to the existing markers of CVD and mortality in high-risk populations. Hazard ratios emphasized the significant correlation between plasma OPG concentration and mortality. Due to methodological problems (e.g., population investigated, measurement principle, and statistics performed), meta-analysis could...... not be performed. As only one study was conducted in a healthy cohort, the results cannot per se be extrapolated to the general population. CONCLUSION: The combined results support plasma OPG as an independent predictor of CVD and mortality in high-risk populations. However, more longitudinal studies in general...

  6. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  7. Particle-balance models for pulsed sputtering magnetrons

    Science.gov (United States)

    Huo, Chunqing; Lundin, D.; Gudmundsson, J. T.; Raadu, M. A.; Bradley, J. W.; Brenning, N.

    2017-09-01

    The time-dependent plasma discharge ionization region model (IRM) has been under continuous development during the past decade and used in several studies of the ionization region of high-power impulse magnetron sputtering (HiPIMS) discharges. In the present work, a complete description of the most recent version of the IRM is given, which includes improvements, such as allowing for returning of the working gas atoms from the target, a separate treatment of hot secondary electrons, addition of doubly charged metal ions, etc. To show the general applicability of the IRM, two different HiPIMS discharges are investigated. The first set concerns 400 μs long discharge pulses applied to an Al target in an Ar atmosphere at 1.8 Pa. The second set focuses on 100 μs long discharge pulses applied to a Ti target in an Ar atmosphere at 0.54 Pa, and explores the effects of varying the magnetic field strength. The model results show that Al2+ -ions contribute negligibly to the production of secondary electrons, while Ti2+ -ions effectively contribute to the production of secondary electrons. Similarly, the model results show that for an argon discharge with Al target the contribution of Al+-ions to the discharge current at the target surface is over 90% at 800 V. However, at 400 V the Al+-ions and Ar+-ions contribute roughly equally to the discharge current in the initial peak, while in the plateau region Ar+-ions contribute to roughly \\frac{2}{3} of the current. For high currents the discharge with Al target develops almost pure self-sputter recycling, while the discharge with Ti target exhibits close to a 50/50 combination of self-sputter recycling and working gas-recycling. For a Ti target, a self-sputter yield significantly below unity makes working gas-recycling necessary at high currents. For the discharge with Ti target, a decrease in the B-field strength, resulted in a corresponding stepwise increase in the discharge resistivity.

  8. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  9. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  10. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Min [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); Jang, Sung Kyu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Song, Young Jae [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Department of Physics, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of); Lee, Sungjoo, E-mail: leesj@skku.edu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); College of Information and Communication Engineering, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of)

    2015-01-15

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO{sub 2}, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems.

  11. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    International Nuclear Information System (INIS)

    Wang, Min; Jang, Sung Kyu; Song, Young Jae; Lee, Sungjoo

    2015-01-01

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO 2 , show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems

  12. Effect of Carbon Concentration on the Sputtering of Carbon-Rich SiC Bombarded by Helium Ions

    Directory of Open Access Journals (Sweden)

    Xinghao Liang

    2018-02-01

    Full Text Available Silicon carbide (SiC is considered as an important material for nuclear engineering due to its excellent properties. Changing the carbon content in SiC can regulate and control its elastic and thermodynamic properties, but a simulation study of the effect of carbon content on the sputtering (caused by the helium ions of SiC is still lacking. In this work, we used the Monte-Carlo and molecular dynamics simulation methods to study the effects of carbon concentration, incidence energy, incident angle, and target temperature on the sputtering yield of SiC. The results show that the incident ions’ energy and angle have a significant effect on sputtering yield of SiC when the carbon concentration in SiC is around 62 at %, while the target temperature has a little effect on the sputtering yield of SiC. Our work might provide theoretical support for the experimental research and engineering application of carbon fiber-reinforced SiC that be used as the plasma-facing material in tokamak fusion reactors.

  13. High plasma homocyst(e)ine levels in elderly Japanese patients are associated with increased cardiovascular disease risk independently from markers of coagulation activation and endothelial cell damage.

    Science.gov (United States)

    Kario, K; Duell, P B; Matsuo, T; Sakata, T; Kato, H; Shimada, K; Miyata, T

    2001-08-01

    Elevated plasma homocyst(e)ine is a risk factor for cardiovascular disease (CVD) in many populations, but the relationship between homocyst(e)ine and CVD in Japanese subjects has been unclear. It has been hypothesized that the link between homocyst(e)ine and CVD may be mediated in part by activation of coagulation and endothelial cell injury in the elderly Japanese subjects. To further evaluate this hypothesis, the present cross-sectional study was designed to assess the relationships among plasma homocyst(e)ine concentrations, risk of CVD, and markers of coagulation (fibrinogen, FVII, F1+2, FVIIa and FXIIa) and endothelial cell damage (vWF and thrombomodulin) in 146 elderly Japanese subjects (79 healthy controls and 67 patients with CVD). The geometric mean (range) of plasma homocyst(e)ine concentrations was 10.2 (3.2--33) micromol/l in 79 Japanese healthy elderly subjects. As expected, healthy female and male elderly subjects had homocyst(e)ine levels that were 2.5 and 5.3 micromol/; higher, respectively, compared to healthy young control subjects (n=62). Healthy young and elderly men had homocyst(e)ine levels that were 1.7 and 4.5 micromol/l higher, respectively, compared to values in women. This higher plasma homocyst(e)ine levels in the elderly subjects were negatively correlated with levels of folic acid, albumin and total cholesterol, but were not significantly related to markers of coagulation or endothelial cell-damage. The results of multiple logistic regression analyses suggested that high homocyst(e)ine levels were independently related to CVD risk. In addition, levels of FVIIa, and F1+2 were significantly higher in elderly Japanese patients with CVD compared to elderly subjects without CVD, but were unrelated to plasma homocyst(e)ine concentrations. In summary, elevated plasma concentrations of homocyst(e)ine, FVIIa, and F1+2 were associated with increased risk of CVD in elderly male and female Japanese subjects, but the association between homocyst

  14. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  15. Measured signatures of low energy, physical sputtering in the line shape of neutral carbon emission

    Energy Technology Data Exchange (ETDEWEB)

    Brooks, N.H. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States)]. E-mail: brooks@fusion.gat.com; Isler, R.C. [Oak Ridge National Laboratory, Oak Ridge, TN 37831-6169 (United States); Whyte, D.G. [University of Wisconsin, Madison, WI 53706 (United States); Fenstermacher, M.E. [Livermore National Laboratory, Livermore, CA 94550 (United States); Groebner, R.J. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Stangeby, P.C. [University of Toronto Institute for Aerospace Studies, Toronto, M3H 5T6 (Canada); Heidbrink, W.W. [University of California, Irvine, CA 92697 (United States); Jackson, G.L. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Mahdavi, M.A. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); West, W.P. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States)

    2005-03-01

    The most important mechanisms for introducing carbon into the DIII-D divertors [J.L. Luxon, Nucl. Fusion 42 (2002) 614] are physical and chemical sputtering. Previous investigations have indicated that operating conditions where one or the other of these is dominant can be distinguished by using CD and C{sub 2} emissions to infer C I influxes from dissociation of hydrocarbons and comparing to measured C I influxes. The present work extends these results through detailed analysis of the C I spectral line shapes. In general, it is found that the profiles are actually asymmetric and have shifted peaks. These features are interpreted as originating from a combination of an anisotropic velocity distribution from physical sputtering (the Thompson model) and an isotropic distribution from molecular dissociation. The present study utilizes pure helium plasmas to benchmark C I spectral profiles arising from physical sputtering alone.

  16. High-power sputtering employed for film deposition

    International Nuclear Information System (INIS)

    Shapovalov, V I

    2017-01-01

    The features of high-power magnetron sputtering employed for the films’ deposition are reviewed. The main physical phenomena accompanying high-power sputtering including ion-electron emission, gas rarefaction, ionization of sputtered atoms, self-sputtering, ion sound waves and the impact of the target heating are described. (paper)

  17. Buffer Film Assisted Growth of Dense MWCNTs on Copper Foils for Flexible Electrochemical Applications

    Directory of Open Access Journals (Sweden)

    Udomdej Pakdee

    2017-01-01

    Full Text Available The novel Inconel buffer films were prepared on copper foils using unbalance direct current (DC magnetron sputtering. These films were employed as buffer layers for supporting the dense growth of multiwalled carbon nanotubes (MWCNTs. Thermal chemical vapor deposition (CVD with metal alloys such as stainless steel (SS type 304 films was considered to synthesize MWCNTs. To understand the effectiveness of these buffer films, the MWCNTs grown on buffer-free layer were carried out as a comparison. The main problem such as the diffusion of catalysts into the oxide layer of metal substrate during the CVD process was solved together with a creation of good electrical contact between substrate and nanotubes. The morphologies, crystallinities, and electrochemical behaviors of MWCNTs grown on Inconel buffer films with 304 SS catalysts revealed the better results for applying in flexible electrochemical applications.

  18. Enhanced saturation of sputtered amorphous SiN film frameworks using He- and Ne-Penning effects

    Science.gov (United States)

    Sugimoto, Iwao; Nakano, Satoko; Kuwano, Hiroki

    1994-06-01

    Optical emission spectroscopy reveals that helium and neon gases enhance the nitridation reactivity of the nitrogen plasma by Penning effects during magnetron sputtering of the silicon target. These excited nitrogen plasmas promote the saturation of frameworks of the resultant silicon nitride films. X-ray photoelectron spectroscopy, electron spin resonance, and x-ray diffraction analyses provide insight into the structure of these films, and thermal desorption mass spectroscopy reveals the behavior of volatile species in these films.

  19. Magnetron reactively sputtered Ti-DLC coatings on HNBR rubber : The influence of substrate bias

    NARCIS (Netherlands)

    Bui, X.L.; Pei, Y.T.; Hosson, J.Th.M. De

    2008-01-01

    In this study, Ti-containing diamond-like carbon (Ti-DLC) coatings have been deposited on HNBR (hydrogenated nitrile butadiene) rubber and also on Si wafer as reference via unbalanced magnetroli reactive sputtering from a Ti target in C2H2/Ar plasma. The deposition rates of coatings on rubber and Si

  20. Hollow cathode discharges with gas flow: numerical modelling for the effect on the sputtered atoms and the deposition flux

    International Nuclear Information System (INIS)

    Bogaerts, Annemie; Okhrimovskyy, Andriy; Baguer, Neyda; Gijbels, Renaat

    2005-01-01

    A model is developed for a cylindrical hollow cathode discharge (HCD), with an axial gas flow (entering through a hole in the cathode bottom). The model combines a commercial computational fluid dynamics program 'FLUENT' to compute the gas flow, with home-developed Monte Carlo and fluid models for the plasma behaviour. In this paper, we focus on the behaviour of the sputtered atoms, and we investigate how the gas flow affects the sputtered atom density profiles and the fluxes, which is important for sputter deposition. The sputtered atom density profiles are not much affected by the gas flow. The flux, on the other hand, is found to be significantly enhanced by the gas flow, but in the present set-up it is far from uniform in the radial direction at the open end of the HCD, where a substrate for deposition could be located

  1. Laser fluorescence spectroscopy of sputtered uranium atoms

    International Nuclear Information System (INIS)

    Wright, R.B.; Pellin, M.J.; Gruen, D.M.; Young, C.E.

    1979-01-01

    Laser induced fluorescence (LIF) spectroscopy was used to study the sputtering of 99.8% 238 U metal foil when bombarded by normally incident 500 to 3000 eV Ne + , Ar + , Kr + , and O 2 + . A three-level atom model of the LIF processes is developed to interpret the observed fluorescent emission from the sputtered species. The model shows that close attention must be paid to the conditions under which the experiment is carried out as well as to the details of the collision cascade theory of sputtering. Rigorous analysis shows that when properly applied, LIF can be used to investigate the predictions of sputtering theory as regards energy distributions of sputtered particles and for the determination of sputtering yields. The possibility that thermal emission may occur during sputtering can also be tested using the proposed model. It is shown that the velocity distribution (either the number density or flux density distribution, depending upon the experimental conditions) of the sputtered particles can be determined using the LIF technique and that this information can be used to obtain a description of the basic sputtering mechanisms. These matters are discussed using the U-atom fluorescence measurements as a basis. The relative sputtering yields for various incident ions on uranium were also measured for the first time using the LIF technique. A surprisingly high fraction of the sputtered uranium atoms were found to occupy the low lying metastable energy levels of U(I). The population of the sputtered metastable atoms were found approximately to obey a Boltzman distribution with an effective temperature of 920 +- 100 0 K. 41 references

  2. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB.

    Science.gov (United States)

    Engelbrechtsen, L; Lundgren, J; Wewer Albrechtsen, N J; Mahendran, Y; Iepsen, E W; Finocchietto, P; Jonsson, A E; Madsbad, S; Holst, J J; Vestergaard, H; Hansen, T; Torekov, S S

    2017-12-01

    Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Fifty-eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m 2 [mean ± SD]) were included in this study. After 8 weeks on a very low-calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance-based lipidomics analysis. After an 8-week low-calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low-density lipoprotein and very low-density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre-weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). An 8-week low-calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when

  3. Plasma-Assisted Synthesis of NiCoP for Efficient Overall Water Splitting

    KAUST Repository

    Liang, Hanfeng; Gandi, Appala; Anjum, Dalaver H.; Wang, Xianbin; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2016-01-01

    be further enhanced by substitution with extrinsic metals, though very little work has been conducted in this area. Here we present for the first time a novel PH plasma-assisted approach to convert NiCo hydroxides into ternary NiCoP. The obtained Ni

  4. Thin films preparation of the Ti-Al-O system by rf-sputtering;Preparacion de peliculas delgadas del sistema Ti-Al-O mediante rf-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Montes de Oca, J. A.; Ceballos A, J.; Galaviz P, J. [IPN, Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada, Unidad Altamira, Km 14.5 Carretera Tampico-Puerto Industrial Altamira, 89600 Altamira, Tamaulipas (Mexico); Manaud, J. P.; Lahaye, M. [Centre National de la Recherche Scientifique, Institut de Chimie de la Matiere Condensee, Universite Bordeaux I, 87, Av. du Dr. Schweitzer, F-33608 Pessac-Cedex (France); Munoz S, J., E-mail: jmontedeocacv@ipn.m [IPN, Centro de Investigacion y de Estudios Avanzados, Unidad Queretaro, Libramiento Norponiente No. 2000, Fracc. Real de Juriquilla, 76230 Santiago de Queretaro, Qro. (Mexico)

    2010-07-01

    In the present work Ti-Al-O thin films were synthesized by rf-sputtering technique on glass and silicon (Si) substrates using Ti Al and Ti{sub 3}Al targets in a sputtering chamber with an Ar-O{sub 2} atmosphere. Ti-Al-O thin films were obtained varying experimental parameters such as oxygen percent fed to the reaction chamber, plasma power density and substrate temperature. The films deposited on glass substrates were used to evaluate their optical properties, while those deposited on Si substrates were used to evaluate mechanical and morphological properties. The crystalline structure, morphology, chemical composition and optical properties of the films were evaluated by X-ray diffraction, high-resolution scanning electron microscopy, Auger electron microscopy and visible UV spectroscopy. Films thicknesses were measured using a profiler. The roughness and mechanical properties such as hardness and Young modulus were analyzed by atomic force microscopy and nano indentation technique, respectively. (Author)

  5. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  6. Start-up assist by magnetized plasma flow injection in TPE-RX reversed-field pinch

    Energy Technology Data Exchange (ETDEWEB)

    Asai, T. [College of Science and Technology, Nihon University, 1-8 Kanda-Surugadai, Chiyoda-ku, Tokyo 101-8308 (Japan)]. E-mail: asai@phys.cst.nihon-u.ac.jp; Nagata, M. [Graduate School of Engineering, University of Hyogo, Himeji (Japan); Koguchi, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Hirano, Y. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Sakakita, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Yambe, K. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Kiyama, S. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan)

    2006-11-15

    A reversed-field pinch (RFP) start-up assisted by a magnetized plasma flow injection was demonstrated for the first time on a TPE-RX machine. This sequence of experiments aimed to establish a new method of ionization, gas-fill and helicity injection in the start-up phase of an RFP. In this start-up method, magnetized and well-ionized plasma is formed by a magnetized coaxial plasma gun and injected into the torus chamber as an initial pre-ionized plasma for RFP formation. In the initial experiments, attenuated density pump-out and comparatively slow decay of the toroidal flux and plasma current were observed as evidence of its being an effective start-up method.

  7. Nucleation of ultrathin silver layer by magnetron sputtering in Ar/N2 plasma

    Czech Academy of Sciences Publication Activity Database

    Bulíř, Jiří; Novotný, Michal; Lančok, Ján; Fekete, Ladislav; Drahokoupil, Jan; Musil, Jindřich

    2013-01-01

    Roč. 228, č. 1 (2013), S86-S90 ISSN 0257-8972 R&D Projects: GA ČR(CZ) GAP108/11/1298; GA ČR GP202/09/P324 Institutional support: RVO:68378271 Keywords : ultrathin silver * magnetron sputtering * spectral ellipsometry * in-situ monitoring Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.199, year: 2013

  8. Optical emission spectroscopy during fabrication of indium-tin-oxynitride films by RF-sputtering

    International Nuclear Information System (INIS)

    Koufaki, M.; Sifakis, M.; Iliopoulos, E.; Pelekanos, N.; Modreanu, M.; Cimalla, V.; Ecke, G.; Aperathitis, E.

    2006-01-01

    Indium-tin-oxide (ITO) and indium-tin-oxynitride (ITON) films have been deposited on glass by rf-sputtering from an ITO target, using Ar plasma and N 2 plasma, respectively, and different rf-power. Optical emission spectroscopy (OES) was employed to identify the species present in the plasma and to correlate them with the properties of the ITO and ITON thin films. Emission lines of ionic In could only be detected in N 2 plasma, whereas in the Ar plasma additional lines corresponding to atomic In and InO, were detected. The deposition rate of thin films was correlated with the In species, rather than the nitrogen species, emission intensity in the plasma. The higher resistivity and lower carrier concentration of the ITON films, as compared to the respective properties of the ITO films, were attributed to the incorporation of nitrogen, instead of oxygen, in the ITON structure

  9. Interaction of a vacuum arc plasma beam with an obstacle positioned normal to the plasma flow

    International Nuclear Information System (INIS)

    Zarchin, O; Zhitomirsky, V N; Goldsmith, S; Boxman, R L

    2003-01-01

    The effect of an obstacle positioned normal to a plasma jet produced by a vacuum arc plasma source on the radial distribution of ion flux in the vicinity of the obstacle was studied. This study was motivated by interest in the mutual influence of tightly packed substrates on coatings in industrial vacuum arc deposition systems. The experimental system consisted of a vacuum arc plasma source, a straight plasma duct, and a multi-probe consisting of a removable disc obstacle and a set of ring probes for measuring the radial ion flux. A dc arc discharge was ignited in vacuum between a truncated cone-shaped Cu cathode and an annular anode. The plasma jet produced by cathode spots passed through the anode aperture into the straight plasma duct. An axial magnetic field guided the plasma jet in the duct. The multi-probe consisted of a removable disc obstacle and a set of five ring probes for measuring the radial plasma flux as a function of distance from the disc obstacle. The rings and the disc probes were coaxially arranged on the multi-probe assembly and positioned so that plasma from the source passed through the ring probes and then encountered the disc. The influence of the obstacle was determined by measuring the ring ion currents, both in the presence of the obstacle, and when the disc obstacle was removed. The difference between the measured ion currents with and without the obstacle was interpreted to be the contribution of reflected or sputtered particles from the obstacle to the radial ion flux. The ring probes were biased by -60 V with respect to the grounded anode, to collect the saturated ion current. The multi-probe was connected to a movable stem, and positioned at different distances from the plasma source. A plasma density of ∼6 x 10 17 m -3 was estimated in this study based on the ion current to the obstacle. The radial ion flux collected by the ring probes increased by 20-25% due to the presence of the obstacle. As the calculated mean free path for

  10. Non-uniform Erosion and Surface Evolution of Plasma-Facing Materials for Electric Propulsion

    Science.gov (United States)

    Matthes, Christopher Stanley Rutter

    A study regarding the surface evolution of plasma-facing materials is presented. Experimental efforts were performed in the UCLA Pi Facility, designed to explore the physics of plasma-surface interactions. The influence of micro-architectured surfaces on the effects of plasma sputtering is compared with the response of planar samples. Ballistic deposition of sputtered atoms as a result of geometric re-trapping is observed. This provides a self-healing mechanism of micro-architectured surfaces during plasma exposure. This result is quantified using a QCM to demonstrate the evolution of surface features and the corresponding influence on the instantaneous sputtering yield. The sputtering yield of textured molybdenum samples exposed to 300 eV Ar plasma is found to be roughly 1 of the 2 corresponding value of flat samples, and increases with ion fluence. Mo samples exhibited a sputtering yield initially as low as 0.22+/-8%, converging to 0.4+/-8% at high fluence. Although the yield is dependent on the initial surface structure, it is shown to be transient, reaching a steady-state value that is independent of initial surface conditions. A continuum model of surface evolution resulting from sputtering, deposition and surface diffusion is also derived to resemble the damped Kuramoto-Sivashinsky (KS) equation of non-linear dynamics. Linear stability analysis of the evolution equation provides an estimate of the selected wavelength, and its dependence on the ion energy and angle of incidence. The analytical results are confirmed by numerical simulations of the equation with a Fast Fourier Transform method. It is shown that for an initially flat surface, small perturbations lead to the evolution of a selected surface pattern that has nano- scale wavelength. When the surface is initially patterned by other means, the final resulting pattern is a competition between the "templated" pattern and the "self-organized" structure. Potential future routes of research are also

  11. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  12. Computer simulation of sputtering of graphite target in magnetron sputtering device with two zones of erosion

    Directory of Open Access Journals (Sweden)

    Bogdanov R.V.

    2015-03-01

    Full Text Available A computer simulation program for discharge in a magnetron sputtering device with two erosion zones was developed. Basic laws of the graphite target sputtering process and transport of sputtered material to the substrate were taken into account in the Monte Carlo code. The results of computer simulation for radial distributions of density and energy flux of carbon atoms on the substrate (at different values of discharge current and pressure of the working gas confirmed the possibility of obtaining qualitative homogeneous films using this magnetron sputtering device. Also the discharge modes were determined for this magnetron sputtering device, in which it was possible to obtain such energy and density of carbon atoms fluxes, which were suitable for deposition of carbon films containing carbon nanotubes and other nanoparticles.

  13. Sputtering of water ice

    DEFF Research Database (Denmark)

    Baragiola, R.A.; Vidal, R.A.; Svendsen, W.

    2003-01-01

    We present results of a range of experiments of sputtering of water ice together with a guide to the literature. We studied how sputtering depends on the projectile energy and fluence, ice growth temperature, irradiation temperature and external electric fields. We observed luminescence from...

  14. Simulation experiments and solar wind sputtering

    International Nuclear Information System (INIS)

    Griffith, J.E.; Papanastassiou, D.A.; Russell, W.A.; Tombrello, T.A.; Weller, R.A.

    1978-01-01

    In order to isolate the role played by solar wind sputtering from other lunar surface phenomena a number of simulation experiments were performed, including isotope abundance measurements of Ca sputtered from terrestrial fluorite and plagioclase by 50-keV and 130-keV 14 N beams, measurement of the energy distribution of U atoms sputtered with 80-keV 40 Ar, and measurement of the fraction of sputtered U atoms which stick on the surfaces used to collect these atoms. 10 references

  15. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  16. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  17. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  18. Model of inductive plasma production assisted by radio-frequency wave in tokamaks

    International Nuclear Information System (INIS)

    Hasegawa, Makoto; Hanada, Kazuaki; Sato, Kohnosuke

    2007-01-01

    For initial plasma production, an induction electric field generated by applying voltage to a poloidal field (PF) coil system is used to produce a Townsend avalanche breakdown. When the avalanche margins are small, as for the International Thermonuclear Experimental Reactor (ITER) in which the induction electric field is about 0.3 V/m, the assistance of radio-frequency waves (RF) is provided to reduce the induction electric field required for reliable breakdown. However, the conditions of RF-assisted breakdown are not clear. Here, the effects of both RF and induction electric field on the RF-assisted breakdown are evaluated considering the electron loss. When traveling loss is the dominant loss, a simple model of an extended Townsend avalanche is proposed. In this model, the induction electric field required for RF-assisted breakdown can be decreased to half that required for induction breakdown. (author)

  19. Influence of reactive oxygen species during deposition of iron oxide films by high power impulse magnetron sputtering

    Science.gov (United States)

    Stranak, V.; Hubicka, Z.; Cada, M.; Bogdanowicz, R.; Wulff, H.; Helm, C. A.; Hippler, R.

    2018-03-01

    Iron oxide films were deposited using high power impulse magnetron sputtering (HiPIMS) of an iron cathode in an argon/oxygen gas mixture at different gas pressures (0.5 Pa, 1.5 Pa, and 5.0 Pa). The HiPIMS system was operated at a repetition frequency f  =  100 Hz with a duty cycle of 1%. A main goal is a comparison of film growth during conventional and electron cyclotron wave resonance-assisted HiPIMS. The deposition plasma was investigated by means of optical emission spectroscopy and energy-resolved mass spectrometry. Active oxygen species were detected and their kinetic energy was found to depend on the gas pressure. Deposited films were characterized by means of spectroscopic ellipsometry and grazing incidence x-ray diffraction. Optical properties and crystallinity of as-deposited films were found to depend on the deposition conditions. Deposition of hematite iron oxide films with the HiPIMS-ECWR discharge is attributed to the enhanced production of reactive oxygen species.

  20. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  1. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  2. Merging and Splitting of Plasma Spheroids in a Dusty Plasma

    Science.gov (United States)

    Mikikian, Maxime; Tawidian, Hagop; Lecas, Thomas

    2012-12-01

    Dust particle growth in a plasma is a strongly disturbing phenomenon for the plasma equilibrium. It can induce many different types of low-frequency instabilities that can be experimentally observed, especially using high-speed imaging. A spectacular case has been observed in a krypton plasma where a huge density of dust particles is grown by material sputtering. The instability consists of well-defined regions of enhanced optical emission that emerge from the electrode vicinity and propagate towards the discharge center. These plasma spheroids have complex motions resulting from their mutual interaction that can also lead to the merging of two plasma spheroids into a single one. The reverse situation is also observed with the splitting of a plasma spheroid into two parts. These results are presented for the first time and reveal new behaviors in dusty plasmas.

  3. Improvement of confinement characteristics of tokamak plasma by controlling plasma-wall interactions

    International Nuclear Information System (INIS)

    Sengoku, Seio

    1985-08-01

    Relation between plasma-wall interactions and confinement characteristics of a tokamak plasma with respect to both impurity and fuel particle controls is discussed. Following results are obtained from impurity control studies: (1) Ion sputtering is the dominant mechanism of impurity release in a steady state tokamak discharge. (2) By applying carbon coating on entire first wall of DIVA tokamak, dominant radiative region is concentrated more in boundary plasma resulting a hot peripheral plasma with cold boundary plasma. (3) A physical model of divertor functions about impurity control is empilically obtained. By a computer simulation based on above model with respect to divertor functions for JT-60 tokamak, it is found that the allowable electron temperature of the divertor plasma is not restricted by a condition that the impurity release due to ion sputtering does not increase continuously. (4) Dense and cold divertor plasma accompanied with strong remote radiative cooling was diagnosed along the magnetic field line in the simple poloidal divertor of DOUBLET III tokamak. Strong particle recycling region is found to be localized near the divertor plate. by and from particle control studies: (1) The INTOR scaling on energy confinement time is applicable to high density region when a core plasma is fueled directly by solid deuterium pellet injection in DOUBLET III tokamak. (2) As remarkably demonstrated by direct fueling with pellet injection, energy confinement characteristics can be improved at high density range by decreasing particle deposition at peripheral plasma in order to reduce plasma-wall interaction. (3) If the particle deposition at boundary layer is necessarily reduced, the electron temperature at the boundary or divertor region increases due to decrease of the particle recycling and the electron density there. (J.P.N.)

  4. Effect of sputtering on self-damaged recrystallized W mirror specimens

    Energy Technology Data Exchange (ETDEWEB)

    Voitsenya, V.S. [Institute of Plasma Physics, National Scientific Center “KIPT”, 61108 Kharkov (Ukraine); Balden, M. [Max-Planck-Institut für Plasmaphysik, EURATOM Association, D-85748 Garching (Germany); Belyaeva, A.I. [National Technical University, “Kharkiv Polytechnical Institute”, 61002 Kharkov (Ukraine); Alimov, V.Kh. [Hydrogen Isotope Research Center, University of Toyama, Toyama 930-8555 (Japan); Tyburska-Püschel, B., E-mail: tyburska@engr.wisc.edu [Max-Planck-Institut für Plasmaphysik, EURATOM Association, D-85748 Garching (Germany); Galuza, A.A. [Institute of Electrophysics and Radiation Technologies, NAS of Ukraine, 61002 Kharkov (Ukraine); Kasilov, A.A.; Kolenov, I.V. [Institute of Plasma Physics, National Scientific Center “KIPT”, 61108 Kharkov (Ukraine); Konovalov, V.G. [National Technical University, “Kharkiv Polytechnical Institute”, 61002 Kharkov (Ukraine); Skoryk, O.O.; Solodovchenko, S.I. [Institute of Plasma Physics, National Scientific Center “KIPT”, 61108 Kharkov (Ukraine)

    2013-03-15

    The effect of heavy sputtering and of neutron irradiation simulated by displacement damaging with of 20 MeV W{sup 6+} ions on the optical properties of tungsten mirrors was studied. Ar{sup +} ions with 600 eV of energy were used as imitation of charge exchange atoms ejected from fusion plasma. The ion fluence dependence of the surface topography and the optical properties of polycrystalline, recrystallized tungsten (grain size 20–100 μm) were studied by optical microscopy, interferometry, reflectometry and ellipsometry. Furthermore, after sputtering in total a layer of 3.9 μm in thickness, the orientation and the thickness of the eroded layer of many individual grains was determined by electron backscattering diffraction and confocal laser scanning microscopy. Concluding from the obtained data the neutron irradiation, at least at the damage level would be achieved in ITER, has not to make an additional contribution in the processes developing under impact of charge exchange atoms only.

  5. High-surface-quality nanocrystalline InN layers deposited on GaN templates by RF sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, Sirona; Naranjo, Fernando B.; Gonzalez-Herraez, Miguel [Grupo de Ingenieria Fotonica, Departamento de Electronica, Escuela Politecnica Superior, Universidad de Alcala, Campus Universitario, 28871 Alcala de Henares, Madrid (Spain); Lahourcade, Lise; Monroy, Eva [Equipe mixte CEA-CNRS-UJF, Nanophysique et Semiconducteurs, INAC/SP2M/PSC, CEA-Grenoble, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Fernandez, Susana [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain)

    2011-01-15

    We report a detailed study of the effect of deposition parameters on optical, structural, and morphological properties of InN films grown by reactive radio-frequency (RF) sputtering on GaN-on-sapphire templates in a pure nitrogen atmosphere. Deposition parameters under study are substrate temperature, RF power, and sputtering pressure. Wurtzite crystallographic structure with c-axis preferred growth orientation is confirmed by X-ray diffraction measurements. For the optimized deposition conditions, namely at a substrate temperature of 450 C and RF power of 30 W, InN films present a root-mean-square surface roughness as low as {proportional_to}0.4 nm, comparable to the underlying substrate. The apparent optical bandgap is estimated at 720 nm (1.7 eV) in all cases. However, the InN absorption band tail is strongly influenced by the sputtering pressure due to a change in the species of the plasma. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Investigation of plasma dynamics during the growth of amorphous titanium dioxide thin films

    Science.gov (United States)

    Kim, Jin-Soo; Jee, Hyeok; Yu, Young-Hun; Seo, Hye-Won

    2018-06-01

    We have grown amorphous titanium dioxide thin films by reactive DC sputtering method using a different argon/oxygen partial pressure at a room temperature. The plasma dynamics of the process, reactive and sputtered gas particles was investigated via optical emission spectroscopy. We then studied the correlations between the plasma states and the structural/optical properties of the films. The growth rate and morphology of the titanium dioxide thin films turned out to be contingent with the population and the energy profile of Ar, O, and TiO plasma. In particular, the films grown under energetic TiO plasma have shown a direct band-to-band transition with an optical energy band gap up to ∼4.2 eV.

  7. Implantation, recoil implantation, and sputtering

    International Nuclear Information System (INIS)

    Kelly, R.

    1984-01-01

    The implantation and sputtering mechanisms which are relevant to ion bombardment of surfaces are described. These are: collision, thermal, electronic and photon-induced sputtering. 135 refs.; 36 figs.; 9 tabs

  8. Plasma Clot Lysis Time and Its Association with Cardiovascular Risk Factors in Black Africans

    NARCIS (Netherlands)

    Z. de Lange (Zelda); M. Pieters (Marlien); J.C. Jerling (Johann); A. Kruger (Annamarie); D.C. Rijken (Dingeman)

    2012-01-01

    textabstractStudies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid

  9. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  10. Atmospheric pressure plasma assisted calcination by the preparation of TiO2 fibers in submicron scale

    Science.gov (United States)

    Medvecká, Veronika; Kováčik, Dušan; Zahoranová, Anna; Černák, Mirko

    2018-01-01

    Atmospheric pressure plasma assisted calcination by the preparation of TiO2 submicron fibers as a low-temperature alternative to the conventional thermal annealing was studied. A special type of dielectric barrier discharge was used for plasma treatment of hybrid titanium butoxide/polyvinylpyrrolidone (Ti(Bu)/PVP) fibers prepared by forcespinning to decompose and oxidize the base polymer and precursor. The obtained fibers were characterized by changes in chemical bonds on the surface using Fourier Transform Infrared Spectroscopy (FTIR), chemical composition by using Energy-Dispersive X-Ray Spectroscopy (EDX), X-ray Photoelectron Spectroscopy (XPS). The morphology of fibers was investigated by Scanning Electron Microscopy (SEM). A significant decrease of organic components was reached by short plasma exposure times less than 1 h. The obtained fibers exhibit a high surface porosity without degradation of the fibrous structure. The results obtained indicate that atmospheric pressure plasma assisted calcination can be a viable low-temperature, energy- and time-saving alternative or pre-treatment method for the conventional high-temperature thermal calcination.

  11. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  12. Video-assisted thoracoscopic PlasmaJet ablation for malignant pleural mesothelioma.

    Science.gov (United States)

    Perikleous, Periklis; Asadi, Nizar; Anikin, Vladimir

    2018-01-01

    The role of surgery in malignant pleural mesothelioma (MPM) remains debatable; nonetheless the relative advantages of different surgical approaches are frequently reassessed and reconsidered. While extensive operations and longer recovery periods can be justified for a group of carefully selected patients, many will present at an advanced stage of their disease or with associated co-morbidities which will exclude them from selection criteria for radical treatment. For these patients, minimally invasive video-assisted procedures may be considered, for purposes of cytoreduction and/or symptomatic relief. Even though there is currently not enough clinical evidence to suggest an improvement in overall survival with limited debulking procedures, it has been suggested that they can improve quality of life over drainage and pleurodesis alone. We consider video-assisted PlasmaJet ablation to potentially have a role in mesothelioma surgery, as it may be used for effective cytoreduction while minimising the risk for complications often associated with extensive pleurectomy procedures, and we report on the use of the PlasmaJet Surgical System in our centre for surgical management of a patient with MPM. After demonstrating safety and absence of major adverse events with this approach, we feel justified in offering the procedure to more of our patients as we aim to collect additional data.

  13. Time-Domain Modeling of RF Antennas and Plasma-Surface Interactions

    Directory of Open Access Journals (Sweden)

    Jenkins Thomas G.

    2017-01-01

    Full Text Available Recent advances in finite-difference time-domain (FDTD modeling techniques allow plasma-surface interactions such as sheath formation and sputtering to be modeled concurrently with the physics of antenna near- and far-field behavior and ICRF power flow. Although typical sheath length scales (micrometers are much smaller than the wavelengths of fast (tens of cm and slow (millimeter waves excited by the antenna, sheath behavior near plasma-facing antenna components can be represented by a sub-grid kinetic sheath boundary condition, from which RF-rectified sheath potential variation over the surface is computed as a function of current flow and local plasma parameters near the wall. These local time-varying sheath potentials can then be used, in tandem with particle-in-cell (PIC models of the edge plasma, to study sputtering effects. Particle strike energies at the wall can be computed more accurately, consistent with their passage through the known potential of the sheath, such that correspondingly increased accuracy of sputtering yields and heat/particle fluxes to antenna surfaces is obtained. The new simulation capabilities enable time-domain modeling of plasma-surface interactions and ICRF physics in realistic experimental configurations at unprecedented spatial resolution. We will present results/animations from high-performance (10k-100k core FDTD/PIC simulations of Alcator C-Mod antenna operation.

  14. Low-Damage Sputter Deposition on Graphene

    Science.gov (United States)

    Chen, Ching-Tzu; Casu, Emanuele; Gajek, Marcin; Raoux, Simone

    2013-03-01

    Despite its versatility and prevalence in the microelectronics industry, sputter deposition has seen very limited applications for graphene-based electronics. We have systematically investigated the sputtering induced graphene defects and identified the reflected high-energy neutrals of the sputtering gas as the primary cause of damage. In this talk, we introduce a novel sputtering technique that is shown to dramatically reduce bombardment of the fast neutrals and improve the structural integrity of the underlying graphene layer. We also demonstrate that sputter deposition and in-situ oxidation of 1 nm Al film at elevated temperatures yields homogeneous, fully covered oxide films with r.m.s. roughness much less than 1 monolayer, which shows the potential of using such technique for gate oxides, tunnel barriers, and multilayer fabrication in a wide range of graphene devices.

  15. Au-nanoparticles grafted on plasma treated PE

    International Nuclear Information System (INIS)

    Svorcik, V.; Chaloupka, A.; Rezanka, P.; Slepicka, P.; Kolska, Z.; Kasalkova, N.; Hubacek, T.; Siegel, J.

    2010-01-01

    Polyethylene (PE) surface was treated with Ar plasma. Activated surface was grafted from methanol solution of 1,2-ethanedithiol. Then the sample was immersed into freshly prepared colloid solution of Au-nanoparticles. Finally Au layer was sputtered on the samples. Properties of the modified PE were studied using various methods: AFM, EPR, RBS and nanoindentation. It was shown that the plasma treatment results in degradation of polymer chain (AFM) and creation of free radicals by EPR. After grafting with dithiol, the concentration of free radicals declines. The presence of Au and S in the surface layer after the coating with Au-nanoparticles was proved by RBS. Plasma treatment changes PE surface morphology and increases surface roughness, too. Another significant change in surface morphology and roughness was observed after deposition of Au-nanoparticles. Nanoindentation measurements show that the grafting with Au-nanoparticles increases adhesion of subsequently sputtered Au layer.

  16. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  17. Mapping of 79 loci for 83 plasma protein biomarkers in cardiovascular disease

    DEFF Research Database (Denmark)

    Folkersen, Lasse Westergaard; Fauman, Eric; Sabater-Lleal, Maria

    2017-01-01

    Recent advances in highly multiplexed immunoassays have allowed systematic large-scale measurement of hundreds of plasma proteins in large cohort studies. In combination with genotyping, such studies offer the prospect to 1) identify mechanisms involved with regulation of protein expression...... in plasma, and 2) determine whether the plasma proteins are likely to be causally implicated in disease. We report here the results of genome-wide association (GWA) studies of 83 proteins considered relevant to cardiovascular disease (CVD), measured in 3,394 individuals with multiple CVD risk factors. We...... on coronary artery disease, and highlight several potentially causal associations. Overall, a majority of the plasma proteins studied showed evidence of regulation at the genetic level. Our results enable future studies of the causal architecture of human disease, which in turn should aid discovery of new...

  18. Influence of reactive oxygen species during deposition of iron oxide films by high power impulse magnetron sputtering

    Czech Academy of Sciences Publication Activity Database

    Straňák, V.; Hubička, Zdeněk; Čada, Martin; Bogdanowicz, R.; Wulff, H.; Helm, C.A.; Hippler, R.

    2018-01-01

    Roč. 51, č. 9 (2018), s. 1-12, č. článku 095205. ISSN 0022-3727 R&D Projects: GA ČR GA17-08389S Institutional support: RVO:68378271 Keywords : high power impulse magnetron sputtering (HiPIMS) * iron oxide thin films * wüstite * magnetite * maghemite * hematite Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics ) Impact factor: 2.588, year: 2016

  19. Studies on ion scattering and sputtering processes relevant to ion beam sputter deposition of multicomponent thin films

    International Nuclear Information System (INIS)

    Auciello, O.; Ameen, M.S.; Kingon, A.I.

    1989-01-01

    Results from computer simulation and experiments on ion scattering and sputtering processes in ion beam sputter deposition of high Tc superconducting and ferroelectric thin films are presented. It is demonstrated that scattering of neutralized ions from the targets can result in undesirable erosion of, and inert gas incorporation in, the growing films, depending on the ion/target atom ass ratio and ion beam angle of incidence/target/substrate geometry. The studies indicate that sputtering Kr + or Xe + ions is preferable to the most commonly used Ar + ions, since the undesirable phenomena mentioned above are minimized for the first two ions. These results are used to determine optimum sputter deposition geometry and ion beam parameters for growing multicomponent oxide thin films by ion beam sputter-deposition. 10 refs., 5 figs

  20. 2D edge plasma modeling extended up to the main chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dekeyser, W., E-mail: wouter.dekeyser@mech.kuleuven.be [Department of Mechanical Engineering, Katholieke Universiteit Leuven, Celestijnenlaan 300A, 3001 Leuven (Belgium); Baelmans, M. [Department of Mechanical Engineering, Katholieke Universiteit Leuven, Celestijnenlaan 300A, 3001 Leuven (Belgium); Reiter, D.; Boerner, P.; Kotov, V. [Institut fuer Plasmaphysik, Forschungszentrum Juelich GmbH, EURATOM-Association, Trilateral Euregio Cluster, D-52425 Juelich (Germany)

    2011-08-01

    Far SOL plasma flow, and hence main chamber recycling and plasma surface interaction, are today still only very poorly described by current 2D fluid edge codes, such as B2, UEDGE or EDGE2D, due to a common technical limitation. We have extended the B2 plasma fluid solver in the current ITER version of B2-EIRENE (SOLPS4.3) to allow plasma solutions to be obtained up to the 'real vessel wall', at least on the basis of ad hoc far SOL transport models. We apply here the kinetic Monte Carlo Code EIRENE on such plasma solutions to study effects of this model refinement on main chamber fluxes and sputtering, for an ITER configuration. We show that main chamber sputtering may be significantly modified both due to thermalization of CX neutrals in the far SOL and poloidally highly asymmetric plasma wall contact, as compared to hitherto applied teleportation of particle fluxes across this domain.

  1. Plasma-assisted heterogeneous catalysis for NOx reduction in lean-burn engine exhaust

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsaio, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States); Wan, C.Z.; Rice, G.W.; Voss, K.E. [Engelhard Corp., Iselin, NJ (United States)

    1997-12-31

    This paper discusses the combination of a plasma with a catalyst to improve the reduction of NO{sub x} under lean-burn conditions. The authors have been investigating the effects of a plasma on the NO{sub x} reduction activity and temperature operating window of various catalytic materials. One of the goals is to develop a fundamental understanding of the interaction between the gas-phase plasma chemistry and the heterogeneous chemistry on the catalyst surface. The authors have observed that plasma assisted heterogeneous catalysis can facilitate NO{sub x} reduction under conditions that normally make it difficult for either the plasma or the catalyst to function by itself. By systematically varying the plasma electrode and catalyst configuration, they have been able to elucidate the process by which the plasma chemistry affects the chemical reduction of NO{sub x} on the catalyst surface. They have discovered that the main effect of the plasma is to induce the gas-phase oxidation of NO to NO{sub 21}. The reduction of NO{sub x} to N{sub 2} is then accomplished by heterogeneous reaction of O with activated hydrocarbons on the catalyst surface. The use of a plasma opens the opportunity for a new class of catalysts that are potentially more durable, more active, more selective and more sulfur-tolerant compared to conventional lean-NO{sub x} catalysts.

  2. Relationship between plasma parameters and film microstructure in radio frequency magnetron sputter deposition of barium strontium titanate

    Science.gov (United States)

    Panda, B.; Dhar, A.; Nigam, G. D.; Bhattacharya, D.; Ray, S. K.

    1998-01-01

    Radio frequency magnetron sputtered Ba0.8Sr0.2TiO3 thin films have been deposited on silicon and Si/SiO2/SiN/Pt substrates. The analysis of plasma discharge has been carried out using the Langmuir probe technique. Both the pressure and power have been found to influence the ion density and self-bias of the target. Introduction of oxygen into the discharge effectively decreases the ion density. The structural and electrical properties have been investigated using x-ray diffraction, atomic force microscopy of deposited films and capacitance-voltage, conductance-voltage, and current density-electric field characteristics of fabricated capacitors. The growth and orientation of the films have been found to depend upon the type of substrates and deposition temperatures. The texture in the film is promoted at a pressure 0.25 Torr with a moderately high value of ion density and low ion bombardment energy. Films deposited on Si/SiO2/SiN/Pt substrate have shown higher dielectric constant (191) and lower leakage current density (2.8×10-6 A/cm2 at 100 kV/cm) compared to that on silicon.

  3. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  4. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  5. Report of the study meeting on the interaction between plasma and the first wall of a fusion reactor

    International Nuclear Information System (INIS)

    Miyahara, Akira; Akaishi, Kenya; Kawamura, Takaichi; Kabetani, Zenzaburo; Sagara, Akio.

    1978-12-01

    The study meeting on the interaction between plasma and the first wall of a fusion reactor was held from July 24 to July 27, 1978. At this meeting, discussions were made on the interaction between plasma and wall and the effect of impurities. Reports on the ISS observation concerning the Mo surface as a limiter, on the measurement of sputter rate by a microbalance, on the surface roughness of the materials for the first wall at the atomic order, on the selective sputtering of binary alloys, and on the physical and chemical sputtering on the material surface of C and SiC were also presented. The research projects of the Institute of Plasma Physics and Hokkaido University were introduced. Collaboration of two groups was considered. (Kato, T.)

  6. Deposition of lead-silicate glassy thin coatings by RF magnetron sputtering: Correlation between deposition parameters and electrical and structural properties

    International Nuclear Information System (INIS)

    Rigato, V.; Maggioni, G.; Boscarino, D.; Della Mea, G.; Univ. di Trento, Mesiano

    1996-01-01

    Lead-silicate glassy thin films produced by means of Reactive Radio Frequency Magnetron Sputtering have found recent application in the development of MicroStrip Gas Chambers radiation detectors. Here, thin films (100--400 nm) of lead silicate glass have been deposited by RF magnetron sputtering in Ar plasma at different discharge conditions. The interaction of the sputtered species with the gas atoms during the transport process through the discharge region and the kinetics of growth of the films have been investigated as a function of the target composition and of the substrate temperature. This study demonstrates the possibility of controlling the surface electrical resistance of the films in a wide range of values ranging from 10 12 to 10 17 Ω/□ during the film growth

  7. Argonne inverted sputter source

    International Nuclear Information System (INIS)

    Yntema, J.L.; Billquist, P.J.

    1983-01-01

    The emittance of the inverted sputter source with immersion lenses was measured to be about 5π mm mrad MeV/sup 1/2/ at the 75% level over a wide range of beam intensities. The use of the source in experiments with radioactive sputter targets and hydrogen loaded targets is described. Self contamination of the source is discussed

  8. Relation between the plasma characteristics and physical properties of functional zinc oxide thin film prepared by radio frequency magnetron sputtering process

    International Nuclear Information System (INIS)

    Hsu, Che-Wei; Cheng, Tsung-Chieh; Huang, Wen-Hsien; Wu, Jong-Shinn; Cheng, Cheng-Chih; Cheng, Kai-Wen; Huang, Shih-Chiang

    2010-01-01

    The ZnO thin film was deposited on a glass substrate by a RF reactive magnetron sputtering method. Results showed that plasma density, electron temperature, deposition rate and estimated ion bombardment energy increase with increasing applied RF power. Three distinct power regimes were observed, which are strongly correlated with plasma properties. In the low-power regime, the largest grain size was observed due to slow deposition rate. In the medium-power regime, the smallest grain size was found, which is attributed to insufficient time for the adatoms to migrate on substrate surface. In the high-power regime, relatively larger grain size was found due to very large ion bombardment energy which enhances the thermal migration of adatoms. Regardless of pure ZnO thin film or ZnO on glass, high transmittance (> 80%) in the visible region can be generally observed. However, the film thickness plays a more important role for controlling optical properties, especially in the UV region, than the applied RF power. In general, with properly coated ZnO thin film, we can obtain a glass substrate which is highly transparent in the visible region, is of good anti-UV characteristics, and is highly hydrophobic, which is highly suitable for applications in the glass industry.

  9. Sputtering and reflection of self-bombardment of tungsten material

    International Nuclear Information System (INIS)

    Niu, Guo-jian; Li, Xiao-chun; Xu, Qian; Yang, Zhong-shi; Luo, Guang-nan

    2015-01-01

    In present research, the sputtering and reflection yield of self-bombardment of tungsten are investigated with the aid of molecular dynamics simulations. The source of sputtered and reflected atoms is detected by traced the original locations of sputtered and reflected atoms. Results show that for the reflected atoms no specific region exists which means cluster atoms are randomly reflected. But almost all of sputtered atoms are from a conical region under the landing point of cluster. So we can determine the sputtering yield by study the dimension of the sputtering region. Molecular dynamics shows the depth and radius of the conical are power functions of impacting energy. The effects of cluster size and temperature of target on sputtering and reflection rate are also preformed in present study. Both sputtering and reflection yield are proportion to cluster size in present cluster size, i.e. 66–2647 atoms. Higher target temperature can increase sputtering yield and deduce sputtering threshold energy, but little effect on reflection rate

  10. Sputtering and reflection of self-bombardment of tungsten material

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Guo-jian [University of Science and Technology of China, Hefei (China); Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Li, Xiao-chun; Xu, Qian; Yang, Zhong-shi [Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Luo, Guang-nan, E-mail: gnluo@ipp.ac.cn [University of Science and Technology of China, Hefei (China); Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Hefei Center for Physical Science and Technology, Hefei (China); Hefei Science Center of CAS, Hefei (China)

    2015-04-15

    In present research, the sputtering and reflection yield of self-bombardment of tungsten are investigated with the aid of molecular dynamics simulations. The source of sputtered and reflected atoms is detected by traced the original locations of sputtered and reflected atoms. Results show that for the reflected atoms no specific region exists which means cluster atoms are randomly reflected. But almost all of sputtered atoms are from a conical region under the landing point of cluster. So we can determine the sputtering yield by study the dimension of the sputtering region. Molecular dynamics shows the depth and radius of the conical are power functions of impacting energy. The effects of cluster size and temperature of target on sputtering and reflection rate are also preformed in present study. Both sputtering and reflection yield are proportion to cluster size in present cluster size, i.e. 66–2647 atoms. Higher target temperature can increase sputtering yield and deduce sputtering threshold energy, but little effect on reflection rate.

  11. Plasma-assisted co-evaporation of {beta}-indium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kosaraju, Sreenivas; Marino, Joseph A.; Harvey, John A.; Wolden, Colin A. [Department of Chemical Engineering, Colorado School of Mines, Golden, CO 80401 (United States)

    2006-05-05

    This paper describes the development of plasma-assisted co-evaporation (PACE) for the formation of {beta}-In{sub 2}S{sub 3} thin films. Indium was supplied by conventional thermal evaporation, while the chalcogen gas precursor (H{sub 2}S) was activated using an inductively coupled plasma (ICP) source. Using a combination of optical emission spectroscopy and mass spectrometry it was shown that the ICP effectively dissociated H{sub 2}S, producing atomic sulfur. Transport modeling was used to quantify the flux distributions of the co-evaporated metal and the plasma-generated species impinging the substrate. Model predictions were validated by measurements of deposition rate and film properties. Substantial improvements in both materials utilization and substrate temperature reduction were realized with respect to conventional co-evaporation. {beta}-In{sub 2}S{sub 3} was formed as low as 100{sup o}C and it was observed that quality was a strong function of S/In ratio. The grain size decreased and the optical band gap increased as the substrate temperature was reduced. (author)

  12. Etude fondamentale des mecanismes de gravure par plasma de materiaux de pointe: Application a la fabrication de dispositifs photoniques

    Science.gov (United States)

    Stafford, Luc

    Advances in electronics and photonics critically depend upon plasma-based materials processing either for transferring small lithographic patterns into underlying materials (plasma etching) or for the growth of high-quality films. This thesis deals with the etching mechanisms of materials using high-density plasmas. The general objective of this work is to provide an original framework for the plasma-material interaction involved in the etching of advanced materials by putting the emphasis on complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. Based on a synthesis of the descriptions proposed by different authors to explain the etching characteristics of simple materials in noble and halogenated plasma mixtures, we propose comprehensive rate models for physical and chemical plasma etching processes. These models have been successfully validated using experimental data published in literature for Si, Pt, W, SiO2 and ZnO. As an example, we have been able to adequately describe the simultaneous dependence of the etch rate on ion and reactive neutral fluxes and on the ion energy. From an exhaustive experimental investigation of the plasma and etching properties, we have also demonstrated that the validity of the proposed models can be extended to complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. We also reported for the first time physical aspects involved in plasma etching such as the influence of the film microstructural properties on the sputter-etch rate and the influence of the positive ion composition on the ion-assisted desorption dynamics. Finally, we have used our deep investigation of the etching mechanisms of STO films and the resulting excellent control of the etch rate to fabricate a ridge waveguide for photonic device applications. Keywords: plasma etching, sputtering, adsorption and desorption dynamics, high-density plasmas, plasma diagnostics, advanced materials, photonic applications.

  13. Resputtering effect during MgO buffer layer deposition by magnetron sputtering for superconducting coated conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Shaozhu; Shi, Kai; Deng, Shutong; Han, Zhenghe [Applied Superconductivity Research Center, Department of Physics, Tsinghua University, Beijing 100084 (China); Feng, Feng, E-mail: feng.feng@sz.tsinghua.edu.cn; Lu, Hongyuan [Division of Advanced Manufacturing, Graduate School at Shenzhen, Tsinghua University, Shenzhen 518055 (China); Qu, Timing; Zhu, Yuping [Department of Mechanical Engineering, Tsinghua University, Beijing 100084 (China); Huang, Rongxia [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2015-07-15

    In this study, MgO thin films were deposited by radio-frequency magnetron sputtering. The film thickness in the deposition area directly facing the target center obviously decreased compared with that in other areas. This reduction in thickness could be attributed to the resputtering effect resulting from bombardment by energetic particles mainly comprising oxygen atoms and negative oxygen ions. The influences of deposition position and sputtering pressure on the deposition rate were investigated. Resputtering altered the orientation of the MgO film from (111) to (001) when the film was deposited on a single crystal yttria-stabilized zirconia substrate. The density distribution of energetic particles was calculated on the basis of the measured thicknesses of the MgO films deposited at different positions. The divergence angle of the energetic particle flux was estimated to be approximately 15°. The energetic particle flux might be similar to the assisting ion flux in the ion beam assisted deposition process and could affect the orientation of the MgO film growth.

  14. Cardiovascular disease (CVD and chronic kidney disease (CKD event rates in HIV-positive persons at high predicted CVD and CKD risk: A prospective analysis of the D:A:D observational study.

    Directory of Open Access Journals (Sweden)

    Mark A Boyd

    2017-11-01

    Full Text Available The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D study has developed predictive risk scores for cardiovascular disease (CVD and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2 events in HIV-positive people. We hypothesized that participants in D:A:D at high (>5% predicted risk for both CVD and CKD would be at even greater risk for CVD and CKD events.We included all participants with complete risk factor (covariate data, baseline eGFR > 60 ml/min/1.73 m2, and a confirmed (>3 months apart eGFR 1%-5%, >5% and fitted Poisson models to assess whether CVD and CKD risk group effects were multiplicative. A total of 27,215 participants contributed 202,034 person-years of follow-up: 74% male, median (IQR age 42 (36, 49 years, median (IQR baseline year of follow-up 2005 (2004, 2008. D:A:D risk equations predicted 3,560 (13.1% participants at high CVD risk, 4,996 (18.4% participants at high CKD risk, and 1,585 (5.8% participants at both high CKD and high CVD risk. CVD and CKD event rates by predicted risk group were multiplicative. Participants at high CVD risk had a 5.63-fold (95% CI 4.47, 7.09, p < 0.001 increase in CKD events compared to those at low risk; participants at high CKD risk had a 1.31-fold (95% CI 1.09, 1.56, p = 0.005 increase in CVD events compared to those at low risk. Participants' CVD and CKD risk groups had multiplicative predictive effects, with no evidence of an interaction (p = 0.329 and p = 0.291 for CKD and CVD, respectively. The main study limitation is the difference in the ascertainment of the clinically defined CVD endpoints and the laboratory-defined CKD endpoints.We found that people at high predicted risk for both CVD and CKD have substantially greater risks for both CVD and CKD events compared with those at low predicted risk for both outcomes, and compared to those at high predicted risk for only CVD or CKD events. This suggests that CVD and

  15. Dwell time dependent morphological transition and sputtering yield of ion sputtered Sn

    International Nuclear Information System (INIS)

    Qian, H X; Zeng, X R; Zhou, W

    2010-01-01

    Self-organized nano-scale patterns may appear on a wide variety of materials irradiated with an ion beam. Good manipulation of these structures is important for application in nanostructure fabrication. In this paper, dwell time has been demonstrated to be able to control the ripple formation and sputtering yield on Sn surface. Ripples with a wavelength of 1.7 μm were observed for a dwell time in the range 3-20 μs, whereas much finer ripples with a wavelength of 540 nm and a different orientation were observed for a shorter dwell time in the range 0.1-2 μs. The sputtering yield increases with dwell time significantly. The results provide a new basis for further steps in the theoretical description of morphology evolution during ion beam sputtering.

  16. Lower Plasma Fetuin-A Levels Are Associated With a Higher Mortality Risk in Patients With Coronary Artery Disease.

    Science.gov (United States)

    Chen, Xuechen; Zhang, Yuan; Chen, Qian; Li, Qing; Li, Yanping; Ling, Wenhua

    2017-11-01

    The present study was designed to evaluate the association of circulating fetuin-A with cardiovascular disease (CVD) and all-cause mortality. We measured plasma fetuin-A in 1620 patients using an enzyme-linked immunosorbent assay kit. The patients were members of the Guangdong coronary artery disease cohort and were recruited between October 2008 and December 2011. Cox regression models were used to estimate the association between plasma fetuin-A and the risk of mortality. A total of 206 deaths were recorded during a median follow-up of 5.9 years, 146 of whom died from CVD. The hazard ratios for the second and third tertiles of the fetuin-A levels (using the first tertile as a reference) were 0.65 (95% confidence interval, 0.44-0.96) and 0.51 (95% confidence interval, 0.33-0.78) for CVD mortality ( P =0.005) and 0.65 (95% confidence interval, 0.47-0.91) and 0.48 (95% confidence interval, 0.33-0.70) for all-cause mortality ( P <0.001), respectively. Lower plasma fetuin-A levels were associated with an increased risk of all-cause and CVD mortality in patients with coronary artery disease independently of traditional CVD risk factors. © 2017 American Heart Association, Inc.

  17. Plasma cleaning of ITER First Mirrors in magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Moser, Lucas, E-mail: lucas.moser@unibas.ch [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Steiner, Roland [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Leipold, Frank; Reichle, Roger [ITER Organization, Route de Vinon-sur-Verdon, 13115 St Paul-lez-Durance (France); Marot, Laurent; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-08-15

    To avoid reflectivity losses in ITER’s optical diagnostic systems, plasma sputtering of metallic First Mirrors is foreseen in order to remove deposits coming from the main wall (mainly beryllium and tungsten). Therefore plasma cleaning has to work on large mirrors (up to a size of 200 × 300 mm) and under the influence of strong magnetic fields (several Tesla). This work presents the results of plasma cleaning of aluminium and aluminium oxide (used as beryllium proxy) deposited on molybdenum mirrors. Using radio frequency (13.56 MHz) argon plasma, the removal of a 260 nm mixed aluminium/aluminium oxide film deposited by magnetron sputtering on a mirror (98 mm diameter) was demonstrated. 50 nm of pure aluminium oxide were removed from test mirrors (25 mm diameter) in a magnetic field of 0.35 T for various angles between the field lines and the mirrors surfaces. The cleaning efficiency was evaluated by performing reflectivity measurements, Scanning Electron Microscopy and X-ray Photoelectron Spectroscopy.

  18. Sputtering effect of low-energy ions on biological target: The analysis of sputtering product of urea and capsaicin

    International Nuclear Information System (INIS)

    Zhang, Lili; Xu, Xue; Wu, Yuejin

    2013-01-01

    Sputtering is a process whereby atoms are ejected from a solid target material due to bombardment of the target by energetic particles. Recent years, ion implantation was successfully applied to biological research based on the fragments sputtering and form open paths in cell structure caused by ion sputtering. In this study, we focused on urea and chilli pepper pericarp samples implanted with N + and Ar + ions. To investigate the sputtering effect, we designed a collecting unit containing a disk sample and a glass pipe. The urea content and capsaicin content recovered from glass pipes were adopted to represent the sputtering product. The result of urea showed that the sputtering effect is positively correlated with the ion energy and dose, also affected by the ion type. The result of capsaicin was different from that of urea at 20 keV and possibly due to biological complex composition and structure. Therefore the sputtering yield depended on both the parameters of incident ions and the state of target materials. The sputtering yield of urea was also simulated by computational method achieved through the TRIM program. The trajectories of primary and recoiled atoms were calculated on the basis of the binary collision approximation using Monte Carlo method. The experimental results were much higher than the calculated results. The possible explanation is that in the physical model the target were assumed as a disordered lattice and independent atoms, which is much less complicated than that of the biological models

  19. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  20. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  1. Chemical sputtering of graphite by H+ ions

    International Nuclear Information System (INIS)

    Busharov, N.P.; Gorbatov, E.A.; Gusev, V.M.; Guseva, M.I.; Martynenko, Y.V.

    1976-01-01

    In a study of the sputtering coefficient S for the sputtering of graphite by 10-keV H + ions as a function of the graphite temperature during the bombardment, it is found that at T> or =750degreeC the coefficient S is independent of the target temperature and has an anomalously high value, S=0.085 atom/ion. The high rate of sputtering of graphite by atomic hydrogen ions is shown to be due to chemical sputtering of the graphite, resulting primarily in the formation of CH 4 molecules. At T=1100degreeC, S falls off by a factor of about 3. A model for the chemical sputtering of graphite is proposed

  2. Investigation of growth, coverage and effectiveness of plasma assisted nano-films of fluorocarbon

    International Nuclear Information System (INIS)

    Joshi, Pratik P.; Pulikollu, Rajasekhar; Higgins, Steven R.; Hu Xiaoming; Mukhopadhyay, S.M.

    2006-01-01

    Plasma-assisted functional films have significant potential in various engineering applications. They can be tailored to impart desired properties by bonding specific molecular groups to the substrate surface. The aim of this investigation was to develop a fundamental understanding of the atomic level growth, coverage and functional effectiveness of plasma nano-films on flat surfaces and to explore their application-potential for complex and uneven shaped nano-materials. In this paper, results on plasma-assisted nano-scale fluorocarbon films, which are known for imparting inertness or hydrophobicity to the surface, will be discussed. The film deposition was studied as a function of time on flat single crystal surfaces of silicon, sapphire and graphite, using microwave plasma. X-ray photoelectron spectroscopy (XPS) was used for detailed study of composition and chemistry of the substrate and coating atoms, at all stages of deposition. Atomic force microscopy (AFM) was performed in parallel to study the coverage and growth morphology of these films at each stage. Combined XPS and AFM results indicated complete coverage of all the substrates at the nanometer scale. It was also shown that these films grew in a layer-by-layer fashion. The nano-films were also applied to complex and uneven shaped nano-structured and porous materials, such as microcellular porous foam and nano fibers. It was seen that these nano-films can be a viable approach for effective surface modification of complex or uneven shaped nano-materials

  3. INFLUENCE OF LOW-ENERGY AR-SPUTTERING ON THE ELECTRONIC-PROPERTIES OF INAS-BASED QUANTUM-WELL STRUCTURES

    NARCIS (Netherlands)

    Magnee, P.H.C.; den Hartog, S.G.; Wees, B.J.van; Klapwijk, T.M; van de Graaf, W.; Borghs, G.

    1995-01-01

    The influence of low energy (80-500 eV) Ar-ion milling cleaning techniques on InAs based quantum well structures is investigated. It is found that both etching with a Kaufmann source and sputter-etching with a rf-plasma enhances the electron density and reduces the mobility. An anneal at 180 degrees

  4. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    Science.gov (United States)

    Engelbrechtsen, L.; Lundgren, J.; Wewer Albrechtsen, N. J.; Mahendran, Y.; Iepsen, E. W.; Finocchietto, P.; Jonsson, A. E.; Madsbad, S.; Holst, J. J.; Vestergaard, H.; Hansen, T.

    2017-01-01

    Summary Background Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Methods Fifty‐eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m2 [mean ± SD]) were included in this study. After 8 weeks on a very low‐calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance‐based lipidomics analysis. Results After an 8‐week low‐calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low‐density lipoprotein and very low‐density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre‐weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). Conclusion An 8‐week low‐calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower

  5. Computer simulation of sputtering: A review

    International Nuclear Information System (INIS)

    Robinson, M.T.; Hou, M.

    1992-08-01

    In 1986, H. H. Andersen reviewed attempts to understand sputtering by computer simulation and identified several areas where further research was needed: potential energy functions for molecular dynamics (MD) modelling; the role of inelastic effects on sputtering, especially near the target surface; the modelling of surface binding in models based on the binary collision approximation (BCA); aspects of cluster emission in MD models; and angular distributions of sputtered particles. To these may be added kinetic energy distributions of sputtered particles and the relationships between MD and BCA models, as well as the development of intermediate models. Many of these topics are discussed. Recent advances in BCA modelling include the explicit evaluation of the time in strict BCA codes and the development of intermediate codes able to simulate certain many-particle problems realistically. Developments in MD modelling include the wide-spread use of many-body potentials in sputtering calculations, inclusion of realistic electron excitation and electron-phonon interactions, and several studies of cluster ion impacts on solid surfaces

  6. Advances and challenges in the field of plasma polymer nanoparticles

    Directory of Open Access Journals (Sweden)

    Andrei Choukourov

    2017-09-01

    Full Text Available This contribution reviews plasma polymer nanoparticles produced by gas aggregation cluster sources either via plasma polymerization of volatile monomers or via radio frequency (RF magnetron sputtering of conventional polymers. The formation of hydrocarbon, fluorocarbon, silicon- and nitrogen-containing plasma polymer nanoparticles as well as core@shell nanoparticles based on plasma polymers is discussed with a focus on the development of novel nanostructured surfaces.

  7. Application of Cat-CVD for ULSI technology

    International Nuclear Information System (INIS)

    Akasaka, Yoichi

    2008-01-01

    The ULSI technology has been following Moore's law into the sub-100 nm era, although several challenging technical issues must be resolved. This paper describes possible application of Cat-CVD for ULSI technology beyond the 45 nm node. Especially, Cat-CVD SiN film for a transistor gate sidewall and/or a pre-metallic liner layer, and removal of photo resist (ash) by Cat-induced hydrogen atoms in the interconnect structure with an extreme low-k material are mainly discussed

  8. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  9. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  10. Plasma pro-atrial natriuretic peptide to estimate fluid balance during open and robot-assisted esophagectomy

    DEFF Research Database (Denmark)

    Strandby, Rune Broni; Ambrus, Rikard; Secher, Niels H

    2017-01-01

    BACKGROUND: It remains debated how much fluid should be administered during surgery. The atrial natriuretic peptide precursor proANP is released by atrial distension and deviations in plasma proANP are reported associated with perioperative fluid balance. We hypothesized that plasma proANP would...... decrease when the central blood volume is compromised during the abdominal part of robot-assisted hybrid (RE) esophagectomy and that a positive fluid balance would be required to maintain plasma proANP. METHODS: Patients undergoing RE (n = 25) or open (OE; n = 25) esophagectomy for gastroesophageal cancer...

  11. Sputtering on cobalt with noble gas ions

    International Nuclear Information System (INIS)

    Sarholt-Kristensen, L.; Johansen, A.; Johnson, E.

    1983-01-01

    Single crystals of cobalt have been bombarded with 80 keV Ar + ions and with 80 keV and 200 keV Xe + ions in the [0001] direction of the hcp phase and the [111] direction of the fcc phase. The sputtering yield has been measured as function of target temperature (20 0 C-500 0 C), showing a reduction in sputtering yield for 80 keV Ar + ions and 200 keV Xe + ions, when the crystal structure changes from hcp to fcc. In contrast to this, bombardment with 80 keV Xe + ions results in an increase in sputtering yield as the phase transition is passed. Sputtering yields for [111] nickel are in agreement with the sputtering yields for fcc cobalt indicating normal behaviour of the fcc cobalt phase. The higher sputtering yield of [0001] cobalt for certain combinations of ion mass and energy may then be ascribed to disorder induced partly by martensitic phase transformation, partly by radiation damage. (orig.)

  12. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial (Prevención con Dieta Mediterránea).

    Science.gov (United States)

    Wang, Dong D; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A; Willett, Walter C; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A; Hu, Frank B

    2017-05-23

    Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between overnutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. The study population consisted of 980 participants from the PREDIMED trial (Prevención con Dieta Mediterránea), including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases) followed for ≤7.4 years. Participants were randomized to a Mediterranean diet supplemented with extra virgin olive oil, a Mediterranean diet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of nonfatal acute myocardial infarction, nonfatal stroke, or cardiovascular death. Hazard ratios were estimated with weighted Cox regression models using Barlow weights to account for the case-cohort design. The multivariable hazard ratios (HR) and 95% confidence intervals (CIs) comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0, and C24:1 ceramides were 2.39 (1.49-3.83, P trend Mediterranean diet and control groups during the first year of follow-up. Our study documented a novel positive association between baseline plasma ceramide concentrations and incident CVD. In addition, a Mediterranean dietary intervention may mitigate potential deleterious effects of elevated plasma ceramide concentrations on CVD. URL: http://www.isrctn.com. Unique identifier: ISRCTN35739639. © 2017 American Heart Association, Inc.

  13. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  14. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  15. Electrical resistivity of sputtered molybdenum films

    International Nuclear Information System (INIS)

    Nagano, J.

    1980-01-01

    The electrical resistivity of r.f. sputtered molybdenum films of thickness 5-150 nm deposited on oxidized silicon substrates was resolved into the three electron scattering components: isotropic background scattering, scattering at grain boundaries and scattering at surfaces. It was concluded that the isotropic background scattering is almost equal to that of bulk molybdenum and is not influenced by sputtering and annealing conditions. When the film thickness is sufficient that surface scattering can be ignored, the decrease in film resistivity after annealing is caused by the decrease in scattering at the grain boundaries for zero bias sputtered films, and is caused by an increase of the grain diameter for r.f. bias sputtered films. (Auth.)

  16. Thin films preparation of the Ti-Al-O system by rf-sputtering

    International Nuclear Information System (INIS)

    Montes de Oca, J. A.; Ceballos A, J.; Galaviz P, J.; Manaud, J. P.; Lahaye, M.; Munoz S, J.

    2010-01-01

    In the present work Ti-Al-O thin films were synthesized by rf-sputtering technique on glass and silicon (Si) substrates using Ti Al and Ti 3 Al targets in a sputtering chamber with an Ar-O 2 atmosphere. Ti-Al-O thin films were obtained varying experimental parameters such as oxygen percent fed to the reaction chamber, plasma power density and substrate temperature. The films deposited on glass substrates were used to evaluate their optical properties, while those deposited on Si substrates were used to evaluate mechanical and morphological properties. The crystalline structure, morphology, chemical composition and optical properties of the films were evaluated by X-ray diffraction, high-resolution scanning electron microscopy, Auger electron microscopy and visible UV spectroscopy. Films thicknesses were measured using a profiler. The roughness and mechanical properties such as hardness and Young modulus were analyzed by atomic force microscopy and nano indentation technique, respectively. (Author)

  17. Plasma container

    International Nuclear Information System (INIS)

    Ebisawa, Katsuyuki.

    1985-01-01

    Purpose: To enable to easily detect that the thickness of material to be abraded is reduced to an allowable limit from the outerside of the plasma container even during usual operation in a plasma vessel for a thermonuclear device. Constitution: A labelled material is disposed to the inside or rear face of constituent members of a plasma container undergoing the irradiation of plasma particles. A limiter plate to be abraded in the plasma container is composed of an armour member and heat removing plate, in which the armour member is made of graphite and heat-removing plate is made of copper. If the armour member is continuously abraded under the effect of sputtering due to plasma particles, silicon nitride embedded so far in the graphite at last appears on the surface of the limiter plate to undergo the impact shocks of the plasma particles. Accordingly, abrasion of the limiter material can be detected by a detector comprising gas chromatography and it can easily be detected from the outside of the plasma content even during normal operation. (Horiuchi, T.)

  18. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  19. Plasma-wall interactions

    International Nuclear Information System (INIS)

    Behrisch, Rainer

    1978-01-01

    The plasma wall interactions for two extreme cases, the 'vacuum model' and the 'cold gas blanket' are outlined. As a first step for understanding the plasma wall interactions the elementary interaction processes at the first wall are identified. These are energetic ion and neutral particle trapping and release, ion and neutral backscattering, ion sputtering, desorption by ions, photons and electrons and evaporation. These processes have only recently been started to be investigated in the parameter range of interest for fusion research. The few measured data and their extrapolation into regions not yet investigated are reviewed

  20. Effects of plasma cleaning of the Cu seed layer surface on Cu electroplating

    International Nuclear Information System (INIS)

    O, Jun Hwan; Lee, Seong Wook; Kim, Jae Bum; Lee, Chong Mu

    2001-01-01

    Effects of plasma pretreatment to Cu seed/tantalum nitride (TaN)/ borophosphosilicate glass (BPSG) samples on copper (Cu) electroplating were investigated. Copper seed layers were deposited by magnetron sputtering onto tantalum nitride barrier layers before electroplating copper in the forward pulsed mode. The Cu seed layer was cleaned by plasma H 2 and N 2 prior to electroplating a copper film. Cu films electroplated on the copper seed layer with plasma pretreatment showed better electrical and physical properties such as electrical resistivities, surface morphologies, levels of impurities, adhesion and surface roughness than those without plasma pretreatment. It is shown that carbon and metal oxide contaminants at the sputtered Cu seed/TaN surface could be effectively removed by plasma H 2 cleaning. The degree of the (111) prefered orientation of the Cu film with plasma H 2 pretreatment is as high as pulse plated Cu film without plasma pretreatment. Also, plasma H 2 precleaning is more effective in enhancing the Cu electroplating properties onto the Cu seed layer than plasma N 2 precleaning

  1. Examinations for the determination of the flux density of sputtered iron using laser induced fluorescence

    International Nuclear Information System (INIS)

    Schweer, H.B.

    1983-11-01

    In this work investigations are described to measure the flux density of sputtered iron atoms by means of laser induced fluorescence. In a laboratory experiment an iron target (stainless steel 316, Inconel 600), was bombarded with 10 keV Ar + and 2.5 keV H + and the population distribution of the energy levels of the ground state a 5 D and the metastable state a 5 F was measured. In the plasma wall region in the ISX-B tokamak at the Oak Ridge National Laboratory (USA) neutral iron atoms were measured the first time by laser induced fluorescence. A detection limit of 10 6 atoms/cm 3 was found and sputtered iron atoms were observed in the first 15 ms of the discharge. (orig./BRB)

  2. Substantial difference in target surface chemistry between reactive dc and high power impulse magnetron sputtering

    Science.gov (United States)

    Greczynski, G.; Mráz, S.; Schneider, J. M.; Hultman, L.

    2018-02-01

    The nitride layer formed in the target race track during the deposition of stoichiometric TiN thin films is a factor 2.5 thicker for high power impulse magnetron sputtering (HIPIMS), compared to conventional dc processing (DCMS). The phenomenon is explained using x-ray photoelectron spectroscopy analysis of the as-operated Ti target surface chemistry supported by sputter depth profiles, dynamic Monte Carlo simulations employing the TRIDYN code, and plasma chemical investigations by ion mass spectrometry. The target chemistry and the thickness of the nitride layer are found to be determined by the implantation of nitrogen ions, predominantly N+ and N2+ for HIPIMS and DCMS, respectively. Knowledge of this method-inherent difference enables robust processing of high quality functional coatings.

  3. CVD and obesity in transitional Syria: a perspective from the Middle East.

    Science.gov (United States)

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  4. Investigating the Plasma-Assisted and Thermal Catalytic Dry Methane Reforming for Syngas Production: Process Design, Simulation and Evaluation

    Directory of Open Access Journals (Sweden)

    Evangelos Delikonstantis

    2017-09-01

    Full Text Available The growing surplus of green electricity generated by renewable energy technologies has fueled research towards chemical industry electrification. By adapting power-to-chemical concepts, such as plasma-assisted processes, cheap resources could be converted into fuels and base chemicals. However, the feasibility of those electrified processes at large scale has not been investigated yet. Thus, the current work strives to compare, for first time in the literature, plasma-assisted production of syngas, from CH4 and CO2 (dry methane reforming, with thermal catalytic dry methane reforming. Specifically, both processes are conceptually designed to deliver syngas suitable for methanol synthesis (H2/CO ≥ 2 in mole. The processes are simulated in the Aspen Plus process simulator where different process steps are investigated. Heat integration and equipment cost estimation are performed for the most promising process flow diagrams. Collectively, plasma-assisted dry methane reforming integrated with combined steam/CO2 methane reforming is an effective way to deliver syngas for methanol production. It is more sustainable than combined thermal catalytic dry methane reforming with steam methane reforming, which has also been proposed for syngas production of H2/CO ≥ 2; in the former process, 40% more CO2 is captured, while 38% less H2O is consumed per mol of syngas. Furthermore, the plasma-assisted process is less complex than the thermal catalytic one; it requires higher amount of utilities, but comparable capital investment.

  5. Physical sputtering of metallic systems by charged-particle impact

    International Nuclear Information System (INIS)

    Lam, N.Q.

    1989-12-01

    The present paper provides a brief overview of our current understanding of physical sputtering by charged-particle impact, with the emphasis on sputtering of metals and alloys under bombardment with particles that produce knock-on collisions. Fundamental aspects of ion-solid interactions, and recent developments in the study of sputtering of elemental targets and preferential sputtering in multicomponent materials are reviewed. We concentrate only on a few specific topics of sputter emission, including the various properties of the sputtered flux and depth of origin, and on connections between sputtering and other radiation-induced and -enhanced phenomena that modify the near-surface composition of the target. The synergistic effects of these diverse processes in changing the composition of the integrated sputtered-atom flux is described in simple physical terms, using selected examples of recent important progress. 325 refs., 27 figs

  6. CVD refractory metals and alloys for space nuclear power application

    International Nuclear Information System (INIS)

    Yang, L.; Gulden, T.D.; Watson, J.F.

    1984-01-01

    CVD technology has made significant contributions to the development of space nuclear power systems during the period 1962 to 1972. For the in-core thermionic concept, CVD technology is essential to the fabrication of the tungsten electron emitter. For the liquid metal cooled fuel pin using uranium nitride as fuel and T-111 and Nb-1 Zr as cladding, a tungsten barrier possibly produced by CVD methods is essential to the fuel-cladding compatibility at the designed operating temperature. Space power reactors may use heat pipes to transfer heat from the reactor core to the conversion system. CVD technology has been used for fabricating the heat pipe used as cross-flow heat exchanger, including the built-in channels on the condenser wall for liquid lithium return. 28 references, 17 figures

  7. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  8. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  9. Erosion and deuterium retention of CLF-1 steel exposed to deuterium plasma

    Science.gov (United States)

    Qiao, L.; Wang, P.; Hu, M.; Gao, L.; Jacob, W.; Fu, E. G.; Luo, G. N.

    2017-12-01

    In recent years reduced activation ferritic martensitic steel has been proposed as the plasma-facing material in remote regions of the first wall. This study reports the erosion and deuterium retention behaviours in CLF-1 steel exposed to deuterium (D) plasma in a linear experimental plasma system as function of incident ion energy and fluence. The incident D ion energy ranges from 30 to 180 eV at a flux of 4 × 1021 D m-2 s-1 up to a fluence of 1025 D m-2. SEM images revealed a clear change of the surface morphology as functions of incident fluence and impinging energy. The mass loss results showed a decrease of the total sputtering yield of CLF-1 steel with increasing incident fluence by up to one order of magnitude. The total sputtering yield of CLF-1 steel after 7.2 × 1024 D m-2 deuterium plasma exposure reduced by a factor of 4 compared with that of pure iron, which can be attributed to the enrichment of W at the surface due to preferential sputtering of iron and chromium. After D plasma exposure, the total deuterium retention in CLF-1 steel samples measured by TDS decreased with increasing incident fluence and energy, and a clear saturation tendency as function of incident fluence or energy was also observed.

  10. Uncertainty propagation in modeling of plasma-assisted hydrogen production from biogas

    Science.gov (United States)

    Zaherisarabi, Shadi; Venkattraman, Ayyaswamy

    2016-10-01

    With the growing concern of global warming and the resulting emphasis on decreasing greenhouse gas emissions, there is an ever-increasing need to utilize energy-production strategies that can decrease the burning of fossil fuels. In this context, hydrogen remains an attractive clean-energy fuel that can be oxidized to produce water as a by-product. In spite of being an abundant species, hydrogen is seldom found in a form that is directly usable for energy-production. While steam reforming of methane is one popular technique for hydrogen production, plasma-assisted conversion of biogas (carbon dioxide + methane) to hydrogen is an attractive alternative. Apart from producing hydrogen, the other advantage of using biogas as raw material is the fact that two potent greenhouse gases are consumed. In this regard, modeling is an important tool to understand and optimize plasma-assisted conversion of biogas. The primary goal of this work is to perform a comprehensive statistical study that quantifies the influence of uncertain rate constants thereby determining the key reaction pathways. A 0-D chemical kinetics solver in the OpenFOAM suite is used to perform a series of simulations to propagate the uncertainty in rate constants and the resulting mean and standard deviation of outcomes.

  11. Preparation of CIGS thin films by HiPIMS or DC sputtering and various selenization processes

    Czech Academy of Sciences Publication Activity Database

    Olejníček, Jiří; Hubička, Zdeněk; Kšírová, Petra; Kment, Štěpán; Brunclíková, Michaela; Kohout, Michal; Čada, Martin; Darveau, S.A.; Exstrom, C.L.

    2013-01-01

    Roč. 16, č. 2 (2013), s. 314-319 ISSN 1203-8407 R&D Projects: GA MŠk LH12045 Institutional support: RVO:68378271 Keywords : CIGS * HIPIMS * selenization * nanocrystals * solar energy * sputtering * thin films Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.106, year: 2013 http://www.ingentaconnect.com/content/stn/jaots/2013/00000016/00000002/art00015

  12. Electrical insulation properties of RF-sputtered LiPON layers towards electrochemical stability of lithium batteries

    OpenAIRE

    Vieira, E. M. F.; Ribeiro, J. F.; Silva, Maria Manuela; Barradas, N. P.; Alves, E.; Alves, A.; Correia, M. R.; Gonçalves, L. M.

    2016-01-01

    Electrochemical stability, moderate ionic conductivity and low electronic conductivity make the lithium phosphorous oxynitride (LiPON) electrolyte suitable for micro and nanoscale lithium batteries. The electrical and electrochemical properties of thin-film electrolytes can seriously compromise full battery performance. Here, radio-frequency (RF)-sputtered LiPON thin films were fabricated in nitrogen plasma under different working pressure conditions. With a slight decrease in ...

  13. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  14. Characterization of sp3 bond content of carbon films deposited by high power gas injection magnetron sputtering method by UV and VIS Raman spectroscopy

    Science.gov (United States)

    Zdunek, Krzysztof; Chodun, Rafał; Wicher, Bartosz; Nowakowska-Langier, Katarzyna; Okrasa, Sebastian

    2018-04-01

    This paper presents the results of investigations of carbon films deposited by a modified version of the magnetron sputtering method - HiPGIMS (High Power Gas Injection Magnetron Sputtering). In this experiment, the magnetron system with inversely polarized electrodes (sputtered cathode at ground potential and positively biased, spatially separated anode) was used. This arrangement allowed us to conduct the experiment using voltages ranging from 1 to 2 kV and a power supply system equipped with 25/50 μF capacitor battery. Carbon films were investigated by VIS/UV Raman spectroscopy. Sp3/sp2 bonding ratio was evaluated basing the elementary components of registered spectra. Our investigation showed that sp3 bond content increases with discharge power but up to specific value only. In extreme conditions of generating plasma impulses, we detected a reversed relation of the sp3/sp2 ratio. In our opinion, a energy of plasma pulse favors nucleation of a sp3 phase because of a relatively higher ionization state but in extreme cases the influence of energy is reversed.

  15. Characterization of sp3 bond content of carbon films deposited by high power gas injection magnetron sputtering method by UV and VIS Raman spectroscopy.

    Science.gov (United States)

    Zdunek, Krzysztof; Chodun, Rafał; Wicher, Bartosz; Nowakowska-Langier, Katarzyna; Okrasa, Sebastian

    2018-04-05

    This paper presents the results of investigations of carbon films deposited by a modified version of the magnetron sputtering method - HiPGIMS (High Power Gas Injection Magnetron Sputtering). In this experiment, the magnetron system with inversely polarized electrodes (sputtered cathode at ground potential and positively biased, spatially separated anode) was used. This arrangement allowed us to conduct the experiment using voltages ranging from 1 to 2kV and a power supply system equipped with 25/50μF capacitor battery. Carbon films were investigated by VIS/UV Raman spectroscopy. Sp 3 /sp 2 bonding ratio was evaluated basing the elementary components of registered spectra. Our investigation showed that sp 3 bond content increases with discharge power but up to specific value only. In extreme conditions of generating plasma impulses, we detected a reversed relation of the sp 3 /sp 2 ratio. In our opinion, a energy of plasma pulse favors nucleation of a sp 3 phase because of a relatively higher ionization state but in extreme cases the influence of energy is reversed. Copyright © 2018 Elsevier B.V. All rights reserved.

  16. Plasma-assisted deposition of microcapsule containing Aloe vera extract for cosmeto-textiles

    Science.gov (United States)

    Nascimento do Carmo, S.; Zille, A.; Souto, A. P.

    2017-10-01

    Dielectric Barrier Discharge (DBD) atmospheric-pressure plasma was employed to enhance the deposition of commercial microcapsules (MCs) containing Aloe vera extract onto a cotton/polyester (50:50) fabric. DBD conditions were optimized in term of energy dosage and contact angle. The MCs were applied by padding and printing methods and the coatings were characterized in terms of SEM and FTIR. MCs display a spherical shape with size between 2 and 8 μm with an average wall thickness of 0.5 μm. The MCs applied by printing and pretreated with a plasma dosage of 1.6 kW m2 min-1 showed the best results with an increased adhesion of 200% and significant penetration of MCs into the fibres network. Plasma printed fabric retained 230% more MCs than untreated fabric after 10 washing cycles. However, the coating resistance between unwashed and washed samples was only improved by 5%. Considering the fact that no binder or crosslinking agents were used, the DBD plasma-assisted deposition of MCs revealed to be a promising environmental safe and low cost coating technology.

  17. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    Aims High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. Methods and

  18. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    2007-01-01

    High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. A nested

  19. High-rate deposition of Ta-doped SnO2 films by reactive magnetron sputtering using a Sn–Ta metal-sintered target

    International Nuclear Information System (INIS)

    Muto, Y.; Nakatomi, S.; Oka, N.; Iwabuchi, Y.; Kotsubo, H.; Shigesato, Y.

    2012-01-01

    Ta-doped SnO 2 films were deposited on glass substrate (either unheated or heated at 200 °C) by reactive magnetron sputtering with a Sn–Ta metal-sintered target using a plasma control unit (PCU) and mid-frequency (mf, 50 kHz) unipolar pulsing. The PCU feedback system precisely controlled the flow of the reactive and sputtering gases (O 2 and Ar, respectively) by monitoring either discharge impedance or the plasma emission of the atomic O* line at 777 nm. The planar target was connected to the switching unit, which was operated in unipolar pulse mode. Power density on the target was maintained at 4.4 W cm −2 during deposition. The lowest obtained resistivity for the films deposited on heated substrate was 6.4 × 10 −3 Ωcm, where the deposition rate was 250 nm min −1 .

  20. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.